WO2020036801A1 - Compensation de puissance rf pour réduire les changements de taux de dépôt ou de gravure en réponse à des variations de résistivité volumique de substrat - Google Patents

Compensation de puissance rf pour réduire les changements de taux de dépôt ou de gravure en réponse à des variations de résistivité volumique de substrat Download PDF

Info

Publication number
WO2020036801A1
WO2020036801A1 PCT/US2019/045648 US2019045648W WO2020036801A1 WO 2020036801 A1 WO2020036801 A1 WO 2020036801A1 US 2019045648 W US2019045648 W US 2019045648W WO 2020036801 A1 WO2020036801 A1 WO 2020036801A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
power
frequency
parameter
plasma
Prior art date
Application number
PCT/US2019/045648
Other languages
English (en)
Inventor
Wei Yi Luo
Youn Gi Hong
WeiWu ZHONG
Himanshu CHOKSHI
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to KR1020217007866A priority Critical patent/KR20210034095A/ko
Priority to CN201980068370.XA priority patent/CN112868084B/zh
Priority to US17/267,525 priority patent/US20210313152A1/en
Publication of WO2020036801A1 publication Critical patent/WO2020036801A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • the present disclosure relates to substrate processing systems and more particularly to RF power compensation to reduce variations in deposition or etch rate in response to variations in substrate bulk resistivity.
  • Substrate processing systems may be used to perform etching, deposition, cleaning and/or other treatment of substrates such as semiconductor wafers.
  • a substrate is arranged on a substrate support, such as a pedestal, an electrostatic chuck (ESC), etc. in a processing chamber of the substrate processing system.
  • a process gas mixture is introduced into the processing chamber to treat the substrate.
  • Plasma may be struck to enhance chemical reactions within the processing chamber.
  • An RF bias may be supplied to the substrate support to control ion energy.
  • a substrate processing system includes a plasma generator configured to supply (radio frequency) RF power to an electrode arranged in a processing chamber.
  • a sensor is configured to sense a parameter of the RF power supplied to the electrode.
  • a controller is configured to compensate variations in a rate of a plasma process due to variations in bulk resistivity of a substrate arranged on a substrate support by causing the sensor to sense the parameter at least one of prior to plasma processing of the substrate and after a predetermined period after the plasma processing of the substrate begins; and adjusting the parameter of the RF power for the substrate during the plasma processing of the substrate based on the parameter sensed for the substrate.
  • the parameter is selected from a group consisting of an RF voltage, an RF current and an RF phase angle at a first frequency.
  • the parameter comprises an RF voltage at a first frequency.
  • the first frequency is less than or equal 2 MFIz.
  • the first frequency is in a range from 300kFlz to 500 kFIz.
  • the plasma generator includes a first RF source supplying at least part of the RF power at the first frequency; and a matching network including an input connected to the first RF source and an output connected to the electrode.
  • the plasma generator includes a second RF source supplying at least part of the RF power at a second frequency.
  • the second RF source is connected to the input of the matching network and the second frequency is different than the first frequency.
  • the sensor is connected between the matching network and the electrode.
  • the plasma processing comprises deposition of film on the substrate and the rate comprises a deposition rate.
  • the plasma processing comprises etching of film on the substrate and the rate comprises an etching rate.
  • the plasma processing comprises bevel deposition in a bevel etcher.
  • a method for operating a substrate processing system comprising supplying (radio frequency) RF power to an electrode arranged in a processing chamber; sensing a parameter of the RF power supplied to the electrode; and compensating a rate of a plasma process due to variations in bulk resistivity of a substrate arranged on a substrate support by: sensing the parameter at least one of prior to plasma processing of the substrate and after a predetermined period after the plasma processing of the substrate begins; and adjusting the parameter at least one of during the plasma processing of the substrate based on the parameter sensed for the substrate.
  • the parameter is selected from a group consisting of an RF voltage, an RF current and an RF phase angle of the RF power.
  • the parameter comprises an RF voltage at a first frequency.
  • the RF voltage has a frequency that is less than or equal 2 MFIz.
  • the RF voltage has a frequency that is in a range from 300 kHz to 500 kHz.
  • the method includes supplying at least a portion of the RF power using a first RF source operating at a first frequency; supplying at least a portion of the RF power using a second RF source operating at a second frequency that is higher than the first frequency; and using a matching network to match an impedance of the first RF source and the second RF source to the electrode.
  • the method includes sensing the parameter between the matching network and the electrode.
  • the plasma processing comprises deposition of film on the substrate and the rate comprises a deposition rate.
  • the plasma processing comprises etching of film on the substrate and the rate comprises an etching rate.
  • a substrate processing system includes a plasma generator configured to supply (radio frequency) RF power to an electrode arranged in a processing chamber.
  • a first RF source supplies at least part of the RF power at a first frequency.
  • a second RF source supplies at least part of the RF power at a second frequency that is greater than the first frequency.
  • a matching network includes an input connected to the first RF source and the second RF source and an output connected to the electrode.
  • a sensor is configured to sense a parameter of the RF power at the first frequency.
  • a controller is configured to compensate variations in one of a deposition rate and an etching rate of a plasma process due to variations in bulk resistivity of a substrate arranged on a substrate support by: causing the sensor to sense the parameter at least one of prior to plasma processing of the substrate and after a predetermined period after the plasma processing of the substrate begins; and adjusting the parameter of the RF power for the substrate at least one of during the plasma processing of the substrate based on the parameter sensed for the substrate.
  • FIG. 1 is a cross-sectional view of an example of a portion of a substrate processing system according to the present disclosure
  • FIG. 2 is a graph illustrating an example of deposition rate as a function of HF voltage
  • FIG. 3 is a graph illustrating an example of deposition rate as a function of LF voltage
  • FIG. 4 is a graph illustrating an example of deposition rate as a function of LF power
  • FIG. 5 is a graph illustrating forward LF power and LF voltage as a function of time
  • FIG. 6 is a graph illustrating an example of deposition rate as a function of LF voltage during an oxidation step
  • FIG. 7 is a graph illustrating an example of HF forward power, LF forward power and LF voltage during an oxidation step and LF power compensation during a deposition step;
  • FIG. 8 is functional block diagram of an example of a control system for controlling RF power compensation according to the present disclosure.
  • FIG. 9 is a flowchart illustrating an example of a method for controlling RF power compensation according to the present disclosure.
  • Substrate processing systems are configured to process large numbers of substrates using a common process. To reduce defects, the process must be performed in the same manner for each substrate. For example, deposition and/or etch rates should be the same for all of the substrates processed by a recipe during a given step.
  • the substrates that are supplied to the substrate processing system may have different properties that may adversely affect process uniformity.
  • the substrates delivered to a substrate processing system may have variations in bulk resistivity.
  • Current tools for bevel deposition experience deposition rate variations that are greater than ⁇ 17% as substrate bulk resistivity varies in a range from 1 -500 Q*cm. Similar etch rate variations are expected due to differences in substrate bulk resistivity. Some manufacturers attempt to mitigate the deposition rate variations by selecting and controlling the substrate bulk resistivity of the supplied substrates, which increases cost.
  • the present disclosure relates to systems and methods for dynamic RF power compensation in plasma-based substrate processing systems to mitigate deposition or etch rate variations that occur due to variations in substrate bulk resistivity.
  • the systems and methods disclosed can perform RF power compensation for each individual substrate.
  • the systems and methods use an RF voltage sensor to detect the RF voltage, which is related to the substrate bulk resistivity, although other parameters such as current, phase angle, etc. can be used.
  • a controller compensates RF power based on the measured parameter to mitigate the deposition rate change with substrate bulk resistivity variation.
  • the RF voltage is detected during a non-deposition step and then the detected RF voltage is used to compensate RF power during a deposition step.
  • the RF voltage is detected at the beginning of a deposition step and then the detected RF voltage is used to compensate the RF power for the rest of the deposition step.
  • the RF voltage is detected during a non-etch step and then the detected RF voltage is used to compensate RF power during an etch step.
  • the RF voltage is detected at the beginning of an etch step and then the detected RF voltage is used to compensate the RF power for the rest of the etch step.
  • the substrate processing system 100 includes a chamber wall 102 having a gate 142 through which a substrate 1 18 is loaded/unloaded.
  • An upper electrode assembly 104 is connected to a support 108.
  • the substrate processing system 100 includes a lower electrode assembly 106.
  • An actuator (not shown) is attached to the support 108 for moving the upper electrode assembly 104 up and down (in the direction of the double arrow) to adjust the gap between the upper electrode assembly 104 and the substrate 1 18.
  • Metal bellows 148 form a vacuum seal between the chamber wall 102 and support 108 while allowing the support 108 to move vertically relative to the chamber wall 102.
  • the support 108 has a center gas feed (passage) 1 12 and an edge gas feed (passage) 120.
  • One or both gas feeds 1 12, 120 can deliver a plasma gas mixture to clean the bevel edge and/or to deposit a thin film thereon.
  • the plasma is formed around the bevel edge of the substrate 1 18 and is generally ring shaped.
  • the volume between a dielectric plate 1 16 on the upper electrode assembly 104 and the substrate 1 18 is small and the process gas is fed from the center feed (for example, through a stepped hole 1 14). Then, the gas passes through the gap between the upper electrode assembly 104 and the substrate 1 18 in the radial direction of the substrate.
  • the purge gas is injected through the center gas feed 1 12, while the process gas is injected through the edge gas feed 120.
  • the plasma/process gas is withdrawn from the chamber volume 151 to the bottom volume 140 via a plurality of holes (outlets) 141 .
  • a vacuum pump 143 can be used to evacuate the bottom volume 140 during a cleaning or deposition operation.
  • the upper electrode assembly 104 includes an upper dielectric plate 1 16 and an upper metal component 1 10 secured to the support 108 by a suitable fastening mechanism and grounded via the support 108.
  • the upper metal component 1 10 has one or more edge gas passageways or through holes 122a, 122b and an edge gas plenum 124a.
  • the edge gas passageways or through holes 122a, 122b are coupled to the edge gas feed 120 for fluid communication during operation.
  • the upper dielectric plate 1 16 is attached to the upper metal component 1 10.
  • the lower electrode assembly 106 includes powered electrode 126 having an upper portion 126a and a lower portion 126b.
  • a pin operating unit 132 and lift pins 130 move the substrate 1 18 up and down.
  • a bottom dielectric ring 138 includes an upper portion 138a and a lower portion 138b.
  • the chuck includes an electrostatic chuck or a vacuum chuck.
  • the term powered electrode refers to one or both of the upper and lower portions 126a, 126b.
  • the term bottom dielectric ring 138 refers to one or both of the upper and lower portions 138a, 138b.
  • the powered electrode 126 is coupled to one radio frequency (RF) power source 170 or two frequency (RF) power sources 170 and 171 to receive RF power during operation.
  • RF radio frequency
  • the lift pins 130 move vertically within cylindrical holes or paths 131 and are moved between upper and lower positions by the pin operating unit 132 positioned in the powered electrode 126.
  • the pin operating unit 132 includes a housing around each lift pin to maintain a vacuum sealed environment around the pins.
  • the pin operating unit 132 includes any suitable lift pin mechanism, such as a robot 133 (e.g., a horizontal arm having segments extending into each housing and attached to each pin) and an arm actuating device (not shown) and with a pin guide assembly 133a.
  • the substrate 1 18 is mounted on the lower electrode or on a lower configurable plasma-exclusion-zone (PEZ) ring 160.
  • PEZ refers to a radial distance from the center of the substrate to the outer edge of the area where the plasma for cleaning the bevel edge or for deposition on the bevel edge is to be excluded.
  • the top surface of the powered electrode 126, the bottom surface of the substrate 1 18, and inner periphery of the lower configurable PEZ ring 160 can form an enclosed vacuum region recess (vacuum region) 1 19 in fluid communication with a vacuum source such as a vacuum pump 136.
  • the cylindrical holes or paths for the lift pins 130 are also shared as gas passageways, through which the vacuum pump 136 evacuates the vacuum region 1 19 during operation.
  • the powered electrode 126 includes a plenum 134 to reduce temporal pressure fluctuations in the vacuum region 1 19. In cases where multiple lift pins are used, the plenum 134 provides a uniform suction rate for the cylindrical holes.
  • substrate bowing can be reduced by use of a pressure difference between the top and bottom surfaces of the substrate 1 18.
  • the pressure in the vacuum region 1 19 is maintained under vacuum during operation by a vacuum pump 136 coupled to the plenum 134.
  • the gas pressure in the gap can be varied without changing the overall flow rate of the process gas(es).
  • the pressure difference between the top and bottom surfaces of the substrate 1 18 can be varied and thereby the bending force applied on the substrate 1 18 can be controlled.
  • the lower portion 138b of the bottom dielectric ring has a step 152 formed on the inner periphery of its upper surface to mate with a recess on a lower edge of the powered electrode 126.
  • the lower portion 138b has a step 150 formed on its outer periphery to mate with a stepped surface on the upper portion 138a of the bottom dielectric ring, referred to as a focus ring.
  • the steps 150, 152 align the bottom dielectric ring 138 with the powered electrode 126.
  • the step 150 also forms a tortuous gap along the surface thereof to eliminate the direct line-of-sight between the powered electrode 126 and the chamber wall 102 thereby reducing the possibility of a secondary plasma strike between the powered electrode 126 and the chamber wall 102.
  • a controller 190 controls operation of the substrate processing system 100.
  • the controller communicates with a gas delivery system 192 to deliver gases to the substrate processing system 100 at the appropriate times during a process.
  • the controller 190 communicates with an RF sensor (not shown here) that senses RF voltage or RF voltage, current and phase angle.
  • the RF sensor is installed between the RF matching network (not shown here) and the processing chamber.
  • the controller 190 communicates with and controls the vacuum pumps 136 and 143 to control pressure in the substrate processing system.
  • the controller 190 communicates with and controls the robot 133.
  • the controller 190 communicates with and controls the two RF power sources 170 and 171 .
  • substrate bulk resistivity will typically vary for a group of substrates that are to be processed in a processing chamber using a common recipe. As was described above, the variation in substrate bulk resistance adversely affects deposition and/or etch rate.
  • the deposition rate is shown as a function of HF voltage as substrate bulk resistivity is varied in a predetermined resistivity range from ⁇ 1 to 500 Q»cm.
  • HF refers to RF frequencies from 2MFIz to 120MFIz. For example, HF may refer to 13.56 MFIz. As can be appreciated from FIG.
  • the deposition rate is shown as a function of LF voltage at the deposition step as substrate bulk resistivity is varied in the predetermined resistivity range.
  • LF refers to lower RF frequencies less than 2MHz.
  • LF may be in a range from 300 to 500 kHz (e.g. 400 kHz).
  • the LF voltage sampled at 2 nd second of the deposition step has wide variation from ⁇ 365V to ⁇ 420V, which indicates that the LF power delivered into the plasma is affected by different wafer bulk resistivity.
  • the LF voltage can be used as a main indicator of the wafer bulk resistivity for LF power compensation to reduce the deposition rate change with wafer bulk resistivity.
  • the variation of the LF power delivered into the plasma is the main contributor to the deposition rate change.
  • the LF voltage is detected using a sensor located between a matching network and the processing chamber at a predetermined time after plasma is struck (such as at 2s of the deposition step). Then, the deposition rate D/R is:
  • the LF power will be compensated or changed to the new compensated power right after the LF voltage sample is taken until the end of the deposition step based on:
  • P_new P_original - DR
  • P_original 300W.
  • the constant parameters a, b and k are determined for each process and system by substrate running data.
  • the equation parameters a, b and k are configurable for different processes/recipes and/or processing chambers.
  • FIG. 5 a graph illustrating forward RF power (at LF) and LF voltage as a function of time is shown.
  • the sampling of the voltage is performed by the V or VI probe at a predetermined time such as 2s after striking plasma.
  • the compensated LF power is applied for the rest of the deposition step after the LF voltage sampling time (e.g., sampling at 2s and compensation from 2.5s or 3.0s to the end of the deposition step).
  • the LF voltage sampling can be performed during a non-deposition step.
  • the sampled LF voltage is used to compensate the LF power during the whole deposition step.
  • the LF voltage measurement may be taken when dual RF (HF+LF) plasma is present and the substrate is arranged in the processing chamber.
  • a preceding plasma processing step may be used to measure the LF voltage and the measured LF voltage can be used to compensate LF power during a subsequent plasma deposition processing step.
  • an example illustrates measurement of LF voltage during one step 710 (e.g. an oxidation or non-deposition step) and compensation of LF power during a subsequent deposition step 720 based on the measured LF voltage.
  • a substrate is arranged in the processing chamber and plasma is struck in the processing chamber during both steps.
  • the plasma is extinguished between the two steps.
  • the plasma is maintained during both steps and plasma process parameters are altered between the steps.
  • the plasma processing steps are sequential without intervening steps.
  • one or more intervening substrate processing steps may be performed between the plasma processing steps.
  • FIG. 6 an example graph illustrates the deposition rate as a function of measured LF voltage during an oxidation (non-deposition) step.
  • the measured LF voltage during the oxidation step is used to compensate the LF forward power during the deposition step that follows the oxidation step.
  • P_new P_original - (a * V_LF+b-13000)/k
  • HF forward power, LF forward power and LF voltage are shown during the oxidation step 710 and the deposition step 720.
  • the measured LF voltage 1 18V at the oxidation step 710 is used to determine the new compensated LF power 274W during the deposition step 720 that follows the oxidation step.
  • the deposition rate change for wafer bulk resistivity range 1 to 500 Q*cm is significantly reduced from +/-17% to +/-3%.
  • the control system 800 may be used in conjunction with the processing chamber shown in FIG. 1 or with other plasma processing chambers used for deposition and/or etching.
  • the control system 800 includes a controller 810 that provides power control signals to a high-frequency (HF) generator 820 and a low frequency (LF) generator 824.
  • the HF generator 820 generates a HF RF power that is output to a dual frequency impedance matching network 830.
  • the LF generator 824 generates a LF RF power that is output to the dual frequency impedance matching network 830.
  • a RF voltage sensor or a VI probe 832 for sensing RF voltage is arranged between the dual frequency impedance matching network 830 and a processing chamber 840, although the RF voltage can be sensed in other locations around the plasma processing chamber.
  • the processing chamber 840 includes a first electrode 842 and a second electrode 844.
  • the gas delivery system 192 (not displayed here) supplies a gas mixture to the processing chamber 840.
  • the gas delivery system 192 includes one or more gas sources connected by one or more valves, mass flow controllers and/or manifolds to the processing chamber 840.
  • Outputs of the HF generator 820 and the LF generator 824 are connected to one of the first electrode 842 or the second electrode 844 through the dual frequency matching network 830.
  • the other of the first electrode 842 or the second electrode 844 is connected to a reference potential such as ground.
  • Plasma is created when the plasma gas mixture between the first electrode 842 and the second electrode 844 is excited by the RF power.
  • the gas mixture can include one or more deposition precursors for deposition processes or etching gases for etching processes.
  • the impedance matching network 830 attempts to match impedances of the HF generator 820 and the LF generator 824 with an effective impedance of the electrodes, the plasma and the processing chamber.
  • the controller 810 adjusts a power control signal supplied to the LF generator 824 based upon the measured LF voltage as measured by a voltage probe or a voltage and current (VI) probe identified at 850, which includes the 832 when the RF sensor is installed between the matching network 830 and the processing chamber 840.
  • V voltage and current
  • a method 900 for controlling/compensating RF power during deposition or etching is shown.
  • the substrate is arranged on a substrate support (or the substrate is already present from a prior processing step).
  • process gases are supplied to the processing chamber and plasma is struck by supplying power using LF and HF generators. If deposition is performed, the process gases include one or more precursors. If etching is performed, the process gases include one or more etching gases.
  • a parameter such as the LF voltage is measured at 930.
  • the LF power is calculated while the substrate is located in the processing chamber and plasma is present.
  • LF power compensation is determined as described above based on the sensed parameter (e.g. LF voltage in this example).
  • the LF power is adjusted based on the LF power compensation calculated in 934.
  • the method determines whether deposition or etching is complete. If 942 is true, the method extinguishes the plasma at 946 and stops the flow of the process gases.
  • the RF power compensation method disclosed above takes advantage of the measured RF voltage (e.g. the LF voltage). However, the same RF power compensation method may take advantage of other RF parameters such as RF current from an RF current sensor or a VI probe, phase angle from a VI probe or other parameters.
  • the wafer bulk resistivity range described above is from ⁇ 1 to 500 W ⁇ ah, but the RF power compensation method is not limited to this wafer bulk resistivity range only.
  • the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean“at least one of A, at least one of B, and at least one of C.”
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • RF radio frequency
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller in some implementations, may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g.
  • a server can provide process recipes to a system over a network, which may include a local network or the Internet.
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Abstract

L'invention concerne un système de traitement de substrat comprenant un générateur de plasma configuré pour fournir une puissance RF (radiofréquence) à une électrode disposée dans une chambre de traitement. Un capteur est configuré pour détecter un paramètre de l'énergie RF fournie à l'électrode. Un contrôleur est configuré pour compenser les variations d'un taux de traitement au plasma en raison des variations de la résistivité volumique d'un substrat disposé sur un support de substrat en amenant le capteur à détecter le paramètre avant le traitement au plasma du substrat et/ou après une période prédéterminée après que le traitement au plasma du substrat commence ; et ajuster le paramètre de puissance RF pour le substrat pendant le traitement au plasma du substrat sur la base du paramètre détecté pour le substrat.
PCT/US2019/045648 2018-08-17 2019-08-08 Compensation de puissance rf pour réduire les changements de taux de dépôt ou de gravure en réponse à des variations de résistivité volumique de substrat WO2020036801A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020217007866A KR20210034095A (ko) 2018-08-17 2019-08-08 기판 벌크 저항률 변동들에 응답하여 증착 레이트 또는 에칭 레이트 변화들을 감소시키기 위한 rf 전력 보상
CN201980068370.XA CN112868084B (zh) 2018-08-17 2019-08-08 衬底处理系统和用于操作衬底处理系统的方法
US17/267,525 US20210313152A1 (en) 2018-08-17 2019-08-08 Rf power compensation to reduce deposition or etch rate changes in response to substrate bulk resistivity variations

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862765244P 2018-08-17 2018-08-17
US62/765,244 2018-08-17

Publications (1)

Publication Number Publication Date
WO2020036801A1 true WO2020036801A1 (fr) 2020-02-20

Family

ID=69525836

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/045648 WO2020036801A1 (fr) 2018-08-17 2019-08-08 Compensation de puissance rf pour réduire les changements de taux de dépôt ou de gravure en réponse à des variations de résistivité volumique de substrat

Country Status (4)

Country Link
US (1) US20210313152A1 (fr)
KR (1) KR20210034095A (fr)
TW (2) TWI816856B (fr)
WO (1) WO2020036801A1 (fr)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11158488B2 (en) * 2019-06-26 2021-10-26 Mks Instruments, Inc. High speed synchronization of plasma source/bias power delivery
US20230230806A1 (en) * 2022-01-05 2023-07-20 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US20050081999A1 (en) * 2003-10-17 2005-04-21 Naoki Yasui Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US20090297404A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Plasma reactor with high speed plasma impedance tuning by modulation of source power or bias power
US20120146508A1 (en) * 2010-12-08 2012-06-14 Mks Instruments, Inc. Measuring and controlling parameters of a plasma generator
US20160118227A1 (en) * 2014-10-23 2016-04-28 Lam Research Corporation System, Method and Apparatus for RF Power Compensation in a Plasma Processing System

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6238528B1 (en) * 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US8333842B2 (en) * 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US9502221B2 (en) * 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
JP6488150B2 (ja) * 2015-02-27 2019-03-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9704692B2 (en) * 2015-07-01 2017-07-11 Lam Research Corporation System for instantaneous radiofrequency power measurement and associated methods
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10725485B2 (en) * 2016-12-15 2020-07-28 Lam Research Corporation System and method for calculating substrate support temperature

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US20050081999A1 (en) * 2003-10-17 2005-04-21 Naoki Yasui Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US20090297404A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Plasma reactor with high speed plasma impedance tuning by modulation of source power or bias power
US20120146508A1 (en) * 2010-12-08 2012-06-14 Mks Instruments, Inc. Measuring and controlling parameters of a plasma generator
US20160118227A1 (en) * 2014-10-23 2016-04-28 Lam Research Corporation System, Method and Apparatus for RF Power Compensation in a Plasma Processing System

Also Published As

Publication number Publication date
KR20210034095A (ko) 2021-03-29
TWI816856B (zh) 2023-10-01
US20210313152A1 (en) 2021-10-07
TW202401628A (zh) 2024-01-01
CN112868084A (zh) 2021-05-28
TW202036711A (zh) 2020-10-01

Similar Documents

Publication Publication Date Title
US10879044B2 (en) Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
US11424103B2 (en) Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
US11342163B2 (en) Variable depth edge ring for etch uniformity control
KR20230005800A (ko) 증착 불균일성을 보상하기 위한 전극간 갭 가변 방법들
WO2018156556A1 (fr) Conception de bouchon d'hélium pour réduire la formation d'arc
US20200090968A1 (en) Auto-calibrated process independent feedforward control
TWI801434B (zh) 用以控制電漿輝光放電之定位的方法及用於處理基板之設備
US10347464B2 (en) Cycle-averaged frequency tuning for low power voltage mode operation
US20210313152A1 (en) Rf power compensation to reduce deposition or etch rate changes in response to substrate bulk resistivity variations
WO2019112903A1 (fr) Système et procédé de compensation d'usure d'anneau de bordure
US10725485B2 (en) System and method for calculating substrate support temperature
US20230126058A1 (en) Dielectric window for substrate processing chamber
CN112868084B (zh) 衬底处理系统和用于操作衬底处理系统的方法
WO2021195190A1 (fr) Surveillance in situ de l'épaisseur et de l'espacement d'une tranche à l'aide d'un capteur laser à faisceaux
WO2019217185A1 (fr) Dépôt focalisé sur un anneau de bordure pendant un processus de nettoyage d'une chambre de traitement
US11967486B2 (en) Substrate processing system including dual ion filter for downstream plasma
US20230215692A1 (en) Arcing Reduction in Wafer Bevel Edge Plasma Processing
US20230162953A1 (en) Mid-ring erosion compensation in substrate processing systems
WO2024015273A1 (fr) Tension, mesure de phase et commande d'anneau de bord pour systèmes de traitement de substrat
WO2023049013A1 (fr) Traitement au plasma côté arrière in situ pour élimination de résidus sur des substrats
WO2023003768A1 (fr) Compensation de temps de dépôt fondée sur température de pomme d'arrosoir pour tendance d'épaisseur dans système de dépôt pecvd
WO2020257095A1 (fr) Matériel d'anneau de support de diamètre réduit pour systèmes de traitement de substrat
WO2021071767A1 (fr) Auto-nettoyage pour des sas de chargement dans des systèmes de traitement de substrat

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19849087

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217007866

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 19849087

Country of ref document: EP

Kind code of ref document: A1