WO2019241183A1 - Compositions and methods using same for deposition of silicon-containing film - Google Patents

Compositions and methods using same for deposition of silicon-containing film Download PDF

Info

Publication number
WO2019241183A1
WO2019241183A1 PCT/US2019/036456 US2019036456W WO2019241183A1 WO 2019241183 A1 WO2019241183 A1 WO 2019241183A1 US 2019036456 W US2019036456 W US 2019036456W WO 2019241183 A1 WO2019241183 A1 WO 2019241183A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
film
silicon
source
group
Prior art date
Application number
PCT/US2019/036456
Other languages
French (fr)
Inventor
Robert G. Ridgeway
Raymond N. Vrtis
Madhukar B. Rao
Original Assignee
Versum Materials Us, Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials Us, Llc filed Critical Versum Materials Us, Llc
Priority to KR1020217000711A priority Critical patent/KR102549427B1/en
Priority to SG11202011890XA priority patent/SG11202011890XA/en
Priority to EP19820430.7A priority patent/EP3802913A4/en
Priority to JP2020568739A priority patent/JP7125515B2/en
Priority to CN201980039035.7A priority patent/CN112292479A/en
Publication of WO2019241183A1 publication Critical patent/WO2019241183A1/en
Priority to IL279250A priority patent/IL279250A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Definitions

  • compositions for forming a silicon-containing film in a deposition process such as, without limitation, a flowable chemical vapor deposition.
  • PECVD plasma-enhanced chemical vapor deposition
  • silicon-containing films such as SiCO, SiCON, SiCN
  • SiCO silicon-containing gap-fill films
  • SiCON silicon-containing gap-fill films
  • US2008/0025907 discloses a process of forming a silicon-containing film on a substrate by what is known as flowable CVD or FCVD.
  • FCVD flowable CVD
  • the FCVD process is useful for many applications including depositing a gap-fill film in high aspect ratio structures with small dimensions.
  • a precursor is exposed to reactive radicals to initiate a radical induced polymerization in a deposition chamber.
  • the reactive radicals are produced using plasma energy.
  • the plasma can be generated or ignited within the processing chamber (e.g. a direct or in-situ plasma) or can be generated outside of the processing chamber and flowed into the processing chamber (e.g. a remote plasma).
  • the silicon-containing film is selected from the group consisting of a silicon nitride, a silicon carbide, a silicon oxide, a carbon-doped silicon nitride, a silicon oxynitride, and a carbon-doped silicon oxynitride film.
  • the substrate comprises a surface feature.
  • surface feature means that the substrate or partially fabricated substrate that comprises one or more of the following pores, trenches, shallow trench isolation (STI), vias, reentrant feature, or the like.
  • the compositions can be pre-mixed compositions, pre-mixtures (mixed before being used in the deposition process), or in-situ mixtures (mixed during the deposition process).
  • a method for depositing a silicon-containing film in a flowable chemical vapor deposition process A substrate that includes a surface feature is placed into a reactor which is at one or more temperatures ranging from -20°C to about 100°C.
  • a composition is introduced into the reactor, and the composition includes at least one compound having the formula R n SiRV n wherein R is selected from a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group; R 1 is selected from hydrogen, methyl and a linear or branched C 2 to Ci 0 alkyl group; and n is a number selected from 1 , 2, 3, and 4.
  • a plasma cource is provided into the reactor to at least partially react the at least one compound to form a flowable liquid oligomer. The flowable liquid oligomer at least partially fills at least a portion of the surface feature.
  • the plasma source includes both a remote plasma source and an in-situ plasma source operating in combination.
  • At least one of the remote plasma source and the in- situ plasma source comprises a plasma source is selected from the group consisting of a nitrogen plasma, plasma comprising nitrogen and helium, a plasma comprising nitrogen and argon, an ammonia plasma, a plasma comprising ammonia and helium, a plasma comprising ammonia and argon, helium plasma, argon plasma, hydrogen plasma, a plasma comprising hydrogen and helium, a plasma comprising hydrogen and argon, a plasma comprising ammonia and hydrogen, an organic amine plasma, a plasma comprising oxygen, a plasma comprising oxygen and hydrogen, and mixtures thereof.
  • the plasma source for the remote plasma source and/or the in-situ plasma source is selected from the group consisting of a carbon source plasma, including a hydrocarbon plasma, a plasma comprising hydrocarbon and helium, a plasma comprising hydrocarbon and argon, carbon dioxide plasma, carbon monoxide plasma, a plasma comprising hydrocarbon and hydrogen, a plasma comprising hydrocarbon and a nitrogen source, a plasma comprising hydrocarbon and an oxygen source, and mixture thereof.
  • a carbon source plasma including a hydrocarbon plasma, a plasma comprising hydrocarbon and helium, a plasma comprising hydrocarbon and argon, carbon dioxide plasma, carbon monoxide plasma, a plasma comprising hydrocarbon and hydrogen, a plasma comprising hydrocarbon and a nitrogen source, a plasma comprising hydrocarbon and an oxygen source, and mixture thereof.
  • the flowable liquid or oligomer is treated at one or more temperatures ranging from about 100 °C to about 1000 °C to densify at least a portion of the materials.
  • the post thermal treatment materials are exposed to a plasma, infrared lights, chemical treatment, an electron beam, or UV light to form a dense film.
  • steps of the methods described herein define one cycle for the methods described herein; and the cycle can be repeated until the desired thickness of a silicon-containing film is obtained.
  • steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof.
  • FIG. 1 is an SEM micrograph showing the results of Example 1 ;
  • FIG. 2 is an SEM micrograph showing the results of Example 2
  • FIG. 3 is an SEM micrograph showing the results of Example 3.
  • FIG. 4 is an SEM micrograph showing the results of Example 5.
  • FCVD methods for depositing a silicon-containing film in a flowable chemical vapor deposition process, the method comprising: placing a substrate comprising a surface feature into a reactor which is at one or more temperatures ranging from -20°C to about 100°C; introducing into the reactor a composition comprising at least one compound having the formula R n SiRV n wherein R is selected a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group; R 1 is selected from hydrogen and a branched Ci to Ci 0 alkyl group; and n is a number selected from 1 , 2, 3 and 4; and providing a plasma source into the reactor to at least partially react the at least one compound to form a flowable liquid oligomer, wherein the flowable liquid oligomer at least partially fills at least a portion of the surface feature, wherein the plasma source comprises both a remote plasma source and an in-situ
  • Films deposited by, for example, flowable chemical deposition treatment typically experience film shrinkage during post-treatment due to the low process temperature. Voids and seams can form in the film due to significant film shrinkage and the increase of film stress. Thus, it has been challenging to densify the film without increasing film stress or creating voids.
  • the composition and method described herein overcomes these problems by improving the fill of at least a portion of a surface feature on a substrate by using the disclosed precursors and compositions comprising the disclosed precursors.
  • Embodiments of the disclosure provide methods of depositing a gap-fill film (e.g., SiC, SiCO, SiCN, SiCON) in high aspect ratio (AR) structures with small dimensions.
  • a gap-fill film e.g., SiC, SiCO, SiCN, SiCON
  • Some embodiments advantageously provide methods involving cyclic deposition-treatment processes that can be performed in a cluster tool environment.
  • Some embodiments advantageously provide seam-free high quality silicon-containing films to fill up high AR trenches with small dimensions.
  • Some embodiments advantageously provide films containing high carbon content.
  • the high carbon content films can be advantageously used in hard mask and low-k flowable applications.
  • One or more embodiments of the disclosure are directed to processes where flowable silicon-containing films are deposited which are able to fill high aspect ratio structures (e.g., AR>8:1).
  • Embodiments of the disclosure provide new precursors to generate SiC, SiOC, SiCN, SiOCN, SiO and SiN flowable films using F-CVD (flowable chemical vapor deposition) for gap-fill applications.
  • the precursors of various embodiments include alkenyl (vinyl) and/or alkynyl groups.
  • the precursors are exposed to reactive radicals to initiate a radical induced polymerization in the deposition chamber.
  • a "substrate” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process.
  • a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Substrates include, without limitation,
  • substrate surface is intended to include such underlayer as the context indicates. Thus for example, where a film/layer or partial film/layer has been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.
  • the method deposits a film upon at least a portion of the surface of a substrate comprising a surface feature.
  • the substrate is placed into the reactor and the substrate is maintained at one or more temperatures ranging from about -20°C to about 100°C. In one particular embodiment, the temperature of the substrate is less than the walls of the chamber.
  • the substrate temperature is held at a temperature below 100 °C, preferably at a temperature below 25 °C and most preferably below 10 °C and greater than - 20 °C.
  • the aspect ratio (the depth to width ratio) of the surface features, if present, is
  • 0.1 :1 or greater or 1 :1 or greater, or 10:1 or greater, or 20:1 or greater, or 40:1 or greater.
  • the substrate may be a single crystal silicon wafer, a wafer of silicon carbide, a wafer of aluminum oxide (sapphire), a sheet of glass, a metallic foil, an organic polymer film or may be a polymeric, glass, silicon or metallic 3-dimensional article.
  • the substrate may be coated with a variety of materials well known in the art including films of silicon oxide, silicon nitride, amorphous carbon, silicon oxycarbide, silicon oxynitride, silicon carbide, gallium arsenide, gallium nitride and the like. These coatings may completely coat the substrate, may be in multiple layers of various materials and may be partially etched to expose underlying layers of material.
  • the surface may also have on it a photoresist material that has been exposed with a pattern and developed to partially coat the substrate.
  • the substrate comprises at least one member selected from the group consisting of Si, SiO x , SiN, SiGe, SiOC and SiON.
  • the inventive silicon containing film can be employed as a hard mask and provide etch selectivity to a photoresist.
  • the inventive silicon containing film functions as a dielectric film between conductive materials, as a barrier between conductive and other dielectric, or as a film within a sandwich dielectric.
  • the precursors, reagents and sources used herein may be sometimes described as “gaseous”, it is understood that the precursors can be either liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation.
  • the vaporized precursors can pass through a plasma generator.
  • the films are deposited using a plasma-based (e.g., remote generated or in situ) CVD process.
  • reactor includes without limitation, a reaction chamber or deposition chamber.
  • the method of the present development also comprises the step of introducing into the reactor a composition comprising at least one compound having the formula R n SiR 1 4.n wherein R is selected a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group; R 1 is selected from hydrogen and a branched Ci to Ci 0 alkyl group; and n is a number selected from 1 , 2, 3 and 4.
  • R is selected a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group
  • R 1 is selected from hydrogen and a branched Ci to Ci 0 alkyl group
  • n is a number selected from 1 , 2, 3 and 4.
  • Exemplary compounds for Formula I include, but not limited to, the following:
  • linear or branched alkyl denotes a linear functional group having from 1 to 10, 3 to 10, or 1 to 6 carbon atoms.
  • branched alkyl denotes a linear functional group having from 3 to 10, or 1 to 6 carbon atoms.
  • Exemplary linear or branched alkyl groups include, but are not limited to, methyl (Me), ethyl (Et), isopropyl (Pr 1 ), isobutyl (Bu 1 ), sec-butyl (Bu s ), tert-butyl (Bu‘), iso-pentyl, tert-pentyl (am), isohexyl, and neohexyl.
  • the alkyl group may have one or more functional groups such as, but not limited to, an alkoxy group, a dialkylamino group or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto.
  • the alkyl group may be saturated or, alternatively, unsaturated.
  • cyclic alkyl denotes a cyclic group having from 3 to 10 or 5 to 10 atoms.
  • exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups.
  • the cyclic alkyl group may have one or more Ci to Ci 0 linear, branched substituents, or substituents containing oxygen or nitrogen atoms.
  • the cyclic alkyl group may have one or more linear or branched alkyls or alkoxy groups as substituents, such as, for example, a methylcyclohexyl group or a methoxycyclohexyl group.
  • aryl denotes an aromatic cyclic functional group having from 3 to 10 carbon atoms, from 5 to 10 carbon atoms, or from 6 to 10 carbon atoms.
  • exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, and o-xylyl.
  • alkenyl group denotes a group which has one or more carbon-carbon double bonds and has from 2 to 12, from 2 to 10, or from 2 to 6 carbon atoms.
  • alkenyl groups include, but are not limited to, vinyl or allyl groups.
  • alkylene group denotes a group which is derived from an alkyl by removal of two hydrogen atoms.
  • exemplary alkylene groups include, but are not limited to, methylene (-CH 2 -) or ethylene (-CH 2 CH 2 -) groups.
  • the term“unsaturated” as used herein means that the functional group, substituent, ring or bridge has one or more carbon double or triple bonds.
  • An example of an unsaturated ring can be, without limitation, an aromatic ring such as a phenyl ring.
  • the term“saturated” means that the functional group, substituent, ring or bridge does not have one or more double or triple bonds.
  • one or more of the alkyl group, alkenyl group, alkynyl group, aryl group, and/or cyclic alkyl group in the formulae may be“substituted” or have one or more atoms or group of atoms substituted in place of, for example, a hydrogen atom.
  • substituents include, but are not limited to, oxygen, sulfur, halogen atoms (e.g.,
  • alkyl groups F, Cl, I, or Br
  • nitrogen alkyl groups
  • alkyl groups F, Cl, I, or Br
  • nitrogen alkyl groups
  • phosphorous one or more of the alkyl group, alkenyl group, alkynyl group, aromatic and/or aryl group in the formulae may be unsubstituted.
  • the silicon precursor compounds are preferably substantially free of halide ions such as chloride or metal ions such as Al.
  • halide ions such as, for example, chlorides and fluorides, bromides, iodides, Al 3+ ions, Fe 2+ , Fe 3+ , Ni 2+ , Cr 3+ means less than 5 ppm (by weight), preferably less than 3 ppm, and more preferably less than 1 ppm, and most preferably 0 ppm.
  • Chlorides or metal ions are known to act as decomposition catalysts for silicon precursors. Significant levels of chloride in the final product can cause the silicon precursors to degrade.
  • silicon precursors are known to form flammable and/or pyrophoric gases upon decomposition such as hydrogen and silane. Therefore, the accelerated decomposition of the silicon precursors presents safety and performance concerns related to the formation of these flammable and/or pyrophoric gaseous byproducts.
  • the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same.
  • the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate.
  • the substrate may be exposed to one or more pre deposition treatments such as, but not limited to, a plasma treatment, thermal treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and combinations thereof to affect one or more properties of the films.
  • pre deposition treatments such as, but not limited to, a plasma treatment, thermal treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and combinations thereof to affect one or more properties of the films.
  • These pre-deposition treatments may occur under an atmosphere selected from inert, oxidizing, and/or reducing.
  • the method of the present development comprises the step of providing a plasma source into the reactor to at least partially react the at least one compound having the formula R n SiRV n to form a flowable liquid oligomer, wherein the flowable liquid oligomer at least partially fills at least a portion of the surface feature, wherein the plasma source comprises both a remote plasma source and an in-situ plasma source operating in combination.
  • the process of the present development employs dual plasma sources - a remote plasma source and an in-situ RF plasma source (e.g., capacitive coupled) - to yield the deposition and post-cure films with the best gap fill properties such as being substantially free of visible voids as seen by scanning electron microscopy (SEM).
  • the remote plasma source and an in-situ plasma source operating in combination, which means that both sources are energized at least part of the time during the deposition. In other embodiments, both sources are energized during at least a quarter of the time during the deposition. In other embodiments, both sources are energized during at least half of the time during the deposition. In other embodiments, both sources are energized during at least three quarters of the time during the deposition. In yet other embodiments, both sources are energized the entire time during the deposition.
  • Plasma power applied to the RPS is 1000 - 3000W, preferably 2000 - 3000W.
  • Plasma power to the in-situ plasma is 100 - 1000W, preferably 200 - 300W.
  • Energy is applied to the at least one compound having the formula R n SiRV n and plasma sources to induce reaction and to form the flowable silicon-containing film or coating on the substrate.
  • Such energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e- beam, photon, remote plasma methods, and combinations thereof.
  • a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface.
  • the plasma generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.
  • organoamine examples include methylamine, ethylamine, propylamine, iso-propylamine, tert-butylamine, sec-butylamine, tert-amylamine, ethylenediamine, dimethylamine, trimethylamine, diethylamine, pyrrole, 2,6- dimethylpiperidine, di-n-propylamine, di-iso-propylamine, ethylmethylamine, N-methylaniline, pyridine, and triethylamine.
  • At least one of the remote plasma source and the in-situ plasma source can comprise carbon.
  • the plasma source is selected from but not limited to the group consisting of a carbon source plasma, including a hydrocarbon plasma, a plasma comprising hydrocarbon and helium, a plasma comprising hydrocarbon and argon, carbon dioxide plasma, carbon monoxide plasma, a plasma comprising hydrocarbon and hydrogen, a plasma comprising hydrocarbon and a nitrogen source, a plasma comprising hydrocarbon and an oxygen source, and mixture thereof.
  • the at least one compound and carbon source react and form a silicon carbide film (which is non-stoichiometric), or a silicon carbonitride film, or a silicon oxynitride film, or a silicon oxycarbide film, or a silicon oxycarbonitrde film on at least a portion of the surface feature and substrate.
  • the plasma source is selected from but not limited to hydrogen plasma, helium plasma, argon plasma, xenon plasma, and mixture thereof.
  • the at least one compound and plasma react and form a silicon nitride film, or a silicon carbide film, or a silicon carbonitride film on at least a portion of the surface feature and substrate.
  • At least one of the remote plasma source and the in-situ plasma source can comprise oxygen.
  • the oxygen-containing source can be selected from the group consisting of water (H 2 0), oxygen (0 2 ), oxygen plasma, ozone (0 3 ), NO,
  • the substrate may be (i.e., optionally) treated with an oxygen-containing source as described above under certain process conditions sufficient to make the silicon nitride film form a silicon oxide or a silicon oxynitride or carbon doped silicon oxide film.
  • the reactor is at a pressure below atmospheric pressure or 750 torr (10 5 Pascals (Pa)) or less, or 100 torr (13332 Pa) or less. In other embodiments, the pressure of the reactor is maintained at a range of about 0.1 torr (13 Pa) to about 10 torr (1333 Pa).
  • Flowable films as deposited, are generally not stable and age upon exposure to atmospheric conditions.
  • the flowable films of some embodiments are deposited by a silicon- containing precursor and radical forms of, for example, NH 3 /0 2 as co-reactants. These films are then cured by ozone/UV/steam annealing/NH 3 annealing, etc., which results in a cured film.
  • the present development teaches the use of precursor selection that will provide films with a desired silicon to carbon ratio (Si:C).
  • Deposition of films using TVS has provide Si:C ratio of ca. 1 :4.
  • the precursor has a Si:C of 1 :8.
  • Selection of precursor with multiple Si atoms contained in a ring structure, such as that observed in 1 , 1 ,3,3-tetravinyl-1 ,3- disilacyclobutane can yield films with a higher Si:C.
  • the chemical structure is projected to provide a Si:C of 1 :3.
  • Use of precursors such as allyl substituted disilacyclobutanes can potentially provide lower Si:C, possibly approaching 1 :1 based on the improved potential of the allyl group as a leaving group during deposition or film curing.
  • the flowable film 150 can be formed at any suitable temperature.
  • the flowable film 150 is formed at a temperature in the range of about -20°C to about 100°C.
  • the temperature can be kept low to preserve the thermal budget of the device being formed.
  • forming the flowable film occurs at a temperature less than about 300°C, 250°C, 200°C, 150°C, 100°C, 75°C, 50°C, 25°C or 0°C.
  • Films with high carbon content may have many applications, for example, in the patterning of hard masks and for flowable low K films.
  • the flowable film using precursors disclosed herein can deposit films which high carbon content.
  • the film has a carbon content up to about 85 atomic %.
  • the flowable film has a carbon content greater than about 40, 45, 50, 55, 60, 65, 70, 75 or 80 atomic %, and less than about 95, 90 or 85 atomic %.
  • the flowable film has a carbon content in the range of about 40 to about 85 atomic %, or in the range of about 50 to about 85 atomic %, or in the range of about 60 to about 80 atomic %.
  • the composition of the flowable film can be adjusted by changing the composition of the reactive gas.
  • the flowable film comprises one or more of SiC,
  • the co-reactant may comprise, for example, one or more of oxygen, ozone or water.
  • the co-reactant may comprise, for example, one or more of ammonia, hydrazine, N0 2 or N 2 .
  • the reactive gas may comprise, for example, one or more of propylene and acetylene.
  • the flowable film may deposit on the wafer (temperature of the wafer can be from - 10°C to 200°C) and due to their flowability, polymers will flow through trenches and make a gap-fill. Then these films are subjected curing steps such as ozone/UV/steam
  • the method provides one or more of SiC, SiCO, SiCN, SiCON, SiO, and/or SiN flowable films. Accordingly, after formation of the flowable film 150, the film may be cured to solidify the flowable film and form a substantially seam-free gap-fill.
  • curing the flowable film comprises exposing the flowable film to one or more of ozone, UV light, steam annealing, ammonia annealing and oxygen plasma.
  • the flowable film is cured by exposing the film to a UV curing process. The UV curing process can occur at a temperature in the range of about 10°C to about 550°C.
  • the UV curing process can occur for any suitable time frame necessary to sufficiently solidify the flowable film.
  • the UV cure can be performed with different parameters, e.g., power, temperature, environment. In some embodiments, the UV cure occurs in an acetylene/ethylene environment.
  • a method for depositing a silicon-containing film in a flowable chemical vapor deposition process comprising: placing a substrate comprising a surface feature into a reactor which is at one or more temperatures ranging from -20°C to about 100°C; introducing into the reactor a composition comprising at least one compound having the formula R n SiRV n wherein R is selected a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group; R 1 is selected from hydrogen and a branched to C 10 alkyl group; and n is a number selected from 1 , 2, 3 and 4; and providing a plasma source into the reactor to at least partially react the at least one compound to form a flowable liquid oligomer, wherein the flowable liquid oligomer at least partially fills at least a portion of the surface feature, wherein the plasma source comprises both a remote plasma source and an in-situ plasma source
  • FCVD Flowable chemical vapor deposition
  • Depositions on a modified FCVD chamber on an Applied Materials Precision 5000 system can be performed using either a silane or a TEOS process kit.
  • the chamber has direct liquid injection (DLI) delivery capability.
  • the precursors are liquids with delivery temperatures dependent on the precursor’s boiling point.
  • typical liquid precursor flow rates were 100-5000 mg/min, in-situ plasma power density was 0.25 - 3.5 W/cm 2 , pressure was 0.75 - 12 Torr.
  • the films were thermally annealed and/or UV cured in vacuum using the modified PECVD chamber at 100-1000 °C, preferably 300-400 °C.
  • Thickness and refractive index (Rl) at 632 nm were measured by a SCI reflectometer or Woollam ellipsometer. Typical film thickness ranged from 10 to 2000 nm. Bonding properties and hydrogen content (Si-H, C-H and N-H) of the silicon-based films were measured and analyzed by a Nicolet transmission Fourier transform infrared spectroscopy (FTIR) tool. All density measurements were accomplished using X-ray reflectivity (XRR). X-ray Photoelectron Spectroscopy (XPS) and Secondary ion mass spectrometry (SIMS) analysis were performed to determine the elemental composition of the films.
  • FTIR Transmission Fourier transform infrared spectroscopy
  • Example 1 Deposition Conditions - 3000 W RPS; 200 W In-Situ; 2 torr; 1500 seem NH 3 ; 1500 mg/min TVS. Referring to FIG. 1 , the films exhibited voids post cure.
  • Example 2 Deposition Conditions - 3000 W RPS; 200 W In-Situ; 2 torr; 1000 seem NH 3 ; 1500 mg/min TVS. Referring to FIG. 2, lower NH 3 flow reduced film voiding.
  • Example 3 Deposition Conditions - 3000 W RPS; 0 W In-Situ; 2 torr; 1000 seem NH3; 1500 mg/min TVS. Referring to FIG. 3, it can be seen that turning off in-situ plasma caused pinch-off at top of feature.
  • Example 4 Deposition Conditions - TVS only : 3000 W RPS; 200 W In-Situ; 2 torr; 1000 seem NH 3 ; 1500 mg/min TVS ; TVS + TSA: 3000 W RPS; 200 W In-Situ; 2 torr; 1000 seem NH 3 ; 1500 mg/min TVS + 900 seem TSA.
  • Table 1 it can be seen that adding TSA to the chamber does not increase N incorporation into the film. It does activate the film for ambient oxidation.
  • Example 4 Chemical composition determined by X-ray Photoelectron Spectroscopy (XPS). Some surface oxidation observed in TVS film. Bulk of film had little oxidation. Surface and bulk oxidation much greater in TVS + TSA film.
  • XPS X-ray Photoelectron Spectroscopy
  • Example 5 Deposition Conditions - TVS only : 0 W RPS; 200 W In-Situ; 8 torr; 400 seem NH 3 ; 2000 mg/min TVS ; 200 seem He; 5 sec deposition; referring to Fig. 4 using TVS with only in-situ plasma activation the deposited films exhibited flowability but after curing they exhibited observable porosity in the filled features. Compared to Fig 2 these films are believed to be of significantly lower density within the feature. [0071] Although certain principles of the invention have been described above in connection with aspects or embodiments, it is to be clearly understood that this description is made only by way of example and not as a limitation of the scope of the invention.

Abstract

Described herein are compositions and methods using same for forming a silicon-containing film such as without limitation a silicon carbide, silicon nitride, silicon oxide, silicon oxynitride, a carbon-doped silicon nitride, a carbon-doped silicon oxide, or a carbon doped silicon oxynitride film on at least a surface of a substrate having a surface feature. In one aspect, the silicon-containing films are deposited using a compound comprising a carbon-carbon double or carbon-carbon triple bond. The plasma source employed comprises both a remote plasma source and an in-situ plasma source operating in combination.

Description

TITLE OF THE INVENTION:
COMPOSITIONS AND METHODS USING SAME FOR DEPOSITION OF SILICON-CONTAINING FILM
FIELD OF THE INVENTION
[0001] Described herein is a process for the fabrication of an electronic device. More specifically, described herein are compositions for forming a silicon-containing film in a deposition process, such as, without limitation, a flowable chemical vapor deposition.
Exemplary silicon-containing films that can be deposited using the compositions and methods described herein include, without limitation, silicon oxide, silicon nitride, silicon carbide, silicon oxynitride or carbon-doped silicon oxide or carbon-doped silicon nitride films.
BACKGROUND OF THE INVENTION
[0002] In microelectronics device fabrication there is a need to fill narrow trenches having aspect ratios (AR) greater than 10:1 with no voiding for many applications. One application is for shallow trench isolation (STI). For this application, the film needs to be of high quality throughout the trench (having, for example, a wet etch rate ratio less than two) with very low leakage. As the dimensions of the structures decrease and the aspect ratios increase post curing methods of the as deposited flowable films become difficult. Resulting in films with varying composition throughout the filled trench.
[0003] Conventional plasma-enhanced chemical vapor deposition (PECVD) of dielectric films form a "mushroom shape" film on top of the narrow trenches. This is due to the inability of the plasma to penetrate into the deep trenches. The results in pinching-off the narrow trench from the top; forming a void at the bottom of the trench.
[0004] Additionally, silicon-containing films, such as SiCO, SiCON, SiCN, are widely used in the manufacture of semiconductor devices. For example, these carbon-containing gap-fill films can be used for patterning applications. Due to the presence of high carbon levels, these films typically show high etch selectivity compared to oxide and nitride films. The etch selectivity can be important for gap-fill films to be used in patterning applications. Therefore, there is a need for precursors and methods for depositing silicon-containing films.
[0005] US2008/0025907 discloses a process of forming a silicon-containing film on a substrate by what is known as flowable CVD or FCVD. The FCVD process is useful for many applications including depositing a gap-fill film in high aspect ratio structures with small dimensions. According to the disclosed process a precursor is exposed to reactive radicals to initiate a radical induced polymerization in a deposition chamber. The reactive radicals are produced using plasma energy. The plasma can be generated or ignited within the processing chamber (e.g. a direct or in-situ plasma) or can be generated outside of the processing chamber and flowed into the processing chamber (e.g. a remote plasma).
BRIEF SUMMARY OF THE INVENTION
[0006] The compositions or formulations described herein and methods using same overcome the problems of the prior art by depositing a silicon-containing film on at least a portion of the substrate surface that provides desirable film properties upon post-deposition treatment. The inventive compositions and methods can provide a silicon-containing film having the following characteristics: i) a film tensile stress, as measured using a Toho stress tool, ranging from about 10 to about 20 MPa after a thermal cure and ranging from about 150 to about 190 MPa after a UV cure, and ii) a density, as measured by X-Ray reflectance ranging from about 1.35 to about 2.10 g/cm3.
[0007] The silicon-containing film is selected from the group consisting of a silicon nitride, a silicon carbide, a silicon oxide, a carbon-doped silicon nitride, a silicon oxynitride, and a carbon-doped silicon oxynitride film. In certain embodiments, the substrate comprises a surface feature. The term“surface feature”, as used herein, means that the substrate or partially fabricated substrate that comprises one or more of the following pores, trenches, shallow trench isolation (STI), vias, reentrant feature, or the like. The compositions can be pre-mixed compositions, pre-mixtures (mixed before being used in the deposition process), or in-situ mixtures (mixed during the deposition process). Thus, in this disclosure the terms
"mixture", "formulation", and“composition” are interchangeable. [0008] In one aspect, there is provided a method for depositing a silicon-containing film in a flowable chemical vapor deposition process. A substrate that includes a surface feature is placed into a reactor which is at one or more temperatures ranging from -20°C to about 100°C. A composition is introduced into the reactor, and the composition includes at least one compound having the formula RnSiRVn wherein R is selected from a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group; R1 is selected from hydrogen, methyl and a linear or branched C2 to Ci0 alkyl group; and n is a number selected from 1 , 2, 3, and 4. A plasma cource is provided into the reactor to at least partially react the at least one compound to form a flowable liquid oligomer. The flowable liquid oligomer at least partially fills at least a portion of the surface feature. The plasma source includes both a remote plasma source and an in-situ plasma source operating in combination.
[0009] In one particular embodiment, at least one of the remote plasma source and the in- situ plasma source comprises a plasma source is selected from the group consisting of a nitrogen plasma, plasma comprising nitrogen and helium, a plasma comprising nitrogen and argon, an ammonia plasma, a plasma comprising ammonia and helium, a plasma comprising ammonia and argon, helium plasma, argon plasma, hydrogen plasma, a plasma comprising hydrogen and helium, a plasma comprising hydrogen and argon, a plasma comprising ammonia and hydrogen, an organic amine plasma, a plasma comprising oxygen, a plasma comprising oxygen and hydrogen, and mixtures thereof.
[0010] In another embodiment, the plasma source for the remote plasma source and/or the in-situ plasma source is selected from the group consisting of a carbon source plasma, including a hydrocarbon plasma, a plasma comprising hydrocarbon and helium, a plasma comprising hydrocarbon and argon, carbon dioxide plasma, carbon monoxide plasma, a plasma comprising hydrocarbon and hydrogen, a plasma comprising hydrocarbon and a nitrogen source, a plasma comprising hydrocarbon and an oxygen source, and mixture thereof.
[0011] In any of the above or in an alternative embodiment, the flowable liquid or oligomer is treated at one or more temperatures ranging from about 100 °C to about 1000 °C to densify at least a portion of the materials. [0012] In some embodiments, the post thermal treatment materials are exposed to a plasma, infrared lights, chemical treatment, an electron beam, or UV light to form a dense film.
[0013] The above steps define one cycle for the methods described herein; and the cycle can be repeated until the desired thickness of a silicon-containing film is obtained. In this or other embodiments, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof.
[0014] The various embodiments of the invention can be used alone or in combinations with each other.
DESCRIPTION OF THE DRAWINGS
[0015] FIG. 1 is an SEM micrograph showing the results of Example 1 ;
[0016] FIG. 2 is an SEM micrograph showing the results of Example 2;
[0017] FIG. 3 is an SEM micrograph showing the results of Example 3; and
[0018] FIG. 4 is an SEM micrograph showing the results of Example 5.
DETAILED DESCRIPTION OF THE INVENTION
[0019] Described herein are FCVD methods for depositing a silicon-containing film in a flowable chemical vapor deposition process, the method comprising: placing a substrate comprising a surface feature into a reactor which is at one or more temperatures ranging from -20°C to about 100°C; introducing into the reactor a composition comprising at least one compound having the formula RnSiRVn wherein R is selected a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group; R1 is selected from hydrogen and a branched Ci to Ci0 alkyl group; and n is a number selected from 1 , 2, 3 and 4; and providing a plasma source into the reactor to at least partially react the at least one compound to form a flowable liquid oligomer, wherein the flowable liquid oligomer at least partially fills at least a portion of the surface feature, wherein the plasma source comprises both a remote plasma source and an in-situ plasma source operating in combination..
[0020] Films deposited by, for example, flowable chemical deposition treatment typically experience film shrinkage during post-treatment due to the low process temperature. Voids and seams can form in the film due to significant film shrinkage and the increase of film stress. Thus, it has been challenging to densify the film without increasing film stress or creating voids. The composition and method described herein overcomes these problems by improving the fill of at least a portion of a surface feature on a substrate by using the disclosed precursors and compositions comprising the disclosed precursors.
[0021] Embodiments of the disclosure provide methods of depositing a gap-fill film (e.g., SiC, SiCO, SiCN, SiCON) in high aspect ratio (AR) structures with small dimensions. Some embodiments advantageously provide methods involving cyclic deposition-treatment processes that can be performed in a cluster tool environment. Some embodiments advantageously provide seam-free high quality silicon-containing films to fill up high AR trenches with small dimensions. Some embodiments advantageously provide films containing high carbon content. In one or more embodiments, the high carbon content films can be advantageously used in hard mask and low-k flowable applications.
[0022] One or more embodiments of the disclosure are directed to processes where flowable silicon-containing films are deposited which are able to fill high aspect ratio structures (e.g., AR>8:1). Embodiments of the disclosure provide new precursors to generate SiC, SiOC, SiCN, SiOCN, SiO and SiN flowable films using F-CVD (flowable chemical vapor deposition) for gap-fill applications. The precursors of various embodiments include alkenyl (vinyl) and/or alkynyl groups. In one or more embodiments, the precursors are exposed to reactive radicals to initiate a radical induced polymerization in the deposition chamber.
[0023] In one embodiment, the method of the present development comprises the step of placing a substrate comprising a surface feature into a reactor which is at one or more temperatures ranging from -20°C to about 100°C.
[0024] A "substrate" as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Substrates include, without limitation,
semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, e-beam cure and/or bake the substrate surface. In addition to film processing directly on the surface of the substrate itself, in the present invention, any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term
"substrate surface" is intended to include such underlayer as the context indicates. Thus for example, where a film/layer or partial film/layer has been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.
[0025] As previously mentioned, the method deposits a film upon at least a portion of the surface of a substrate comprising a surface feature. The substrate is placed into the reactor and the substrate is maintained at one or more temperatures ranging from about -20°C to about 100°C. In one particular embodiment, the temperature of the substrate is less than the walls of the chamber. The substrate temperature is held at a temperature below 100 °C, preferably at a temperature below 25 °C and most preferably below 10 °C and greater than - 20 °C.
[0026] As previously mentioned, the substrate comprises one or more surface features. In one particular embodiment, the surface feature(s) have a width of 1 pm in width or less, or
500 nm in width or less, or 50 nm in width or less, or 10 nm in width. In this or other embodiments, the aspect ratio (the depth to width ratio) of the surface features, if present, is
0.1 :1 or greater, or 1 :1 or greater, or 10:1 or greater, or 20:1 or greater, or 40:1 or greater.
The substrate may be a single crystal silicon wafer, a wafer of silicon carbide, a wafer of aluminum oxide (sapphire), a sheet of glass, a metallic foil, an organic polymer film or may be a polymeric, glass, silicon or metallic 3-dimensional article. The substrate may be coated with a variety of materials well known in the art including films of silicon oxide, silicon nitride, amorphous carbon, silicon oxycarbide, silicon oxynitride, silicon carbide, gallium arsenide, gallium nitride and the like. These coatings may completely coat the substrate, may be in multiple layers of various materials and may be partially etched to expose underlying layers of material. The surface may also have on it a photoresist material that has been exposed with a pattern and developed to partially coat the substrate.
[0027] In one aspect of the invention, the substrate comprises at least one member selected from the group consisting of Si, SiOx, SiN, SiGe, SiOC and SiON. In another aspect of the invention, the inventive silicon containing film can be employed as a hard mask and provide etch selectivity to a photoresist. In a further aspect of the invention, the inventive silicon containing film functions as a dielectric film between conductive materials, as a barrier between conductive and other dielectric, or as a film within a sandwich dielectric.
[0028] The method used to form the films or coatings described herein are flowable chemical deposition processes. Examples of suitable deposition processes for the method disclosed herein include, but are not limited to, cyclic flowable chemical vapor deposition (CFCVD), or plasma enhanced flowable chemical vapor deposition (PEFCVD). As used herein, the term“flowable chemical vapor deposition processes” refers to any process wherein a substrate is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to provide flowable oligomeric silicon-containing species and then produce the solid film or material upon further treatment and, in some cases at least a portion of the oligomeric species comprises polymeric species. Although the precursors, reagents and sources used herein may be sometimes described as “gaseous”, it is understood that the precursors can be either liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation. In some case, the vaporized precursors can pass through a plasma generator. In one embodiment, the films are deposited using a plasma-based (e.g., remote generated or in situ) CVD process. The term“reactor” as used herein, includes without limitation, a reaction chamber or deposition chamber.
[0029] The method of the present development also comprises the step of introducing into the reactor a composition comprising at least one compound having the formula RnSiR1 4.n wherein R is selected a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group; R1 is selected from hydrogen and a branched Ci to Ci0 alkyl group; and n is a number selected from 1 , 2, 3 and 4. Exemplary compounds for Formula I include, but not limited to, the following:
Figure imgf000010_0001
[0030] In the formula above and throughout the description, the term“linear or branched alkyl” denotes a linear functional group having from 1 to 10, 3 to 10, or 1 to 6 carbon atoms. In the formulae above and throughout the description, the term“branched alkyl” denotes a linear functional group having from 3 to 10, or 1 to 6 carbon atoms. Exemplary linear or branched alkyl groups include, but are not limited to, methyl (Me), ethyl (Et), isopropyl (Pr1), isobutyl (Bu1), sec-butyl (Bus), tert-butyl (Bu‘), iso-pentyl, tert-pentyl (am), isohexyl, and neohexyl. In certain embodiments, the alkyl group may have one or more functional groups such as, but not limited to, an alkoxy group, a dialkylamino group or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto. The alkyl group may be saturated or, alternatively, unsaturated.
[0031] In the formulae above and throughout the description, the term“cyclic alkyl” denotes a cyclic group having from 3 to 10 or 5 to 10 atoms. Exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups. In certain embodiments, the cyclic alkyl group may have one or more Ci to Ci0 linear, branched substituents, or substituents containing oxygen or nitrogen atoms. In this or other embodiments, the cyclic alkyl group may have one or more linear or branched alkyls or alkoxy groups as substituents, such as, for example, a methylcyclohexyl group or a methoxycyclohexyl group.
[0032] In the formulae above and throughout the description, the term“aryl” denotes an aromatic cyclic functional group having from 3 to 10 carbon atoms, from 5 to 10 carbon atoms, or from 6 to 10 carbon atoms. Exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, and o-xylyl.
[0033] In the formulae above and throughout the description, the term“alkenyl group” denotes a group which has one or more carbon-carbon double bonds and has from 2 to 12, from 2 to 10, or from 2 to 6 carbon atoms. Exemplary alkenyl groups include, but are not limited to, vinyl or allyl groups.
[0034] The term“alkynyl group” denotes a group which has one or more carbon-carbon triple bonds and has from 2 to 12 or from 2 to 6 carbon atoms.
[0035] The term“alkylene group” denotes a group which is derived from an alkyl by removal of two hydrogen atoms. Exemplary alkylene groups include, but are not limited to, methylene (-CH2-) or ethylene (-CH2CH2-) groups.
[0036] In the formulae above and through the description, the term“unsaturated” as used herein means that the functional group, substituent, ring or bridge has one or more carbon double or triple bonds. An example of an unsaturated ring can be, without limitation, an aromatic ring such as a phenyl ring. The term“saturated” means that the functional group, substituent, ring or bridge does not have one or more double or triple bonds.
[0037] In certain embodiments, one or more of the alkyl group, alkenyl group, alkynyl group, aryl group, and/or cyclic alkyl group in the formulae may be“substituted” or have one or more atoms or group of atoms substituted in place of, for example, a hydrogen atom. Exemplary substituents include, but are not limited to, oxygen, sulfur, halogen atoms (e.g.,
F, Cl, I, or Br), nitrogen, alkyl groups, and phosphorous. In other embodiments, one or more of the alkyl group, alkenyl group, alkynyl group, aromatic and/or aryl group in the formulae may be unsubstituted.
[0038] The silicon precursor compounds are preferably substantially free of halide ions such as chloride or metal ions such as Al. As used herein, the term“substantially free” as it relates to halide ions (or halides) such as, for example, chlorides and fluorides, bromides, iodides, Al3+ ions, Fe2+, Fe3+, Ni2+, Cr3+ means less than 5 ppm (by weight), preferably less than 3 ppm, and more preferably less than 1 ppm, and most preferably 0 ppm. Chlorides or metal ions are known to act as decomposition catalysts for silicon precursors. Significant levels of chloride in the final product can cause the silicon precursors to degrade. The gradual degradation of the silicon precursors may directly impact the film deposition process making it difficult for the semiconductor manufacturer to meet film specifications. In addition, the shelf-life or stability is negatively impacted by the higher degradation rate of the silicon precursors thereby making it difficult to guarantee a 1-2 year shelf-life. Moreover, silicon precursors are known to form flammable and/or pyrophoric gases upon decomposition such as hydrogen and silane. Therefore, the accelerated decomposition of the silicon precursors presents safety and performance concerns related to the formation of these flammable and/or pyrophoric gaseous byproducts.
[0039] The compounds disclosed herein may be delivered to the flowable chemical vapor deposition reactor in a variety of ways including but not limited to vapor draw, bubbling or direct liquid injection (DLI). In one embodiment, a liquid delivery system may be utilized. In another embodiment, reactor may be equipped with a dual plenum showerhead to keep the plasma species generated remotely separate from vapors of the precursors until they are combined in the reactor to deposit flowable liquid. In an alternative embodiment, a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, MN, to enable low volatility materials to be volumetrically delivered, which leads to reproducible transport and deposition without thermal decomposition of the precursor. In liquid delivery formulations, the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same. Thus, in certain embodiments the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate.
[0040] In certain embodiments, the substrate may be exposed to one or more pre deposition treatments such as, but not limited to, a plasma treatment, thermal treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and combinations thereof to affect one or more properties of the films. These pre-deposition treatments may occur under an atmosphere selected from inert, oxidizing, and/or reducing.
[0041] The method of the present development comprises the step of providing a plasma source into the reactor to at least partially react the at least one compound having the formula RnSiRVn to form a flowable liquid oligomer, wherein the flowable liquid oligomer at least partially fills at least a portion of the surface feature, wherein the plasma source comprises both a remote plasma source and an in-situ plasma source operating in combination.
[0042] The process of the present development employs dual plasma sources - a remote plasma source and an in-situ RF plasma source (e.g., capacitive coupled) - to yield the deposition and post-cure films with the best gap fill properties such as being substantially free of visible voids as seen by scanning electron microscopy (SEM). The remote plasma source and an in-situ plasma source operating in combination, which means that both sources are energized at least part of the time during the deposition. In other embodiments, both sources are energized during at least a quarter of the time during the deposition. In other embodiments, both sources are energized during at least half of the time during the deposition. In other embodiments, both sources are energized during at least three quarters of the time during the deposition. In yet other embodiments, both sources are energized the entire time during the deposition.
[0043] Plasma power applied to the RPS is 1000 - 3000W, preferably 2000 - 3000W. Plasma power to the in-situ plasma is 100 - 1000W, preferably 200 - 300W.
[0044] Energy is applied to the at least one compound having the formula RnSiRVn and plasma sources to induce reaction and to form the flowable silicon-containing film or coating on the substrate. Such energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e- beam, photon, remote plasma methods, and combinations thereof. In certain embodiments, a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface. In embodiments wherein the deposition involves plasma, the plasma generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.
[0045] At least one of the remote plasma source and the in-situ plasma source can comprise nitrogen. The plasma comprising nitrogen can be selected from the group consisting of nitrogen plasma, nitrogen/hydrogen plasma, nitrogen/helium plasma, nitrogen/argon plasma, ammonia plasma, ammonia/helium plasma, ammonia/argon plasma, ammonia/nitrogen plasma, NF3, NF3 plasma, organic amine plasma, and mixtures thereof. The at least one compound and nitrogen source react and form a silicon nitride film (which is non-stoichiometric) or a silicon carbonitride film on at least a portion of the surface feature and substrate. The term“organic amine” as used herein describes an organic compound that has at least one nitrogen atom. Examples of organoamine, but are not limited to, methylamine, ethylamine, propylamine, iso-propylamine, tert-butylamine, sec-butylamine, tert-amylamine, ethylenediamine, dimethylamine, trimethylamine, diethylamine, pyrrole, 2,6- dimethylpiperidine, di-n-propylamine, di-iso-propylamine, ethylmethylamine, N-methylaniline, pyridine, and triethylamine.
[0046] At least one of the remote plasma source and the in-situ plasma source can comprise carbon. In such embodiment, the plasma source is selected from but not limited to the group consisting of a carbon source plasma, including a hydrocarbon plasma, a plasma comprising hydrocarbon and helium, a plasma comprising hydrocarbon and argon, carbon dioxide plasma, carbon monoxide plasma, a plasma comprising hydrocarbon and hydrogen, a plasma comprising hydrocarbon and a nitrogen source, a plasma comprising hydrocarbon and an oxygen source, and mixture thereof. The at least one compound and carbon source react and form a silicon carbide film (which is non-stoichiometric), or a silicon carbonitride film, or a silicon oxynitride film, or a silicon oxycarbide film, or a silicon oxycarbonitrde film on at least a portion of the surface feature and substrate.
[0047] In a different embodiment, the plasma source is selected from but not limited to hydrogen plasma, helium plasma, argon plasma, xenon plasma, and mixture thereof. The at least one compound and plasma react and form a silicon nitride film, or a silicon carbide film, or a silicon carbonitride film on at least a portion of the surface feature and substrate. [0048] At least one of the remote plasma source and the in-situ plasma source can comprise oxygen. In such embodiments, the oxygen-containing source can be selected from the group consisting of water (H20), oxygen (02), oxygen plasma, ozone (03), NO,
N20, carbon monoxide (CO), carbon dioxide (C02), N20 plasma, carbon monoxide (CO) plasma, carbon dioxide (C02) plasma, and combinations thereof.
[0049] Also, after the silicon containing film is deposited, the substrate may be (i.e., optionally) treated with an oxygen-containing source as described above under certain process conditions sufficient to make the silicon nitride film form a silicon oxide or a silicon oxynitride or carbon doped silicon oxide film.
[0050] In certain embodiments, the reactor is at a pressure below atmospheric pressure or 750 torr (105 Pascals (Pa)) or less, or 100 torr (13332 Pa) or less. In other embodiments, the pressure of the reactor is maintained at a range of about 0.1 torr (13 Pa) to about 10 torr (1333 Pa).
[0051] Flowable films, as deposited, are generally not stable and age upon exposure to atmospheric conditions. The flowable films of some embodiments are deposited by a silicon- containing precursor and radical forms of, for example, NH3/02 as co-reactants. These films are then cured by ozone/UV/steam annealing/NH3 annealing, etc., which results in a cured film.
[0052] In certain embodiments, the flowable liquid or oligomer is treated at one or more temperatures ranging from about 100 °C to about 1000 °C to densify at least a portion of the materials. In other embodiments, the flowable liquid or oligomer is treated by exposure to at least one of the following: an oxygen-containing source under certain process conditions sufficient to make the silicon nitride film form a silicon oxide or a silicon oxynitride or carbon doped silicon oxide film. The oxygen-containing source can be selected from the group consisting of water (H20), oxygen (02), oxygen plasma, ozone (03), NO, N20, carbon monoxide (CO), carbon dioxide (C02), N20 plasma, carbon monoxide (CO) plasma, carbon dioxide (C02) plasma, and combinations thereof.
[0053] In some embodiments, the post thermal treatment materials are exposed to a plasma, infrared lights, chemical treatment, an electron beam, or UV light to form a dense film. In one embodiment of the invention, a post treatment comprising exposure to UV light exposure is conducted under conditions to emit gaseous by-products.
[0054] The above steps define one cycle for the methods described herein; and the cycle can be repeated until the desired thickness of a silicon-containing film is obtained.
[0055] The present development teaches the use of precursor selection that will provide films with a desired silicon to carbon ratio (Si:C). Deposition of films using TVS has provide Si:C ratio of ca. 1 :4. The precursor has a Si:C of 1 :8. Selection of precursor with multiple Si atoms contained in a ring structure, such as that observed in 1 , 1 ,3,3-tetravinyl-1 ,3- disilacyclobutane can yield films with a higher Si:C. The chemical structure is projected to provide a Si:C of 1 :3. Use of precursors such as allyl substituted disilacyclobutanes can potentially provide lower Si:C, possibly approaching 1 :1 based on the improved potential of the allyl group as a leaving group during deposition or film curing.
[0056] The flowable film 150 can be formed at any suitable temperature. In some embodiments, the flowable film 150 is formed at a temperature in the range of about -20°C to about 100°C. The temperature can be kept low to preserve the thermal budget of the device being formed. In some embodiments, forming the flowable film occurs at a temperature less than about 300°C, 250°C, 200°C, 150°C, 100°C, 75°C, 50°C, 25°C or 0°C.
[0057] Films with high carbon content may have many applications, for example, in the patterning of hard masks and for flowable low K films. In some embodiments, the flowable film using precursors disclosed herein can deposit films which high carbon content. In some embodiments, the film has a carbon content up to about 85 atomic %. In one or more embodiments, the flowable film has a carbon content greater than about 40, 45, 50, 55, 60, 65, 70, 75 or 80 atomic %, and less than about 95, 90 or 85 atomic %. In some
embodiments, the flowable film has a carbon content in the range of about 40 to about 85 atomic %, or in the range of about 50 to about 85 atomic %, or in the range of about 60 to about 80 atomic %.
[0058] The composition of the flowable film can be adjusted by changing the composition of the reactive gas. In some embodiments, the flowable film comprises one or more of SiC,
SiCO, SiCN, SiCON, SiO and SiN. To form an oxygen containing film, the co-reactant may comprise, for example, one or more of oxygen, ozone or water. To form a nitrogen containing film, the co-reactant may comprise, for example, one or more of ammonia, hydrazine, N02 or N2. To form a carbon containing film, the reactive gas may comprise, for example, one or more of propylene and acetylene. Those skilled in the art will understand that combinations of or other species can be included in the reactive gas mixture to change the composition of the flowable film.
[0059] The flowable film may deposit on the wafer (temperature of the wafer can be from - 10°C to 200°C) and due to their flowability, polymers will flow through trenches and make a gap-fill. Then these films are subjected curing steps such as ozone/UV/steam
annealing/NH3 annealing to get stable films. In some embodiments, the method provides one or more of SiC, SiCO, SiCN, SiCON, SiO, and/or SiN flowable films. Accordingly, after formation of the flowable film 150, the film may be cured to solidify the flowable film and form a substantially seam-free gap-fill. In one or more embodiments, curing the flowable film comprises exposing the flowable film to one or more of ozone, UV light, steam annealing, ammonia annealing and oxygen plasma. In some embodiments, the flowable film is cured by exposing the film to a UV curing process. The UV curing process can occur at a temperature in the range of about 10°C to about 550°C. The UV curing process can occur for any suitable time frame necessary to sufficiently solidify the flowable film. The UV cure can be performed with different parameters, e.g., power, temperature, environment. In some embodiments, the UV cure occurs in an acetylene/ethylene environment.
[0060] In one aspect, there is provided a method for depositing a silicon-containing film in a flowable chemical vapor deposition process, the method comprising: placing a substrate comprising a surface feature into a reactor which is at one or more temperatures ranging from -20°C to about 100°C; introducing into the reactor a composition comprising at least one compound having the formula RnSiRVn wherein R is selected a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group; R1 is selected from hydrogen and a branched
Figure imgf000017_0001
to C10 alkyl group; and n is a number selected from 1 , 2, 3 and 4; and providing a plasma source into the reactor to at least partially react the at least one compound to form a flowable liquid oligomer, wherein the flowable liquid oligomer at least partially fills at least a portion of the surface feature, wherein the plasma source comprises both a remote plasma source and an in-situ plasma source operating in combination. [0061] The following Examples are provided to illustrate certain embodiments of the invention and shall not limit the scope of the appended claims.
Examples
[0062] Flowable chemical vapor deposition (FCVD) films were deposited onto medium resistivity (8-12 Qcm) single crystal silicon wafer substrates and Si pattern wafers. In certain examples, the resultant silicon-containing films or coatings can be exposed to a pre deposition treatment such as, but not limited to, a plasma treatment, thermal treatment, chemical treatment, ultraviolet light exposure, Infrared exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film.
[0063] Depositions on a modified FCVD chamber on an Applied Materials Precision 5000 system, can be performed using either a silane or a TEOS process kit. The chamber has direct liquid injection (DLI) delivery capability. The precursors are liquids with delivery temperatures dependent on the precursor’s boiling point. To deposit initial flowable nitride films, typical liquid precursor flow rates were 100-5000 mg/min, in-situ plasma power density was 0.25 - 3.5 W/cm2, pressure was 0.75 - 12 Torr. To densify the as-deposit flowable films, the films were thermally annealed and/or UV cured in vacuum using the modified PECVD chamber at 100-1000 °C, preferably 300-400 °C. Thickness and refractive index (Rl) at 632 nm were measured by a SCI reflectometer or Woollam ellipsometer. Typical film thickness ranged from 10 to 2000 nm. Bonding properties and hydrogen content (Si-H, C-H and N-H) of the silicon-based films were measured and analyzed by a Nicolet transmission Fourier transform infrared spectroscopy (FTIR) tool. All density measurements were accomplished using X-ray reflectivity (XRR). X-ray Photoelectron Spectroscopy (XPS) and Secondary ion mass spectrometry (SIMS) analysis were performed to determine the elemental composition of the films. The flowability and gap fill effects on patterned wafers were observed by a cross-sectional Scanning Electron Microscopy (SEM) using a Hitachi S-4700 system at a resolution of 2.0 nm. [0064] Flowable CVD depositions were conducted according to the following conditions.
[0065] Example 1 : Deposition Conditions - 3000 W RPS; 200 W In-Situ; 2 torr; 1500 seem NH3; 1500 mg/min TVS. Referring to FIG. 1 , the films exhibited voids post cure.
[0066] Example 2: Deposition Conditions - 3000 W RPS; 200 W In-Situ; 2 torr; 1000 seem NH3; 1500 mg/min TVS. Referring to FIG. 2, lower NH3 flow reduced film voiding.
[0067] Example 3: Deposition Conditions - 3000 W RPS; 0 W In-Situ; 2 torr; 1000 seem NH3; 1500 mg/min TVS. Referring to FIG. 3, it can be seen that turning off in-situ plasma caused pinch-off at top of feature.
[0068] Example 4: Deposition Conditions - TVS only : 3000 W RPS; 200 W In-Situ; 2 torr; 1000 seem NH3; 1500 mg/min TVS ; TVS + TSA: 3000 W RPS; 200 W In-Situ; 2 torr; 1000 seem NH3; 1500 mg/min TVS + 900 seem TSA. Referring to Table 1 , it can be seen that adding TSA to the chamber does not increase N incorporation into the film. It does activate the film for ambient oxidation.
[0069] Additional Notes on Example 4: Chemical composition determined by X-ray Photoelectron Spectroscopy (XPS). Some surface oxidation observed in TVS film. Bulk of film had little oxidation. Surface and bulk oxidation much greater in TVS + TSA film.
Table 1
Figure imgf000019_0001
[0070] Example 5: Deposition Conditions - TVS only : 0 W RPS; 200 W In-Situ; 8 torr; 400 seem NH3; 2000 mg/min TVS ; 200 seem He; 5 sec deposition; referring to Fig. 4 using TVS with only in-situ plasma activation the deposited films exhibited flowability but after curing they exhibited observable porosity in the filled features. Compared to Fig 2 these films are believed to be of significantly lower density within the feature. [0071] Although certain principles of the invention have been described above in connection with aspects or embodiments, it is to be clearly understood that this description is made only by way of example and not as a limitation of the scope of the invention.

Claims

1. A method for depositing a silicon-containing film in a flowable chemical vapor deposition process, the method comprising:
placing a substrate comprising a surface feature into a reactor which is at one or more temperatures ranging from -20°C to about 100°C;
introducing into the reactor a composition comprising at least one compound having the formula RnSiR1 4.n wherein R is selected from a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group; R1 is selected from hydrogen, methane and a linear or branched C2 to C10 alkyl group; and n is a number selected from 1 , 2, 3 and 4;
providing a plasma source into the reactor to at least partially react the at least one compound to form a flowable liquid oligomer, wherein the flowable liquid oligomer at least partially fills at least a portion of the surface feature, and wherein the plasma source comprises both a remote plasma source and an in-situ plasma source operating in combination.
2. The method of claim 1 wherein at least one of the remote plasma source and the in-situ plasma source comprises a plasma source selected from the group consisting of nitrogen plasma, a plasma comprising nitrogen and hydrogen, a plasma comprising nitrogen and helium, a plasma comprising nitrogen and argon, ammonia plasma, a plasma comprising ammonia and helium, a plasma comprising ammonia and argon, a plasma comprising ammonia and nitrogen, NF3 plasma, organic amine plasma, and mixtures thereof.
3. The method of claim 1 wherein at least one of the remote plasma source and the in-situ plasma source comprises a carbon source plasma, selected from the group consisting of a hydrocarbon plasma, a plasma comprising hydrocarbon and helium, a plasma comprising hydrocarbon and argon, carbon dioxide plasma, carbon monoxide plasma, a plasma comprising hydrocarbon and hydrogen, a plasma comprising hydrocarbon and a nitrogen source, a plasma comprising hydrocarbon and an oxygen source, and mixture thereof.
4. The method of claim 1 wherein at least one of the remote plasma source and the in-situ plasma source is selected from the group consisting of hydrogen plasma, helium plasma, argon plasma, xenon plasma, and mixture thereof.
5. The method of claim 1 wherein at least one of the remote plasma source and the in-situ plasma source comprises a plasma source comprising oxygen selected from the group consisting of water (H20) plasma, oxygen plasma, ozone (03) plasma, NO plasma, N20 plasma, carbon monoxide (CO) plasma, carbon dioxide (C02) plasma and combinations thereof.
6. The method of claim 1 further comprising treating the flowable liquid oligomer at one or more temperatures ranging from about 100 °C to about 1000 °C to cure the flowable liquid oligomer and form a hardened film.
7. The method of claim 1 wherein a pressure of the reactor is maintained at 100 torr or less.
8. The method of claim 1 wherein the silicon-containing film is selected from the group consisting of silicon carbide, silicon nitride, silicon oxide, carbon doped silicon nitride, carbon doped silicon oxide, silicon oxynitride, and carbon doped silicon oxynitride film.
9. The method of claim 1 wherein the at least one compound is selected from the group consisting of
Figure imgf000023_0001
10. The method of claim 9 wherein the at least one compound comprises tetravinylsilane.
11. A film obtained by the method of any one of claims 1 to 10.
12. The film of claim 11 which has at least one of the following characteristics i) a film tensile stress ranging from about 150 to about 190 MPa after a UV cure, and ii) a density ranging from about 1.35 to about 2.10 g/cm3.
PCT/US2019/036456 2018-06-11 2019-06-11 Compositions and methods using same for deposition of silicon-containing film WO2019241183A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020217000711A KR102549427B1 (en) 2018-06-11 2019-06-11 Compositions for Deposition of Silicon-Containing Films and Methods of Using The Same
SG11202011890XA SG11202011890XA (en) 2018-06-11 2019-06-11 Compositions and methods using same for deposition of silicon-containing film
EP19820430.7A EP3802913A4 (en) 2018-06-11 2019-06-11 Compositions and methods using same for deposition of silicon-containing film
JP2020568739A JP7125515B2 (en) 2018-06-11 2019-06-11 Compositions and methods of using the compositions for the deposition of silicon-containing films
CN201980039035.7A CN112292479A (en) 2018-06-11 2019-06-11 Compositions for depositing silicon-containing films and methods of use thereof
IL279250A IL279250A (en) 2018-06-11 2020-12-07 Compositions and methods using same for deposition of silicon-containing film

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862683142P 2018-06-11 2018-06-11
US62/683,142 2018-06-11
US16/433,585 US20190376178A1 (en) 2018-06-11 2019-06-06 Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US16/433,585 2019-06-06

Publications (1)

Publication Number Publication Date
WO2019241183A1 true WO2019241183A1 (en) 2019-12-19

Family

ID=68764690

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/036456 WO2019241183A1 (en) 2018-06-11 2019-06-11 Compositions and methods using same for deposition of silicon-containing film

Country Status (9)

Country Link
US (1) US20190376178A1 (en)
EP (1) EP3802913A4 (en)
JP (2) JP7125515B2 (en)
KR (1) KR102549427B1 (en)
CN (1) CN112292479A (en)
IL (1) IL279250A (en)
SG (1) SG11202011890XA (en)
TW (2) TW202120729A (en)
WO (1) WO2019241183A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11713328B2 (en) * 2018-08-23 2023-08-01 Versum Materials Us, Llc Stable alkenyl or alkynyl-containing organosilicon precursor compositions
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
JP7138130B2 (en) * 2020-03-04 2022-09-15 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program
CN116234940A (en) * 2020-09-30 2023-06-06 盖列斯特有限公司 Silicon carbide film and vapor deposition method thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US20060079099A1 (en) * 2004-10-13 2006-04-13 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US20150004806A1 (en) * 2006-11-01 2015-01-01 Lam Research Corporation Low-k oxide deposition by hydrolysis and condensation
US20180025907A1 (en) * 2016-07-19 2018-01-25 Applied Materials, Inc. Deposition Of Flowable Silicon-Containing Films
US20180148833A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Methods for depositing flowable silicon containing films using hot wire chemical vapor deposition

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007184611A (en) 2001-01-22 2007-07-19 Tokyo Electron Ltd Plasma processing device and plasma processing method
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
KR20160106751A (en) * 2014-01-13 2016-09-12 어플라이드 머티어리얼스, 인코포레이티드 Carbon dioxide and carbon monoxide mediated curing of low k films to increase hardness and modulus
KR102332415B1 (en) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing films
KR102613423B1 (en) * 2015-12-21 2023-12-12 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing film
JP6777754B2 (en) * 2016-02-26 2020-10-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Compositions for depositing silicon-containing membranes and methods using them
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US20060079099A1 (en) * 2004-10-13 2006-04-13 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US20150004806A1 (en) * 2006-11-01 2015-01-01 Lam Research Corporation Low-k oxide deposition by hydrolysis and condensation
US20180025907A1 (en) * 2016-07-19 2018-01-25 Applied Materials, Inc. Deposition Of Flowable Silicon-Containing Films
US20180148833A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Methods for depositing flowable silicon containing films using hot wire chemical vapor deposition

Also Published As

Publication number Publication date
KR102549427B1 (en) 2023-06-28
TW202000677A (en) 2020-01-01
KR20210006029A (en) 2021-01-15
EP3802913A1 (en) 2021-04-14
SG11202011890XA (en) 2020-12-30
JP2022161979A (en) 2022-10-21
JP7125515B2 (en) 2022-08-24
IL279250A (en) 2021-01-31
CN112292479A (en) 2021-01-29
TW202120729A (en) 2021-06-01
JP2021527331A (en) 2021-10-11
US20190376178A1 (en) 2019-12-12
TWI720506B (en) 2021-03-01
EP3802913A4 (en) 2022-02-16

Similar Documents

Publication Publication Date Title
US11270880B2 (en) Precursors and flowable CVD methods for making low-k films to fill surface features
EP3420117B1 (en) Compositions and methods using same for deposition of silicon-containing film
KR102549427B1 (en) Compositions for Deposition of Silicon-Containing Films and Methods of Using The Same
CN113373428A (en) Compositions and methods for depositing silicon-containing films using the same
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
EP3394315A1 (en) Compositions and methods using same for deposition of silicon-containing film
US20220349049A1 (en) Compositions and methods using same for deposition of silicon-containing film

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19820430

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2020568739

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217000711

Country of ref document: KR

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 2019820430

Country of ref document: EP

Effective date: 20210111