JP2021527331A - Compositions, and Methods of Using Compositions for Depositing Silicon-Containing Membranes - Google Patents

Compositions, and Methods of Using Compositions for Depositing Silicon-Containing Membranes Download PDF

Info

Publication number
JP2021527331A
JP2021527331A JP2020568739A JP2020568739A JP2021527331A JP 2021527331 A JP2021527331 A JP 2021527331A JP 2020568739 A JP2020568739 A JP 2020568739A JP 2020568739 A JP2020568739 A JP 2020568739A JP 2021527331 A JP2021527331 A JP 2021527331A
Authority
JP
Japan
Prior art keywords
plasma
source
silicon
carbon
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020568739A
Other languages
Japanese (ja)
Other versions
JP7125515B2 (en
Inventor
ジー.リッジウェイ ロバート
エヌ.ブルティス レイモンド
ビー.ラオ マドフカー
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2021527331A publication Critical patent/JP2021527331A/en
Priority to JP2022128939A priority Critical patent/JP2022161979A/en
Application granted granted Critical
Publication of JP7125515B2 publication Critical patent/JP7125515B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Abstract

本明細書において説明されるのは、ケイ素含有膜、例えば、以下に限定するものではないが、炭化ケイ素、窒化ケイ素、酸化ケイ素、酸窒化ケイ素、炭素ドープ窒化ケイ素、炭素ドープ酸化ケイ素又は炭素ドープ酸窒化ケイ素の膜を、少なくとも、表面特徴を有する基材の表面に形成するための、組成物及び組成物を使用する方法である。1つの態様において、ケイ素含有膜は、炭素−炭素の二重結合又は炭素−炭素の三重結合を含む化合物を使用して堆積される。用いられるプラズマ源は、組み合わせられて働くリモートプラズマ源及びインサイチュプラズマ源の両方を含む。 Described herein are silicon-containing films, such as, but not limited to, silicon carbide, silicon nitride, silicon oxide, silicon oxynitride, carbon-doped silicon nitride, carbon-doped silicon oxide or carbon-doped. A composition and a method of using a composition for forming a silicon nitride film on the surface of a substrate having at least surface characteristics. In one embodiment, the silicon-containing film is deposited using a compound that contains a carbon-carbon double bond or a carbon-carbon triple bond. The plasma sources used include both remote plasma sources and in situ plasma sources that work in combination.

Description

本明細書において説明されるのは、電子装置の製造のためのプロセスである。より具体的には、本明細書において説明されるのは、堆積プロセス、例えば、限定するものではないが、流動性化学気相堆積におけるケイ素含有膜を形成するための組成物である。本明細書において説明される組成物及び方法を使用して堆積することができる例示的なケイ素含有膜は、限定するものではないが、酸化ケイ素、窒化ケイ素、炭化ケイ素、酸窒化ケイ素、炭素ドープ酸化窒素又は炭素ドープ窒化ケイ素の膜を含む。 Described herein are processes for the manufacture of electronic devices. More specifically, what is described herein is a composition for forming a silicon-containing film in a deposition process, eg, but not limited to, fluid chemical vapor phase deposition. Exemplary silicon-containing films that can be deposited using the compositions and methods described herein are, but are not limited to, silicon oxide, silicon nitride, silicon carbide, silicon nitride, carbon dope. Includes a film of nitrogen oxide or carbon-doped silicon nitride.

微小電子装置の製造において、多くの用途のために、10:1より大きいアスペクト比(AR)を有する狭いトレンチを、ボイドを形成せずに充填する必要がある。1つの用途は、シャロートレンチアイソレーション(STI)である。この用途のために、膜は非常に少ない漏出であるとともに、トレンチを通して高品質(例えば、2より小さい湿式エッチング速度比)である必要がある。構造体の寸法が小さくなり、アスペクト比が大きくなるにつれて、堆積された流動性膜の後硬化方法は困難になる。結果として、充填されたトレンチを通して、組成変化を有する膜をもたらす。 In the manufacture of microelectronics, narrow trenches with an aspect ratio (AR) greater than 10: 1 need to be filled without forming voids for many applications. One application is shallow trench isolation (STI). For this application, the membrane needs to be of very low leakage and of high quality (eg, wet etching rate ratio less than 2) through the trench. As the size of the structure decreases and the aspect ratio increases, the post-curing method of the deposited fluid film becomes more difficult. The result is a membrane with a compositional change through the filled trench.

誘電膜の従来のプラズマ強化化学気相堆積(PECVD)は、「マッシュルーム形状」の膜を狭いトレンチの頂部に形成する。これは、プラズマが深いトレンチに浸透できないことが原因である。そのことは、頂部から狭いトレンチをピンチオフし、トレンチの底部にボイドを形成することをもたらす。 Conventional plasma-enhanced chemical vapor deposition (PECVD) of dielectric films forms a "mushroom-shaped" film at the top of a narrow trench. This is due to the inability of the plasma to penetrate deep trenches. This results in pinching off the narrow trench from the top and forming voids at the bottom of the trench.

加えて、ケイ素含有膜、例えばSiCO、SiCON、SiCNは、半導体装置の製造において広く使用される。例えば、これらの炭素含有ギャップ充填膜はパターニング用途のために使用することができる。高い炭素レベルであるため、典型的には、これらの膜は、酸化膜及び窒化膜と比較して、高いエッチング選択性を示す。エッチング選択性は、パターニング用途において使用されるギャップ充填膜のために重要である場合がある。従って、ケイ素含有膜を堆積するための前駆体及び方法への要求がある。 In addition, silicon-containing films such as SiCO, SiCON, SiCN are widely used in the manufacture of semiconductor devices. For example, these carbon-containing gap-filled membranes can be used for patterning applications. Due to their high carbon levels, these films typically exhibit high etching selectivity compared to oxide and nitride films. Etching selectivity may be important for gap-filled membranes used in patterning applications. Therefore, there is a need for precursors and methods for depositing silicon-containing films.

米国特許出願公開第2008/0025907号明細書は、流動性CVD又はFCVDとして知られる方法によって、基材上にケイ素含有膜を形成するプロセスを開示している。FCVDプロセスは、小さい寸法を有する高いアスペクト比の構造体にギャップ充填膜を堆積させることを含む多くの用途のために有用である。開示されているプロセスによれば、前駆体は、堆積チャンバーにおいてラジカル誘導重合を開始する反応性ラジカルにさらされる。反応性ラジカルはプラズマエネルギーを使用して作られる。プラズマは、処理チャンバー中で発生又は着火させる(例えば、直接又はインサイチュプラズマ)ことができるか、又は処理チャンバーの外部で発生させて処理チャンバー中に流動させる(例えばリモートプラズマ)ことができる。 U.S. Patent Application Publication No. 2008/0025907 discloses the process of forming a silicon-containing film on a substrate by a method known as fluid CVD or FCVD. The FCVD process is useful for many applications, including depositing gap-filled membranes in high aspect ratio structures with small dimensions. According to the disclosed process, the precursor is exposed to reactive radicals that initiate radical-induced polymerization in the deposition chamber. Reactive radicals are created using plasma energy. The plasma can be generated or ignited in the processing chamber (eg, direct or in situ plasma) or generated outside the processing chamber and flowed into the processing chamber (eg, remote plasma).

本明細書において説明される組成物又は配合物、及び組成物又は配合物を使用する方法は、基材表面の少なくとも一部に、後堆積処理に際して望ましい膜特性を提供するケイ素含有膜を堆積させることによって、従来技術の問題を克服する。本発明の組成物及び方法は、以下の特性:i)Tohoの応力ツールを使用して測定して、熱硬化後に約10〜約20MPaの範囲の、紫外線(UV)硬化後に約150〜約190MPaの範囲の膜引張応力と、ii)X線反射率によって測定して約1.35〜約2.10g/cm3の範囲の密度とを有するケイ素含有膜を提供することができる。 The compositions or formulations described herein, and methods using the compositions or formulations, deposit a silicon-containing film on at least a portion of the substrate surface that provides the desired film properties for post-deposition treatment. By doing so, it overcomes the problems of the prior art. The compositions and methods of the present invention have the following properties: i) Measured using Toho's stress tool, in the range of about 10 to about 20 MPa after thermosetting, about 150 to about 190 MPa after ultraviolet (UV) curing. It is possible to provide a silicon-containing film having a film tensile stress in the range of 1) and a density in the range of about 1.35 to about 2.10 g / cm 3 as measured by ii) X-ray reflectance.

ケイ素含有膜は、窒化ケイ素、炭化ケイ素、酸化ケイ素、炭素ドープ窒化ケイ素、酸窒化ケイ素及び炭素ドープ酸窒化ケイ素の膜からなる群から選択される。特定の実施態様において、基材は表面特徴を備える。本明細書において使用されるとき、用語「表面特徴」は、孔、トレンチ、シャロートレンチアイソレーション(STI)、ビア、再入可能な形状若しくは類似のもののうち、1つ若しくは複数を備える基材又は部分的に製造された基材を意味する。組成物は、予備混合組成物、予備混合物(堆積プロセスにおいて使用される前に混合される)又はインサイチュ混合物(堆積プロセスの間に混合される)であってよい。従って、本開示において、用語「混合物」、「配合物」及び「組成物」は相互交換可能である。 The silicon-containing film is selected from the group consisting of silicon nitride, silicon carbide, silicon oxide, carbon-doped silicon nitride, silicon oxynitride, and carbon-doped silicon nitride. In certain embodiments, the substrate comprises surface features. As used herein, the term "surface feature" refers to a substrate having one or more of holes, trenches, shallow trench isolation (STI), vias, refillable shapes or the like. Means a partially manufactured substrate. The composition may be a premixed composition, a premixed mixture (mixed before being used in the deposition process) or an in situ mixture (mixed during the deposition process). Accordingly, in the present disclosure, the terms "mixture", "combination" and "composition" are interchangeable.

1つの態様において、流動性化学気相堆積プロセスにおいてケイ素含有膜を堆積するための方法が提供される。表面特徴を有する基材は、−20℃〜約100℃の範囲の1つ又は複数の温度の反応器中に配置される。組成物は反応器中に導入され、組成物は式RnSiR1 4-nを有する少なくとも1つの化合物を含み、式中、Rは直鎖又は分岐鎖のC2〜C6アルケニル基、直鎖又は分岐鎖のC2〜C6アルキニル基から選択され;R1は水素、メチル及び直鎖又は分岐鎖のC2〜C10アルキル基から選択され;nは1、2、3及び4から選択される数である。プラズマ源が提供され、少なくとも1つの化合物を少なくとも部分的に反応させて流動性液体オリゴマーを形成する。流動性液体オリゴマーは表面特徴の少なくとも一部を少なくとも部分的に充填する。プラズマ源は、組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源の両方を含む。 In one embodiment, a method for depositing a silicon-containing film in a fluid chemical vapor deposition process is provided. Substrates with surface features are placed in one or more temperature reactors in the range of −20 ° C. to about 100 ° C. The composition is introduced into the reactor and the composition comprises at least one compound having the formula R n SiR 14 -n , where R is a linear or branched C 2 to C 6 alkenyl group, direct. Selected from chain or branched C 2 to C 6 alkynyl groups; R 1 selected from hydrogen, methyl and straight or branched C 2 to C 10 alkyl groups; n from 1, 2, 3 and 4 The number to be selected. A plasma source is provided to react at least one compound at least partially to form a fluid liquid oligomer. The fluid liquid oligomer fills at least some of the surface features at least partially. Plasma sources include both remote and in situ plasma sources that work in combination.

1つの特定の実施態様において、リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つは、窒素プラズマ、窒素及びヘリウムを含むプラズマ、窒素及びアルゴンを含むプラズマ、アンモニアプラズマ、アンモニア及びヘリウムを含むプラズマ、アンモニア及びアルゴンを含むプラズマ、ヘリウムプラズマ、アルゴンプラズマ、水素プラズマ、水素及びヘリウムを含むプラズマ、水素及びアルゴンを含むプラズマ、アンモニア及び水素を含むプラズマ、有機アミンプラズマ、酸素を含むプラズマ、酸素及び水素を含むプラズマ並びにそれらの組み合わせからなる群から選択されるプラズマ源を含む。 In one particular embodiment, at least one of the remote plasma source and the in-situ plasma source is a nitrogen plasma, a plasma containing nitrogen and helium, a plasma containing nitrogen and argon, an ammonia plasma, a plasma containing ammonia and helium, ammonia. And argon-containing plasma, helium plasma, argon plasma, hydrogen plasma, hydrogen and helium-containing plasma, hydrogen and argon-containing plasma, ammonia and hydrogen-containing plasma, organic amine plasma, oxygen-containing plasma, oxygen and hydrogen-containing. Includes plasma sources selected from the group consisting of plasmas and combinations thereof.

別の実施態様において、リモートプラズマ源及び/又はインサイチュプラズマ源のためのプラズマ源は、炭化水素プラズマ、炭化水素及びヘリウムを含むプラズマ、炭化水素及びアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素及び水素を含むプラズマ、炭化水素及び窒素源を含むプラズマ、炭化水素及び酸素源を含むプラズマ並びにそれらの組み合わせを含む炭素源プラズマからなる群から選択される。 In another embodiment, the plasma source for the remote plasma source and / or the in-situ plasma source is a hydrocarbon plasma, a plasma containing hydrocarbons and helium, a plasma containing hydrocarbons and argon, a carbon dioxide plasma, a carbon monoxide plasma. , Plasma containing hydrocarbons and hydrogens, plasmas containing hydrocarbons and nitrogen sources, plasmas containing hydrocarbons and oxygen sources, and carbon source plasmas containing combinations thereof.

上の任意の実施態様において、又は代わりの実施態様において、流動性の液体又はオリゴマーは、約100℃〜約1000℃の範囲の1つ又は複数の温度で処理されて、材料の少なくとも一部を高密度化する。 In any of the above embodiments, or in alternative embodiments, the fluid liquid or oligomer is treated at one or more temperatures in the range of about 100 ° C to about 1000 ° C to remove at least a portion of the material. Increase the density.

幾つかの実施態様において、後熱処理材料は、プラズマ、赤外光、化学処理、電子ビーム又は紫外光にさらされて、高密度なフィルムを形成する。 In some embodiments, the post-heat treated material is exposed to plasma, infrared light, chemical treatment, electron beam or ultraviolet light to form a dense film.

上の工程は、本明細書において説明される方法のための1つのサイクルを画定し;サイクルは、ケイ素含有膜の所望の厚さが得られるまで繰り返すことができる。この実施態様又は他の実施態様において、本明細書において説明される方法の工程は、順序を変えて行うことができ、連続的に又は同時に(例えば別の工程の少なくとも一部の間に)行うことができ、それらの任意の組み合わせであってよいと理解される。 The above steps define one cycle for the methods described herein; the cycle can be repeated until the desired thickness of the silicon-containing membrane is obtained. In this embodiment or another embodiment, the steps of the methods described herein can be performed in a reordered manner and can be performed continuously or simultaneously (eg, during at least a portion of another step). It is understood that it can be any combination of them.

本発明の種々の実施態様は、単独で又は互いに組み合わせて使用することができる。 Various embodiments of the present invention can be used alone or in combination with each other.

実施例1の結果を示す走査型電子顕微鏡(SEM)写真である。It is a scanning electron microscope (SEM) photograph which shows the result of Example 1. FIG. 実施例2の結果を示すSEM写真である。It is an SEM photograph which shows the result of Example 2. 実施例3の結果を示すSEM写真である。3 is an SEM photograph showing the result of Example 3. 実施例5の結果を示すSEM写真である。It is an SEM photograph which shows the result of Example 5.

本明細書において説明されるのは、流動性化学気相堆積プロセスにおいてケイ素含有膜を堆積するためのFCVD方法であって、方法は、
表面特徴を備える基材を、−20℃〜約100℃の範囲の1つ又は複数の温度の反応器中に配置する工程;
式RnSiR1 4-nを有し、式中、Rが直鎖又は分岐鎖のC2〜C6アルケニル基、直鎖又は分岐鎖のC2〜C6アルキニル基から選択され、R1が水素及び分岐鎖のC1〜C10アルキル基から選択され、nが1、2、3及び4から選択される数である少なくとも1つの化合物を含む組成物を反応器中に導入する工程;並びに
プラズマ源を反応器中に提供し、少なくとも1つの化合物を少なくとも部分的に反応させて流動性液体オリゴマーを形成する工程であって、流動性液体オリゴマーが表面特徴の少なくとも一部を少なくとも部分的に充填し、プラズマ源が、組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源の両方を含む工程
を含む。
Described herein is an FCVD method for depositing silicon-containing membranes in a fluid chemical vapor phase deposition process.
The step of placing a substrate with surface features in a reactor at one or more temperatures in the range of −20 ° C. to about 100 ° C.;
It has the formula R n SiR 14 -n , in which R is selected from the linear or branched C 2 to C 6 alkenyl groups and the linear or branched C 2 to C 6 alkynyl groups, and R 1 Introduces into the reactor a composition comprising hydrogen and at least one compound selected from the C 1 to C 10 alkyl groups of the branched chain and n being a number selected from 1, 2, 3 and 4; In addition, a plasma source is provided in the reactor and at least one compound is reacted at least partially to form a fluid liquid oligomer, wherein the fluid liquid oligomer at least partially reacts at least some of the surface features. The plasma source comprises a step of including both a remote plasma source and an in-situ plasma source that work in combination.

典型的には、例えば流動性化学気相堆積処理によって堆積された膜は、後処理の間に、低いプロセス温度のために膜収縮を受ける。有意な膜収縮及び膜応力の増加のために、膜中にボイド及びシームが形成する場合がある。従って、膜応力を増加させずに、又はボイドを作り出すことなしに、膜を高密度化することは困難であった。本明細書において説明される組成物及び方法は、開示される前駆体及び開示される前駆体を含む組成物を使用することによって、基材上の表面特徴の少なくとも一部の充填を改善することによって、これらの問題を克服する。 Typically, membranes deposited, for example by fluid chemical vapor deposition treatment, undergo membrane shrinkage during post-treatment due to low process temperatures. Voids and seams may form in the membrane due to significant membrane contraction and increased membrane stress. Therefore, it has been difficult to densify the film without increasing the film stress or creating voids. The compositions and methods described herein improve the filling of at least some of the surface features on the substrate by using the disclosed precursors and the compositions containing the disclosed precursors. Overcome these problems.

開示の実施態様は、小さい寸法を有する高いアスペクト比(AR)の構造体にギャップ充填膜(例えばSiC、SiCO、SiCN、SiCON)を堆積させる方法を提供する。有利には、幾つかの実施態様は、クラスタツール環境において行うことができる、サイクルの堆積処理プロセスを含む方法を提供する。有利には、幾つかの実施態様は、小さい寸法を有する高いARのトレンチを充填する、シームの無い高品質のケイ素含有膜を提供する。有利には、幾つかの実施態様は、高い炭素含有量を含有する膜を提供する。有利には、1つの又は複数の実施態様において、高い炭素含有量の膜はハードマスク及びlow−k流動性用途において使用することができる。 An embodiment of the disclosure provides a method of depositing a gap-filled membrane (eg, SiC, SiCO, SiCN, SiCON) on a high aspect ratio (AR) structure with small dimensions. Advantageously, some embodiments provide methods that include a cycle deposition process that can be performed in a cluster tool environment. Advantageously, some embodiments provide high quality silicon-containing membranes without seams that fill high AR trenches with small dimensions. Advantageously, some embodiments provide a membrane with a high carbon content. Advantageously, in one or more embodiments, high carbon content membranes can be used in hardmask and low-k fluid applications.

開示の1つ又は複数の実施態様は、高いアスペクト比の構造体(例えばAR>8.1)を充填することができる、流動性ケイ素含有膜が堆積されるプロセスを対象としている。開示の実施態様は、F−CVD(流動性化学気相堆積)を使用して、ギャップ充填用途のために、SiC、SiOC、SiCN、SiOCN、SiO及びSiN流動性膜を生じさせる新規の前駆体を提供する。種々の実施態様の前駆体は、アルケニル(ビニル)及び/又はアルキニル基を含む。1つ又は複数の実施態様において、前駆体は、堆積チャンバーにおいてラジカル誘導重合を開始させる反応性ラジカルにさらされる。 One or more embodiments of the disclosure are intended for a process in which a fluid silicon-containing membrane can be deposited, which can be packed with structures with high aspect ratios (eg AR> 8.1). An embodiment of the disclosure is a novel precursor that uses F-CVD (Fluid Chemical Vapor Deposition) to produce SiC, SiOC, SiCN, SiOCN, SiO and SiN fluidized films for gap filling applications. I will provide a. Precursors of various embodiments include alkenyl (vinyl) and / or alkynyl groups. In one or more embodiments, the precursor is exposed to reactive radicals that initiate radical-induced polymerization in the deposition chamber.

1つの実施態様において、本開発の方法は、表面特徴を備える基材を、−20℃〜約100℃の範囲の1つ又は複数の温度の反応器中に配置する工程を含む。 In one embodiment, the method of the present development comprises placing a substrate having surface features in a reactor at one or more temperatures in the range of −20 ° C. to about 100 ° C.

本明細書において使用されるとき、「基材」は、任意の基材、又は製造プロセスの間に膜処理が行われる基材の上に形成された材料表面をいう。例えば、処理を行うことができる基材表面は材料、例えばケイ素、酸化ケイ素、歪みシリコン、シリコン・オン・インシュレータ(SOI)、炭素ドープ酸化ケイ素、非晶質ケイ素、ドープケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、及び任意の他の材料、例えば金属、窒化金属、金属合金、及び他の導電性材料などを、用途に応じて含む。基材は、限定するものではないが、半導体ウエハを含む。基材は、基材表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、UV硬化、電子ビーム硬化及び/又はベーキングするための前処理プロセスを受けさせることができる。基材自身の表面への直接の膜処理に加えて、本発明において、開示される膜処理工程の幾つかはまた、下でより詳細に開示されるように、基材に形成される下層に行うことができ、用語「基材表面」は、文脈が意図するときは、このような下層を含むことを意図される。従って、例えば、膜/層又は部分的な膜/層が基材表面に堆積された場合、新規に堆積された膜/層の露出表面は基材表面となる。 As used herein, "base material" refers to any base material, or a material surface formed on a base material that is membrane treated during a manufacturing process. For example, the surface of the substrate that can be treated is a material such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon-doped silicon oxide, amorphous silicon, doped silicon, germanium, gallium arsenide. , Glass, sapphire, and any other material, such as metals, nitrides, metal alloys, and other conductive materials, depending on the application. The base material includes, but is not limited to, a semiconductor wafer. The substrate can be subjected to a pretreatment process for polishing, etching, reducing, oxidizing, hydroxylating, annealing, UV curing, electron beam curing and / or baking the surface of the substrate. In addition to direct film treatment on the surface of the substrate itself, some of the membrane treatment steps disclosed in the present invention are also on the underlying layer formed on the substrate, as disclosed in more detail below. It can be done and the term "base material surface" is intended to include such underlayers when the context intends. Thus, for example, when a film / layer or a partial film / layer is deposited on the surface of the substrate, the exposed surface of the newly deposited film / layer becomes the surface of the substrate.

先に記載されるように、方法は、表面特徴を備える基材の表面の少なくとも一部に膜を堆積させる。基材は反応器中に配置され、基材は約−20℃〜約100℃の範囲の少なくとも1つの温度で保持される。1つの特定の実施態様において、基材の温度はチャンバーの壁の温度より低い。基材温度は、100℃より低い温度で、好ましくは25℃より低い温度で、最も好ましくは10℃より低く−20℃より高い温度で保たれる。 As described above, the method deposits a film on at least a portion of the surface of a substrate with surface features. The substrate is placed in the reactor and the substrate is held at at least one temperature in the range of about -20 ° C to about 100 ° C. In one particular embodiment, the temperature of the substrate is lower than the temperature of the walls of the chamber. The substrate temperature is kept below 100 ° C., preferably below 25 ° C., most preferably below 10 ° C. and above −20 ° C.

先に記載されるように、基材は1つ又は複数の表面特徴を備える。1つの特定の実施態様において、1つ又は複数の表面特徴は、1μm以下の幅、500nm以下の幅、50nm以下の幅、又は10nmの幅を有する。この実施態様又は他の実施態様において、表面特徴のアスペクト比(深さ:幅の比)は、存在するならば、0.1:1以上、1:1以上、10:1以上、20:1以上、又は40:1以上である。基材は、単結晶シリコンウエハ、炭化ケイ素のウエハ、酸化アルミニウム(サファイア)のウエハ、ガラスのシート、金属のホイル、有機ポリマーの膜であるか、又はポリマー、ガラス、ケイ素又は金属の3次元の物品であってよい。基材は、酸化ケイ素、窒化ケイ素、非晶質炭素、シリコンオキシカーバイド、酸窒化ケイ素、炭化ケイ素、ヒ化ガリウム、窒化ガリウム及び類似のものの膜を含む、当分野において周知である多様な材料でコーティングすることができる。これらのコーティングは、基材を完全にコーティングしていてよく、種々の材料の複層であってよく、部分的にエッチングされて材料の下地層を露出させていてよい。表面は、その表面に、基材を部分的にコーティングするように、あるパターンで露光されて現像されたフォトレジスト材料もまた有していてよい。 As described above, the substrate comprises one or more surface features. In one particular embodiment, one or more surface features have a width of 1 μm or less, a width of 500 nm or less, a width of 50 nm or less, or a width of 10 nm. In this embodiment or another embodiment, the aspect ratio (depth: width ratio) of the surface features, if present, is 0.1: 1 or greater, 1: 1 or greater, 10: 1 or greater, 20: 1. Or more, or 40: 1 or more. The substrate can be a single crystal silicon wafer, a silicon carbide wafer, an aluminum oxide (sapphire) wafer, a glass sheet, a metal foil, an organic polymer film, or a three-dimensional polymer, glass, silicon or metal. It may be an article. Substrates are a variety of materials well known in the art, including films of silicon oxide, silicon nitride, amorphous carbon, silicon oxycarbide, silicon oxynitride, silicon carbide, gallium arsenide, gallium nitride and the like. Can be coated. These coatings may be a complete coating of the substrate, may be a multi-layer of various materials, or may be partially etched to expose the underlying layer of the material. The surface may also have a photoresist material exposed and developed in a pattern such that the surface is partially coated with a substrate.

本発明の1つの態様において、基材は、Si、SiOx、SiN、SiGe、SiOC及びSiONからなる群から選択される少なくとも1つの要素を含む。本発明の別の態様において、本発明のケイ素含有膜は、ハードマスクとして用いることができ、フォトレジストにエッチング選択性を提供することができる。本発明のさらなる態様において、本発明のケイ素含有膜は、導電性材料の間の誘電性膜として、導電体と他の誘電体との間のバリアとして、又はサンドウィッチの誘電体における膜として機能する。 In one aspect of the invention, the substrate comprises at least one element selected from the group consisting of Si, SiO x, SiN, SiGe, SiOC and SiON. In another aspect of the invention, the silicon-containing film of the invention can be used as a hardmask and can provide etching selectivity to the photoresist. In a further aspect of the invention, the silicon-containing film of the invention functions as a dielectric film between conductive materials, as a barrier between a conductor and other dielectrics, or as a film in a sandwich dielectric. ..

本明細書において説明される、膜又はコーティングを形成するのに使用される方法は、流動性化学堆積プロセスである。本明細書において開示される方法のための適した堆積プロセスの例は、サイクルの流動性化学気相堆積(CFCVD)又はプラズマ強化流動性化学気相堆積(PEFCVD)を含むがそれらに限定されない。本明細書において使用されるとき、用語「流動性化学気相堆積プロセス」は、基材が1つ又は複数の揮発性前駆体にさらされ、揮発性前駆体が基材表面で反応及び/又は分解して流動性オリゴマーのケイ素含有種を提供し、次いでさらなる処理に際し固体の膜又は材料を生じさせ、幾つかの場合には、オリゴマー種の少なくとも一部がポリマー種を含む、任意のプロセスをいう。本明細書において使用される前駆体、試薬及び源は時には「ガス状」と説明する場合があるが、前駆体は、不活性気体を伴って又は伴わずに、反応器中に、直接的な気化、バブリング又は昇華によって運ばれる液体又は固体のいずれかであってよいと理解される。幾つかの場合において、気化された前駆体はプラズマ発生器を通過することができる。1つの実施態様において、膜は、プラズマベースの(例えばリモート発生の又はインサイチュの)CVDプロセスを使用して堆積される。本明細書において使用されるとき、用語「反応器」は、反応チャンバー又は堆積チャンバーを含むがそれらに限定されない。 The method used to form a film or coating as described herein is a fluid chemical deposition process. Examples of suitable deposition processes for the methods disclosed herein include, but are not limited to, cycle fluid chemical vapor phase deposition (CFCVD) or plasma enhanced fluid chemical gas phase deposition (PEFCVD). As used herein, the term "fluid chemical vapor deposition process" means that the substrate is exposed to one or more volatile precursors, which react and / or react on the surface of the substrate. Any process that decomposes to provide a silicon-containing species of fluid oligomers, which in turn yields a solid film or material for further treatment, and in some cases at least some of the oligomeric species contain polymer species. say. The precursors, reagents and sources used herein are sometimes described as "gaseous", but the precursors are directly in the reactor with or without an inert gas. It is understood that it may be either a liquid or a solid carried by vaporization, bubbling or sublimation. In some cases, the vaporized precursor can pass through the plasma generator. In one embodiment, the membrane is deposited using a plasma-based (eg, remotely generated or in situ) CVD process. As used herein, the term "reactor" includes, but is not limited to, reaction chambers or deposition chambers.

本開発の方法はまた、式RnSiR1 4-nを有し、式中、Rが直鎖又は分岐鎖のC2〜C6アルケニル基、直鎖又は分岐鎖のC2〜C6アルキニル基から選択され;R1が水素及び分岐鎖のC1〜C10アルキル基から選択され;nが1、2、3及び4から選択される数である少なくとも1つの化合物を含む組成物を反応器中に導入する工程を含む。前記の式についての例示的な化合物は、以下:

Figure 2021527331
を含むがそれらに限定されない。 The method of the present development also has the formula R n SiR 14 -n , in which R is a linear or branched C 2 to C 6 alkenyl group, linear or branched C 2 to C 6 alkynyl. Selected from groups; R 1 is selected from hydrogen and C 1 to C 10 alkyl groups on branched chains; n is a number selected from 1, 2, 3 and 4 Reacting compositions containing at least one compound Includes the process of introducing into the vessel. Exemplary compounds for the above formula are:
Figure 2021527331
Including, but not limited to them.

上の式において、及び明細書を通して、用語「直鎖又は分岐鎖のアルキル」は、1〜10、3〜10又は1〜6個の炭素原子を有する直鎖の官能基を表す。上の式において、及び明細書を通して、用語「分岐鎖のアルキル」は、3〜10又は1〜6個の炭素原子を有する直鎖の官能基を表す。例示的な直鎖又は分岐鎖のアルキル基は、メチル(Me)、エチル(Et)、イソプロピル(Pri)、イソブチル(Bui)、sec−ブチル(Bus)、tert−ブチル(But)、イソペンチル、tert−ペンチル(am)、イソヘキシル及びネオヘキシルを含むがそれらに限定されない。特定の実施態様において、アルキル基は、それに結合した1つ又は複数の官能基、例えば、以下に限定するものではないが、アルコキシ基、ジアルキルアミノ基又はそれらの組み合わせなどの官能基を有していてよい。他の実施態様において、アルキル基は、それに結合した1つ又は複数の官能基を有さない。アルキル基は、飽和であるか、又は代わりに不飽和であってよい。 In the above formula and throughout the specification, the term "linear or branched chain alkyl" represents a linear functional group having 1-10, 3-10 or 1-6 carbon atoms. In the above formula and throughout the specification, the term "branched chain alkyl" represents a linear functional group having 3-10 or 1-6 carbon atoms. Alkyl groups exemplary linear or branched include methyl (Me), ethyl (Et), isopropyl (Pr i), isobutyl (Bu i), sec-butyl (Bu s), tert-butyl (Bu t) , Isopentyl, tert-pentyl (am), isohexyl and neohexyl, but not limited to them. In certain embodiments, the alkyl group has one or more functional groups attached thereto, such as, but not limited to, an alkoxy group, a dialkylamino group or a combination thereof. It's okay. In other embodiments, the alkyl group does not have one or more functional groups attached to it. The alkyl group may be saturated or instead unsaturated.

上の式において、及び明細書を通して、用語「環状アルキル」は、3〜10又は5〜10個の炭素原子を有する環状基を表す。例示的な環状アルキル基は、シクロブチル、シクロペンチル、シクロヘキシル及びシクロオクチル基を含むがそれらに限定されない。特定の実施態様において、環状アルキル基は、1つ又は複数の、C1〜C10の直鎖、分岐鎖の置換基、又は酸素原子若しくは窒素原子を含有する置換基を有していてよい。この実施態様又は他の実施態様において、環状アルキル基は、1つ又は複数の直鎖又は分岐鎖のアルキル又はアルコキシ基、例えばメチルシクロヘキシル基又はメトキシシクロヘキシル基を置換基として有していてよい。 In the above formula and throughout the specification, the term "cyclic alkyl" represents a cyclic group having 3-10 or 5-10 carbon atoms. Exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl and cyclooctyl groups. In certain embodiments, the cyclic alkyl group may have one or more C 1 to C 10 linear, branched substituents, or substituents containing an oxygen or nitrogen atom. In this embodiment or another embodiment, the cyclic alkyl group may have one or more linear or branched alkyl or alkoxy groups, such as a methylcyclohexyl group or a methoxycyclohexyl group, as substituents.

上の式において、及び明細書を通して、用語「アリール」は、3〜10個の炭素原子、5〜10個の炭素原子又は6〜10個の炭素原子を有する芳香族の環状官能基を表す。例示的なアリール基は、フェニル、ベンジル、クロロベンジル、トリル及びo−キシリルを含むがそれらに限定されない。 In the above formula and throughout the specification, the term "aryl" represents an aromatic cyclic functional group having 3 to 10 carbon atoms, 5 to 10 carbon atoms or 6 to 10 carbon atoms. Exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, trill and o-xylyl.

上の式において、及び明細書を通して、用語「アルケニル基」は、1つ又は複数の炭素−炭素の二重結合を有し、かつ2〜12、2〜10又は2〜6個の炭素原子を有する基を表す。例示的なアルケニル基は、ビニル又はアリル基を含むがそれらに限定されない。 In the above formula and throughout the specification, the term "alkenyl group" has one or more carbon-carbon double bonds and contains 2-12, 2-10 or 2-6 carbon atoms. Represents a group having. Exemplary alkenyl groups include, but are not limited to, vinyl or allyl groups.

用語「アルキニル基」は、1つ又は複数の炭素−炭素の三重結合を有し、かつ2〜12又は2〜6個の炭素原子を有する基を表す。 The term "alkynyl group" refers to a group having one or more carbon-carbon triple bonds and having 2-12 or 2-6 carbon atoms.

用語「アルキレン基」は、2個の水素原子の除去によってアルキルから誘導される基を表す。例示的なアルキレン基は、メチレン(−CH2−)又はエチレン(−CH2CH2−)基を含むがそれらに限定されない。 The term "alkylene group" refers to a group derived from an alkyl by the removal of two hydrogen atoms. Exemplary alkylene groups include, but are not limited to , methylene (−CH 2 −) or ethylene (−CH 2 CH 2 −) groups.

上の式において、及び明細書を通して、本明細書において使用されるとき、用語「不飽和の」は、官能基、置換基、環又はブリッジが、1つ又は複数の炭素二重結合又は三重結合を有することを意味する。不飽和の環の例は、以下に限定するものではないが、芳香族環、例えばフェニル環であってよい。用語「飽和の」は、官能基、置換基、環又はブリッジが、1つ又は複数の二重結合又は三重結合を有さないことを意味する。 As used herein in the above formula and throughout the specification, the term "unsaturated" means that a functional group, substituent, ring or bridge is one or more carbon double or triple bonds. Means to have. Examples of unsaturated rings are not limited to the following, but may be aromatic rings, such as phenyl rings. The term "saturated" means that a functional group, substituent, ring or bridge does not have one or more double or triple bonds.

特定の実施態様において、前記の式中のアルキル基、アルケニル基、アルキニル基、アリール基及び/又は環状アルキル基のうち1つ又は複数は、「置換されている」か、又は例えば水素原子に代わって置換された1以上の原子若しくは原子の群を有していてよい。例示的な置換基は、酸素、硫黄、ハロゲン原子(例えばF、Cl、I又はBr)、窒素、アルキル基及びリンを含むがそれらに限定されない。他の実施態様において、前記の式中のアルキル基、アルケニル基、アルキニル基、芳香族及び/又はアリール基のうち1つ又は複数は置換されていなくてよい。 In certain embodiments, one or more of the alkyl, alkenyl, alkynyl, aryl and / or cyclic alkyl groups in the formula above are "substituted" or, for example, in place of a hydrogen atom. It may have one or more atoms or groups of atoms substituted with. Exemplary substituents include, but are not limited to, oxygen, sulfur, halogen atoms (eg F, Cl, I or Br), nitrogen, alkyl groups and phosphorus. In other embodiments, one or more of the alkyl, alkenyl, alkynyl, aromatic and / or aryl groups in the above formula may not be substituted.

ケイ素前駆体化合物は、好ましくは、塩素などのハロゲン系イオン又はAlなどの金属イオンを実質的に有さない。本明細書において使用されるとき、用語「実質的に有さない」は、ハロゲン系イオン(又はハロゲン化物)、例えば塩化物、フッ化物、臭化物及びヨウ化物、Al3+イオン、Fe2+、Fe3+、Ni2+、Cr3+に関するとき、(質量で)5ppmより少ない、好ましくは3ppmより少ない、より好ましくは1ppmより少ない、最も好ましくは0ppmであることを意味する。塩化物又は金属イオンは、ケイ素前駆体のための分解触媒として作用することが知られている。最終製品における有意なレベルの塩化物はケイ素前駆体を分解することができる。ケイ素前駆体のゆるやかな分解は、半導体製造について膜の仕様を合わせることを困難にする膜堆積プロセスに直接的に影響を与えることができる。加えて、貯蔵寿命又は安定性は、ケイ素前駆体のより高い分解速度によって負の影響を受け、それによって1〜2年の貯蔵寿命を保証することを困難にする。さらに、ケイ素前駆体は、分解に際し、可燃性の及び/又は自然発火性のガス、例えば水素及びシランを形成することが知られている。従って、ケイ素前駆体の加速された分解は、これらの可燃性及び/又は自然発火性のガス状副生成物の形成に関連する安全及び性能の懸念をもたらす。 The silicon precursor compound preferably has substantially no halogen-based ions such as chlorine or metal ions such as Al. As used herein, the term "substantially absent" refers to halogen-based ions (or halides) such as chlorides, fluorides, bromides and iodides, Al 3+ ions, Fe 2+,. When it comes to Fe 3+ , Ni 2+ and Cr 3+ , it means less than 5 ppm (by mass), preferably less than 3 ppm, more preferably less than 1 ppm, most preferably 0 ppm. Chloride or metal ions are known to act as decomposition catalysts for silicon precursors. Significant levels of chloride in the final product can degrade the silicon precursor. The gradual decomposition of silicon precursors can directly affect the membrane deposition process, which makes it difficult to meet membrane specifications for semiconductor manufacturing. In addition, shelf life or stability is negatively affected by the higher degradation rates of silicon precursors, thereby making it difficult to guarantee a shelf life of 1-2 years. In addition, silicon precursors are known to form flammable and / or pyrophoric gases such as hydrogen and silane upon decomposition. Therefore, the accelerated decomposition of silicon precursors raises safety and performance concerns associated with the formation of these flammable and / or pyrophoric gaseous by-products.

本明細書において開示される化合物は、気相引き抜き、バブリング又は直接的な液体注入(DLI)を含むがそれらに限定されない種々の方法で、流動性化学気相堆積反応器に輸送することができる。1つの実施態様において、液体輸送システムが利用される。別の実施態様において、反応器は二重のプレナムシャワーヘッドを備えて、リモートで発生させられたプラズマ種を前駆体の気相から分離して保つことができ、このことはそれらが反応器中で結合して流動性液体を堆積させるまでのことである。代わりの実施態様において、結合された液体輸送及びフラッシュ気化プロセス装置、例えばMSP Corporation of Shoreview,MNによって製造されるターボ気化器を用いることができ、低い揮発性の材料が体積的に輸送されることを可能とし、前駆体の熱的な分解を伴わない再現可能な輸送及び堆積をもたらす。液体輸送配合物において、本明細書において説明される前駆体は、純粋な液体形態で輸送することができるか、又は代わりに、前駆体を含む溶媒配合物若しくは組成物において用いることができる。従って、特定の実施態様において、前駆体配合物は、基材に膜を形成する所与の最終使用用途において望ましくかつ有利であり得る適した特性の溶媒構成要素を含んでいてよい。 The compounds disclosed herein can be transported to a fluid chemical vapor deposition reactor in a variety of ways, including but not limited to gas phase extraction, bubbling or direct liquid injection (DLI). .. In one embodiment, a liquid transport system is utilized. In another embodiment, the reactor is equipped with a dual plenum shower head to keep the remotely generated plasma species separate from the gas phase of the precursor, which means that they are in the reactor. It is until the fluid liquid is deposited by combining with. In an alternative embodiment, a combined liquid transport and flash vaporization process apparatus, such as a turbo vaporizer manufactured by MSP Corporation of Shoreview, MN, can be used to transport the low volatility material by volume. And results in reproducible transport and deposition without thermal decomposition of the precursor. In liquid transport formulations, the precursors described herein can be transported in pure liquid form or can instead be used in solvent formulations or compositions containing precursors. Thus, in certain embodiments, the precursor formulation may contain solvent components with suitable properties that may be desirable and advantageous in a given end-use application to form a film on the substrate.

特定の実施態様において、基材は、1つ又は複数の前堆積処理、例えば、以下に限定するものではないが、プラズマ処理、熱処理、化学処理、紫外光露光、電子ビーム露光及びそれらの組み合わせを受けて、膜の1つ又は複数の特性に影響を与えることができる。これらの前堆積処理は、不活性、酸化及び/又は還元から選択される雰囲気下で起こることができる。 In certain embodiments, the substrate may include one or more pre-deposition treatments, such as, but not limited to, plasma treatments, heat treatments, chemical treatments, ultraviolet light exposures, electron beam exposures and combinations thereof. In response, it can affect the properties of one or more of the membranes. These pre-deposition treatments can occur under an atmosphere selected from Inactivity, Oxidation and / or Reduction.

本開発の方法は、プラズマ源を反応器中に提供し、式RnSiR1 4-nを有する少なくとも1つの化合物を少なくとも部分的に反応させて流動性液体オリゴマーを形成する工程を含み、流動性液体オリゴマーは表面特徴の少なくとも一部を少なくとも部分的に充填し、プラズマ源は、組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源の両方を含む。 The method of the present development comprises providing a plasma source in a reactor and at least partially reacting at least one compound having the formula R n SiR 14 -n to form a fluid liquid oligomer. The sexual liquid oligomer fills at least a portion of the surface features at least partially, and the plasma source includes both a remote plasma source and an in-situ plasma source that work in combination.

本開発のプロセスは、2つのプラズマ源−リモートプラズマ源及びインサイチュRFプラズマ源(例えば容量結合)−を用いて、最も良好なギャップ充填特性を有する、例えば走査型電子顕微鏡(SEM)によって見たときに視認可能なボイドが実質的にない、堆積及び硬化後膜を生じさせる。組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源は、両方のプラズマ源が、堆積の間の時間のうち少なくとも一部で活性化されることを意味する。他の実施態様において、両方のプラズマ源は、堆積の間の時間のうち少なくとも1/4の間、活性化される。他の実施態様において、両方のプラズマ源は、堆積の間の時間のうち少なくとも半分の間、活性化される。他の実施態様において、両方のプラズマ源は、堆積の間の時間のうち少なくとも3/4の間、活性化される。さらに他の実施態様において、両方のプラズマ源は、堆積の間の全体の時間で活性化される。 The process of this development uses two plasma sources-a remote plasma source and an in-situ RF plasma source (eg, capacitive coupling) -when viewed by a scanning electron microscope (SEM), which has the best gap filling properties. Produces a post-deposited and cured membrane with virtually no visible voids. Remote and in situ plasma sources that work in combination mean that both plasma sources are activated at least in part of the time between depositions. In other embodiments, both plasma sources are activated for at least a quarter of the time between depositions. In other embodiments, both plasma sources are activated for at least half of the time between depositions. In other embodiments, both plasma sources are activated for at least 3/4 of the time between depositions. In yet another embodiment, both plasma sources are activated during the entire time during deposition.

リモートプラズマ源(RPS)に適用されるプラズマ電力は1000〜3000W、好ましくは2000〜3000Wである。インサイチュプラズマ源へのプラズマ電力は100〜1000W、好ましくは200〜300Wである。 The plasma power applied to the remote plasma source (RPS) is 1000-3000 W, preferably 2000-3000 W. The plasma power to the in situ plasma source is 100-1000 W, preferably 200-300 W.

エネルギーは式RnSiR1 4-nを有する少なくとも1つの化合物及びプラズマ源に適用されて、反応を誘起して、基材に流動性ケイ素含有膜又はコーティングを形成する。このようなエネルギーは、以下に限定するものではないが、熱、プラズマ、パルスプラズマ、ヘリコン波プラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、フォトン、リモートプラズマの方法、及びそれらの組み合わせによって提供することができる。特定の実施態様において、第二のRF周波数源を使用して、基材表面におけるプラズマ特性を変えるために使用することができる。堆積がプラズマを包含する実施態様において、プラズマ発生プロセスは、プラズマを反応器中で直接発生させる直接プラズマ発生プロセス、又は代わりにプラズマを反応器の外部で発生させて反応器中に供給するリモートプラズマ発生プロセスを含んでよい。 The energy is applied to at least one compound having the formula R n SiR 14 -n and a plasma source to induce a reaction to form a fluid silicon-containing film or coating on the substrate. Such energies are, but are not limited to, heat, plasma, pulsed plasma, helicon wave plasma, high density plasma, inductively coupled plasma, X-rays, electron beams, photons, remote plasma methods, and their methods. It can be provided by a combination. In certain embodiments, a second RF frequency source can be used to alter the plasma properties on the substrate surface. In embodiments where deposition involves plasma, the plasma generation process is a direct plasma generation process in which the plasma is generated directly in the reactor, or a remote plasma in which the plasma is instead generated outside the reactor and supplied into the reactor. It may include a developmental process.

リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つは窒素を含んでよい。窒素を含むプラズマは、窒素プラズマ、窒素/水素プラズマ、窒素/ヘリウムプラズマ、窒素/アルゴンプラズマ、アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3、NF3プラズマ、有機アミンプラズマ及びそれらの組み合わせからなる群から選択することができる。少なくとも1つの化合物と窒素源とが反応して、(不定比である)窒化ケイ素膜又は炭窒化ケイ素膜を表面特徴及び基材の少なくとも一部に形成する。本明細書において使用されるとき、用語「有機アミン」は、少なくとも1つの窒素原子を有する有機化合物を表す。有機アミンの例は、以下に限定するものではないが、メチルアミン、エチルアミン、プロピルアミン、イソプロピルアミン、tert−ブチルアミン、sec−ブチルアミン、tert−アミルアミン、エチレンジアミン、ジメチルアミン、トリメチルアミン、ジエチルアミン、ピロール、2,6−ジメチルピぺリジン、ジ−n−プロピルアミン、ジ−イソプロピルアミン、エチルメチルアミン、N−メチルアニリン、ピリジン及びトリエチルアミンである。 At least one of the remote plasma source and the in situ plasma source may contain nitrogen. Nitrogen-containing plasmas include nitrogen plasma, nitrogen / hydrogen plasma, nitrogen / helium plasma, nitrogen / argon plasma, ammonia plasma, ammonia / helium plasma, ammonia / argon plasma, ammonia / nitrogen plasma, NF 3 , NF 3 plasma, and organic. It can be selected from the group consisting of amine plasma and combinations thereof. At least one compound reacts with a nitrogen source to form a silicon nitride or silicon nitride film (indefinite ratio) on surface features and at least a portion of the substrate. As used herein, the term "organic amine" refers to an organic compound having at least one nitrogen atom. Examples of organic amines are, but are not limited to, methylamine, ethylamine, propylamine, isopropylamine, tert-butylamine, sec-butylamine, tert-amylamine, ethylenediamine, dimethylamine, trimethylamine, diethylamine, pyrrole, 2 , 6-Dimethylpiperidin, di-n-propylamine, di-isopropylamine, ethylmethylamine, N-methylaniline, pyridine and triethylamine.

リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つは炭素を含んでよい。このような実施態様において、プラズマ源は、炭化水素プラズマ、炭化水素及びヘリウムを含むプラズマ、炭化水素及びアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素及び水素を含むプラズマ、炭化水素及び窒素源を含むプラズマ、炭化水素及び酸素源を含むプラズマ並びにそれらの組み合わせを含む炭素源プラズマからなる群から選択されるがそれらに限定されない。少なくとも1つの化合物と炭素源とが反応して、(不定比である)炭化ケイ素膜、炭窒化ケイ素膜、酸窒化ケイ素膜、シリコンオキシカーバイド膜又はシリコンオキシカルボニトリド膜を表面特徴及び基材の少なくとも一部に形成する。 At least one of the remote plasma source and the in situ plasma source may contain carbon. In such an embodiment, the plasma source is a hydrocarbon plasma, a plasma containing hydrocarbons and helium, a plasma containing hydrocarbons and argon, a carbon dioxide plasma, a carbon monoxide plasma, a plasma containing hydrocarbons and hydrogen, a hydrocarbon. It is selected from, but is not limited to, a plasma containing a nitrogen source, a plasma containing a hydrocarbon and an oxygen source, and a carbon source plasma containing a combination thereof. At least one compound reacts with a carbon source to form a silicon carbide film (indefinite ratio), a silicon nitride film, a silicon nitride film, a silicon oxycarbide film, or a silicon oxycarbonitride film. Form at least in part of.

異なる実施態様において、プラズマ源は、水素プラズマ、ヘリウムプラズマ、アルゴンプラズマ、キセノンプラズマ及びそれらの組み合わせから選択されるがそれらに限定されない。少なくとも1つの化合物とプラズマとが反応して、窒化ケイ素膜、炭化ケイ素膜又は炭窒化ケイ素膜を表面特徴及び基材の少なくとも一部に形成する。 In different embodiments, the plasma source is selected from, but not limited to, hydrogen plasma, helium plasma, argon plasma, xenon plasma and combinations thereof. At least one compound reacts with the plasma to form a silicon nitride film, a silicon carbide film or a silicon nitride film on at least a surface feature and a substrate.

リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つは酸素を含んでよい。このような実施態様において、酸素含有源は、水(H2O)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、NO2、一酸化炭素(CO)、二酸化炭素(CO2)、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ及びそれらの組み合わせからなる群から選択することができる。 At least one of the remote plasma source and the in situ plasma source may contain oxygen. In such embodiments, the oxygen-containing sources are water (H 2 O), oxygen (O 2 ), oxygen plasma, ozone (O 3 ), NO, NO 2 , carbon monoxide (CO), carbon dioxide (CO). 2 ), N 2 O plasma, carbon monoxide (CO) plasma, carbon dioxide (CO 2 ) plasma and combinations thereof can be selected from the group.

さらに、ケイ素含有膜が堆積された後、基材は、窒化ケイ素膜が酸化ケイ素、酸窒化ケイ素又は炭素ドープ酸化ケイ素の膜を形成するのに十分な特定のプロセス条件の下で、上で説明される酸素含有源で処理することができる(すなわち任意選択で処理してよい)。 In addition, after the silicon-containing film has been deposited, the substrate is described above under specific process conditions sufficient for the silicon nitride film to form a film of silicon oxide, silicon nitride or carbon-doped silicon oxide. It can be treated with an oxygen-containing source (ie, optionally treated).

特定の実施態様において、反応器は、大気圧より低い圧力であるか、750torr(105パスカル(Pa))以下の圧力であるか、又は100torr(13332Pa)以下の圧力である。他の実施態様において、反応器の圧力は、約0.1torr(13Pa)〜約10torr(1333Pa)の範囲に保持される。 In certain embodiments, the reactor is either a subatmospheric pressure or a pressure below 750 torr (10 5 Pascals (Pa)), or 100torr (13332Pa) or less pressure. In other embodiments, the reactor pressure is maintained in the range of about 0.1 torr (13 Pa) to about 10 torr (1333 Pa).

流動性膜は、堆積されたとき、一般に安定ではなく、大気条件にさらした際に安定化する。幾つかの実施態様の流動性膜は、ケイ素含有前駆体及び例えば共反応体としてのNH3/O2のラジカル形態によって堆積される。次いで、これらの膜は、オゾン/UV/蒸気アニール/NH3アニールなどによって硬化されて、硬化膜を生じさせる。 Fluid membranes are generally not stable when deposited and stabilize when exposed to atmospheric conditions. The fluid membranes of some embodiments are deposited by a silicon-containing precursor and, for example , the radical form of NH 3 / O 2 as a copolymer. These films are then cured by ozone / UV / vapor annealing / NH 3 annealing and the like to give a cured film.

特定の実施態様において、流動性液体又はオリゴマーは、約100℃〜約1000℃の範囲の1つ又は複数の温度で処理されて、材料の少なくとも一部を高密度化する。他の実施態様において、流動性液体又はオリゴマーは、窒化ケイ素膜が酸化ケイ素、酸窒化ケイ素又は炭素ドープ酸化ケイ素の膜を形成するのに十分な特定のプロセス条件の下で、以下の酸素含有源のうち少なくとも1つにさらすことによって処理される。酸素含有源は、水(H2O)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、N2O、一酸化炭素(CO)、二酸化炭素(CO2)、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ及びそれらの組み合わせからなる群から選択することができる。 In certain embodiments, the fluid liquid or oligomer is treated at one or more temperatures in the range of about 100 ° C to about 1000 ° C to densify at least a portion of the material. In other embodiments, the fluid liquid or oligomer is an oxygen-containing source of the following under specific process conditions sufficient for the silicon nitride film to form a film of silicon oxide, silicon nitride or carbon-doped silicon oxide. It is processed by exposing it to at least one of them. Oxygen-containing sources are water (H 2 O), oxygen (O 2 ), oxygen plasma, ozone (O 3 ), NO, N 2 O, carbon monoxide (CO), carbon dioxide (CO 2 ), N 2 O. You can choose from the group consisting of plasma, carbon monoxide (CO) plasma, carbon dioxide (CO 2) plasma and combinations thereof.

幾つかの実施態様において、後熱処理材料は、プラズマ、赤外光、化学処理、電子ビーム又は紫外光を受けて、高密度な膜を形成する。本発明の1つの実施態様において、紫外光露光を含む後処理は、ガス状副生成物を生じる条件の下で行われる。 In some embodiments, the post-heat treated material receives plasma, infrared light, chemical treatment, electron beam or ultraviolet light to form a dense film. In one embodiment of the invention, the post-treatment, including ultraviolet light exposure, is performed under conditions that produce gaseous by-products.

上の工程は、本明細書において説明される方法のための1つのサイクルを画定し;サイクルは、ケイ素含有膜の所望の厚さが得られるまで繰り返すことができる。 The above steps define one cycle for the methods described herein; the cycle can be repeated until the desired thickness of the silicon-containing membrane is obtained.

本開発は、所望のシリコン:炭素の比(Si:C)を有する膜を提供する前駆体選択の使用を示す。テトラビニルシラン(TVS)を使用する膜の堆積は、約1:4のSi:C比を提供する。前駆体は1:8のSi:Cを有する。環構造中に含有される多くのSi原子を有する前駆体の選択は、例えば1,1,3,3−テトラビニル−1,3−ジシラシクロブタンに見られるように、より高いSi:Cを有する膜を生じさせることができる。前記化学構造は、1:3のSi:Cを提供すると予想される。前駆体、例えばアリル置換ジシラシクロブタンの使用は、堆積又は膜硬化の間の、脱離基としてのアリル基の改善されたポテンシャルに基づいて、潜在的には、低いSi:C、時には1:1に達するSi:Cを提供することができる。 The present development demonstrates the use of precursor selection to provide a film with the desired silicon: carbon ratio (Si: C). Membrane deposition using tetravinylsilane (TVS) provides a Si: C ratio of about 1: 4. The precursor has a 1: 8 Si: C. Selection of precursors with many Si atoms contained in the ring structure will result in higher Si: C, as seen, for example, in 1,1,3,3-tetravinyl-1,3-disilacyclobutane. A film having can be produced. The chemical structure is expected to provide 1: 3 Si: C. The use of precursors such as allyl-substituted disilacyclobutane is potentially low Si: C, sometimes 1: 1 based on the improved potential of the allyl group as a leaving group during deposition or membrane hardening. Si: C reaching 1 can be provided.

流動性膜150は、任意の適した温度で形成することができる。幾つかの実施態様において、流動性膜150は、約−20℃〜約100℃の範囲の温度で形成される。温度は、形成される装置の温度バジェットを維持するように、低く保つことができる。幾つかの実施態様において、流動性膜の形成は、約300℃、250℃、200℃、150℃、100℃、75℃、50℃、25℃又は0℃より低い温度で起こる。 The fluid film 150 can be formed at any suitable temperature. In some embodiments, the fluid film 150 is formed at a temperature in the range of about −20 ° C. to about 100 ° C. The temperature can be kept low so as to maintain the temperature budget of the device being formed. In some embodiments, the formation of the fluid film occurs at temperatures below about 300 ° C, 250 ° C, 200 ° C, 150 ° C, 100 ° C, 75 ° C, 50 ° C, 25 ° C or 0 ° C.

高い炭素含有量を有する膜は、多くの用途、例えばハードマスクのパターニングにおける用途及び流動性low K膜のための用途を有することができる。幾つかの実施態様において、本明細書において開示される前駆体を使用する流動性膜は、高い炭素含有量を有する膜を堆積することができる。幾つかの実施態様において、膜は最大で約85at%の炭素含有量を有する。1つ又は複数の実施態様において、流動性膜は、約40、45、50、55、60、65、70、75又は80at%より高く、かつ約95、90又は85at%より低い炭素含有量を有する。幾つかの実施態様において、流動性膜は、約40〜約85at%の範囲の、約50〜約85at%の範囲の又は約60〜約80at%の範囲の炭素含有量を有する。 Membranes with high carbon content can have many uses, such as in hardmask patterning and for fluid low K films. In some embodiments, fluidized membranes using the precursors disclosed herein are capable of depositing membranes with high carbon content. In some embodiments, the membrane has a maximum carbon content of about 85 at%. In one or more embodiments, the fluidized membrane has a carbon content higher than about 40, 45, 50, 55, 60, 65, 70, 75 or 80 at% and lower than about 95, 90 or 85 at%. Have. In some embodiments, the fluidized membrane has a carbon content in the range of about 40 to about 85 at%, in the range of about 50 to about 85 at% or in the range of about 60 to about 80 at%.

流動性膜の組成は、反応性ガスの組成を変えることによって調節することができる。幾つかの実施態様において、流動性膜は、SiC、SiCO、SiCN、SiCON、SiO及びSiNのうち1つ又は複数を含む。酸素含有膜を形成するために、共反応体は、例えば酸素、オゾン又は水のうち1つ又は複数を含んでよい。窒素含有膜を形成するために、共反応体は、例えばアンモニア、ヒドラジン、NO2若しくはN2のうち1つ又は複数を含んでよい。炭素含有膜を形成するために、反応性ガスは、例えばプロピレン及びアセチレンのうち1つ又は複数を含んでよい。当分野における当業者は、他の種の組み合わせが反応性ガス混合物に含まれて、流動性膜の組成を変えることができることを理解する。 The composition of the fluid membrane can be adjusted by changing the composition of the reactive gas. In some embodiments, the fluidizing membrane comprises one or more of SiC, SiCO, SiCN, SiCON, SiO and SiN. To form an oxygen-containing membrane, the copolymer may contain, for example, one or more of oxygen, ozone or water. To form a nitrogen-containing membrane, the copolymer may contain, for example, one or more of ammonia, hydrazine, NO 2 or N 2. To form the carbon-containing membrane, the reactive gas may contain, for example, one or more of propylene and acetylene. Those skilled in the art will appreciate that combinations of other species can be included in the reactive gas mixture to alter the composition of the fluid membrane.

流動性膜はウエハ上に堆積することができ(ウエハの温度は−10℃〜200℃であってよい)、それらの流動性のために、ポリマーはトレンチを通って流動し、ギャップ充填をする。次いで、これらの膜は硬化工程、例えばオゾン/UV/蒸気アニール/NH3アニールを受けて、安定な膜を得る。幾つかの実施態様において、方法は、SiC、SiCO、SiCN、SiCON、SiO及び/又はSiN流動性膜のうち1つ又は複数を提供する。従って、流動性膜150の形成の後、膜は硬化され、流動性膜を固化して実質的にシームの無いギャップ充填を形成することができる。1つ又は複数の実施態様において、流動性膜の硬化は、オゾン、紫外光、蒸気アニール、アンモニアアニール及び酸素プラズマのうち1つ又は複数に流動性膜をさらすことを含む。幾つかの実施態様において、流動性膜は、UV硬化プロセスに膜をさらすことによって硬化される。UV硬化プロセスは、約10℃〜約550℃の範囲の温度で行うことができる。UV硬化プロセスは、流動性膜を十分に固化するのに必要な任意の適した時間フレームの間行うことができる。UV硬化は、様々なパラメーター、例えば電力、温度、環境などのパラメーターで行うことができる。幾つかの実施態様において、UV硬化は、アセチレン/エチレン環境において起こる。 The fluid film can be deposited on the wafer (wafer temperature can be −10 ° C to 200 ° C), and due to their fluidity, the polymer flows through the trench and fills the gap. .. These films are then subjected to a curing step, such as ozone / UV / vapor annealing / NH 3 annealing, to obtain stable films. In some embodiments, the method provides one or more of SiC, SiCO, SiCN, SiCON, SiO and / or SiN fluidized membranes. Therefore, after the formation of the fluidized membrane 150, the membrane is cured and the fluidized membrane can be solidified to form a gap filling with virtually no seams. In one or more embodiments, curing the fluid film comprises exposing the fluid film to one or more of ozone, ultraviolet light, vapor annealing, ammonia annealing and oxygen plasma. In some embodiments, the fluidized membrane is cured by exposing the membrane to a UV curing process. The UV curing process can be carried out at temperatures in the range of about 10 ° C to about 550 ° C. The UV curing process can be carried out for any suitable time frame required to fully solidify the fluidized membrane. UV curing can be performed with various parameters such as power, temperature, environment and the like. In some embodiments, UV curing occurs in an acetylene / ethylene environment.

1つの態様において、流動性化学気相堆積プロセスにおいてケイ素含有膜を堆積させるための方法が提供され、方法は、表面特徴を備える基材を、−20℃〜約100℃の範囲の1つ又は複数の温度の反応器中に配置する工程;式RnSiR1 4-nを有し、式中、Rが直鎖又は分岐鎖のC2〜C6アルケニル基、直鎖又は分岐鎖のC2〜C6アルキニル基から選択され、R1が水素及び分岐鎖のC1〜C10アルキル基から選択され、nが1、2、3及び4から選択される数である少なくとも1つの化合物を含む組成物を反応器中に導入する工程;並びにプラズマ源を反応器中に提供し、少なくとも1つの化合物を少なくとも部分的に反応させて流動性液体オリゴマーを形成する工程であって、流動性液体オリゴマーは表面特徴の少なくとも一部を少なくとも部分的に充填し、プラズマ源は、組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源の両方を含む工程、を含む。 In one embodiment, a method for depositing a silicon-containing film in a fluid chemical vapor deposition process is provided, wherein the substrate with surface features is one in the range of −20 ° C. to about 100 ° C. The step of placing in a reactor at multiple temperatures; having the formula R n SiR 1 4-n , where R is a linear or branched C 2 to C 6 alkenyl group, linear or branched C. At least one compound selected from 2 to C 6 alkynyl groups, R 1 selected from hydrogen and C 1 to C 10 alkyl groups of the branched chain, and n being a number selected from 1, 2, 3 and 4. A step of introducing the composition containing the compound into a reactor; and a step of providing a plasma source into the reactor and reacting at least one compound at least partially to form a fluid liquid oligomer, which is a fluid liquid. The oligomer is at least partially filled with at least some of the surface features, and the plasma source comprises a step that includes both a remote plasma source and an in-situ plasma source that work in combination.

以下の実施例は、本発明の特定の実施態様を例示するために提供され、添付の特許請求の範囲を限定するものではない。 The following examples are provided to illustrate certain embodiments of the invention and are not intended to limit the scope of the appended claims.

流動性化学気相堆積(FCVD)膜を、中位の抵抗(8〜12Ωcm)の単結晶シリコンウエハ基材及びSiのパターン付きウエハの上に堆積した。特定の実施例において、結果として生じるケイ素含有膜又はコーティングは、前堆積処理、例えば、以下に限定するものではないが、プラズマ処理、熱処理、化学処理、紫外光露光、赤外露光、電子ビーム露光及び/又は膜の1つ又は複数の特性に影響を与える他の処理を受けさせることができる。 A fluid chemical vapor deposition (FCVD) film was deposited on a single crystal silicon wafer substrate with medium resistance (8-12 Ωcm) and a Si patterned wafer. In certain embodiments, the resulting silicon-containing film or coating is a predeposition treatment, eg, but not limited to, plasma treatment, heat treatment, chemical treatment, ultraviolet light exposure, infrared exposure, electron beam exposure. And / or can be subjected to other treatments that affect the properties of one or more of the membranes.

Applied Materials Precision 5000システムにおける改造したFCVDチャンバーでの堆積は、シラン又はTEOSのいずれかのプロセスキットを使用して行うことができる。チャンバーは直接的な液体注入(DLI)輸送能力を有する。前駆体は、前駆体の沸点に依存する輸送温度を有する液体である。初期の流動性窒化膜を堆積するために、典型的な液体前駆体流速は100〜5000mg/minであり、インサイチュプラズマの電力密度は0.25〜3.5W/cm2であり、圧力は0.75〜12Torrであった。堆積ままの流動性膜を高密度化するために、膜を、100〜1000℃、好ましくは300〜400℃で、改造したPECVDチャンバーを使用して、真空中で、熱アニールするか、及び/又はUV硬化した。厚さ及び632nmにおける屈折率(RI)を、SCI反射計又はWoollamエリプソメータによって測定した。典型的な膜厚は10〜2000nmの範囲であった。ケイ素ベースの膜の結合特性及び水素含有量(Si−H、C−H及びN−H)を、Nicolet透過フーリエ変換赤外分光(FTIR)ツールによって測定及び解析した。全ての密度測定を、X線反射率法(XRR)を使用して行った。X線光電子分光(XPS)分析及び二次イオン質量分析(SIMS)を行って、膜の元素組成を決定した。パターニングしたウエハにおける流動性及びギャップ充填効果を、Hitachi S−4700システムを2.0nmの分解能で使用して、断面の走査型電子顕微鏡(SEM)観察によって観察した。 Sedimentation in a modified FCVD chamber in an Applied Materials Precision 5000 system can be performed using either a silane or TEOS process kit. The chamber has a direct liquid injection (DLI) transport capability. A precursor is a liquid having a transport temperature that depends on the boiling point of the precursor. To deposit the initial fluid nitride film, a typical liquid precursor flow velocity is 100-5000 mg / min, the power density of the insitu plasma is 0.25-3.5 W / cm 2 , and the pressure is 0. It was .75-12 Torr. To densify the as-deposited fluid membrane, the membrane is thermally annealed in vacuum using a modified PECVD chamber at 100-1000 ° C, preferably 300-400 ° C, and / Or UV cured. The thickness and refractive index (RI) at 632 nm were measured by an SCI reflector or a Woollam ellipsometer. Typical film thickness was in the range of 10-2000 nm. The binding properties and hydrogen content (Si—H, CH and N—H) of silicon-based films were measured and analyzed by a Nicolet transmission Fourier transform infrared spectroscopy (FTIR) tool. All density measurements were made using the X-ray Reflectivity (XRR) method. X-ray photoelectron spectroscopy (XPS) analysis and secondary ion mass spectrometry (SIMS) were performed to determine the elemental composition of the membrane. The fluidity and gap filling effect in the patterned wafer was observed by scanning electron microscopy (SEM) observation of the cross section using a Hitachi S-4700 system with a resolution of 2.0 nm.

流動性CVD堆積を、以下の条件に従って行った。 Fluid CVD deposition was performed according to the following conditions.

実施例1:堆積条件−3000W リモートプラズマ源(RPS);200W インサイチュ;2torr;1500sccm NH3;1500mg/min テトラビニルシラン(TVS)。図1を参照すると、膜は硬化後のボイドを示している。 Example 1: Sedimentation Conditions-3000W Remote Plasma Source (RPS); 200W In situ; 2torr; 1500sccm NH 3 ; 1500mg / min Tetravinylsilane (TVS). With reference to FIG. 1, the membrane shows voids after curing.

実施例2:堆積条件−3000W RPS;200W インサイチュ;2torr;1000sccm NH3;1500mg/min TVS。図2を参照すると、より少ないNH3流は膜のボイドを減少させている。 Example 2: Sedimentation conditions-3000W RPS; 200W in situ; 2torr; 1000sccm NH 3 ; 1500mg / min TVS. Referring to FIG. 2, less NH 3 flow reduces membrane voids.

実施例3:堆積条件−3000W RPS;0W インサイチュ;2torr;1000sccm NH3;1500mg/min TVS。図3を参照すると、インサイチュプラズマを止めることは特徴の頂部におけるピンチオフを引き起こすことがわかる。 Example 3: Sedimentation conditions-3000W RPS; 0W in situ; 2torr; 1000sccm NH 3 ; 1500mg / min TVS. With reference to FIG. 3, it can be seen that stopping the in situ plasma causes a pinch-off at the top of the feature.

実施例4:堆積条件−TVSのみ;3000W RPS;200W インサイチュ;2torr;1000sccm NH3;1500mg/min TVS;TVS+トリシリルアミン(TSA);3000W RPS;200W インサイチュ;2torr;1000sccm NH3;1500mg/min TVS+900sccm TSA。表1を参照すると、TSAをチャンバーに加えることは、膜中へのNの取り込みを増加させないことがわかる。そのことは環境の酸化について膜を活性化する。 Example 4: Sedimentation Conditions-TVS Only; 3000W RPS; 200W In situ; 2torr; 1000sccm NH 3 ; 1500mg / min TVS; TVS + Trisilylamine (TSA); 3000W RPS; 200W In situ; 2torr; 1000sccm NH 3 ; 1500mg / min TVS + 900sccm TSA. With reference to Table 1, it can be seen that adding TSA to the chamber does not increase the uptake of N into the membrane. It activates the membrane for environmental oxidation.

実施例4における追記事項:化学組成をX線光電子分光法(XPS)によって決定した。幾らかの表面酸化がTVS膜において観察された。膜のバルクはわずかな酸化を有していた。表面及びバルクの酸化は、TVS+TSA膜において非常に度合いが大きかった。

Figure 2021527331
Additional Notes in Example 4: The chemical composition was determined by X-ray photoelectron spectroscopy (XPS). Some surface oxidation was observed on the TVS membrane. The bulk of the membrane had slight oxidation. Surface and bulk oxidation was very high in the TVS + TSA membrane.
Figure 2021527331

実施例5:堆積条件−TVSのみ;0W RPS;200W インサイチュ;8torr;400sccm NH3;2000mg/min TVS;200sccm He;5secの堆積;図4を参照すると、インサイチュプラズマの活性化のみを用いてTVSを使用するとき、堆積した膜は流動性を示したが、硬化後にはそれらは充填された特徴において視認可能な多孔性を示した。図2と比較して、これらの膜は、特徴中で有意に低い密度であると考えられる。 Example 5: Sedimentation Conditions-TVS Only; 0W RPS; 200W In situ; 8torr; 400sccm NH 3 ; 2000mg / min TVS; 200sccmHe; Sedimentation for 5sec; The deposited membranes showed fluidity when used, but after curing they showed visible porosity in the filled features. Compared to FIG. 2, these membranes are considered to have a significantly lower density in the features.

本発明の特定の原理が、態様又は実施態様とあわせて上で説明されたが、この説明は単に例としてされていて、本発明の範囲を限定するものではないと明確に理解される。 Although certain principles of the invention have been described above in conjunction with embodiments or embodiments, it is clearly understood that this description is merely an example and does not limit the scope of the invention.

Claims (12)

流動性化学気相堆積プロセスにおいてケイ素含有膜を堆積するための方法であって、
表面特徴を備える基材を、−20℃〜約100℃の範囲の1つ又は複数の温度の反応器中に配置する工程;
式RnSiR1 4-nを有し、式中、Rが直鎖又は分岐鎖のC2〜C6アルケニル基、直鎖又は分岐鎖のC2〜C6アルキニル基から選択され、R1が水素、メタン及び直鎖又は分岐鎖のC2〜C10アルキル基から選択され、nが1、2、3及び4から選択される数である少なくとも1つの化合物を含む組成物を反応器中に導入する工程;
プラズマ源を反応器中に提供し、少なくとも1つの化合物を少なくとも部分的に反応させて流動性液体オリゴマーを形成する工程であって、流動性液体オリゴマーが表面特徴の少なくとも一部を少なくとも部分的に充填し、プラズマ源が、組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源の両方を含む工程
を含む、方法。
A method for depositing silicon-containing films in a fluid chemical vapor deposition process.
The step of placing a substrate with surface features in a reactor at one or more temperatures in the range of −20 ° C. to about 100 ° C.;
It has the formula R n SiR 14 -n , in which R is selected from the straight or branched C 2 to C 6 alkenyl groups and the straight or branched C 2 to C 6 alkynyl groups, R 1 A composition comprising hydrogen, methane and at least one compound selected from linear or branched C 2 to C 10 alkyl groups and n being a number selected from 1, 2, 3 and 4 in the reactor. Process to introduce to
A step in which a plasma source is provided in a reactor and at least one compound is at least partially reacted to form a fluid liquid oligomer, wherein the fluid liquid oligomer at least partially reacts at least some of the surface features. A method comprising a step of filling and including a remote plasma source and an in-situ plasma source in which the plasma source works in combination.
リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つが、窒素プラズマ、窒素及び水素を含むプラズマ、窒素及びヘリウムを含むプラズマ、窒素及びアルゴンを含むプラズマ、アンモニアプラズマ、アンモニア及びヘリウムを含むプラズマ、アンモニア及びアルゴンを含むプラズマ、アンモニア及び窒素を含むプラズマ、NF3プラズマ、有機アミンプラズマ並びにそれらの組み合わせからなる群から選択されるプラズマ源を含む、請求項1に記載の方法。 At least one of the remote plasma source and the in-situ plasma source is nitrogen plasma, plasma containing nitrogen and hydrogen, plasma containing nitrogen and helium, plasma containing nitrogen and argon, ammonia plasma, plasma containing ammonia and helium, ammonia and argon. The method of claim 1, comprising a plasma source selected from the group consisting of plasmas comprising plasma, plasmas comprising ammonia and nitrogen, NF 3 plasmas, organic amine plasmas and combinations thereof. リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つが、炭化水素プラズマ、炭化水素及びヘリウムを含むプラズマ、炭化水素及びアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素及び水素を含むプラズマ、炭化水素及び窒素源を含むプラズマ、炭化水素及び酸素源を含むプラズマ並びにそれらの組み合わせからなる群から選択される炭素源プラズマを含む、請求項1に記載の方法。 At least one of the remote plasma source and the in-situ plasma source is a hydrocarbon plasma, a plasma containing hydrocarbons and helium, a plasma containing hydrocarbons and argon, a carbon dioxide plasma, a carbon monoxide plasma, a plasma containing hydrocarbons and hydrogen, The method of claim 1, comprising a plasma containing a hydrocarbon and nitrogen source, a plasma containing a hydrocarbon and an oxygen source, and a carbon source plasma selected from the group consisting of combinations thereof. リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つが、水素プラズマ、ヘリウムプラズマ、アルゴンプラズマ、キセノンプラズマ及びそれらの組み合わせからなる群から選択される、請求項1に記載の方法。 The method of claim 1, wherein at least one of the remote plasma source and the in-situ plasma source is selected from the group consisting of hydrogen plasma, helium plasma, argon plasma, xenon plasma and combinations thereof. リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つが、水(H2O)プラズマ、酸素プラズマ、オゾン(O3)プラズマ、NOプラズマ、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ及びそれらの組み合わせからなる群から選択される酸素を含むプラズマ源を含む、請求項1に記載の方法。 At least one of the remote plasma source and the in-situ plasma source is water (H 2 O) plasma, oxygen plasma, ozone (O 3 ) plasma, NO plasma, N 2 O plasma, carbon monoxide (CO) plasma, carbon dioxide ( CO 2 ) The method of claim 1, comprising a plasma source containing oxygen selected from the group consisting of plasma and combinations thereof. 約100℃〜約1000℃の範囲の1つ又は複数の温度で流動性液体オリゴマーを処理して、流動性液体オリゴマーを硬化させて硬化膜を形成する工程をさらに含む、請求項1に記載の方法。 The first aspect of the present invention further comprises a step of treating the fluid liquid oligomer at one or more temperatures in the range of about 100 ° C. to about 1000 ° C. to cure the fluid liquid oligomer to form a cured film. Method. 反応器の圧力が100torr以下に保持される、請求項1に記載の方法。 The method of claim 1, wherein the reactor pressure is maintained below 100 torr. ケイ素含有膜が、炭化ケイ素、窒化ケイ素、酸化ケイ素、炭素ドープ窒化ケイ素、炭素ドープ酸化ケイ素、酸窒化ケイ素及び炭素ドープ酸窒化ケイ素の膜からなる群から選択される、請求項1に記載の方法。 The method according to claim 1, wherein the silicon-containing film is selected from the group consisting of films of silicon carbide, silicon nitride, silicon oxide, carbon-doped silicon nitride, carbon-doped silicon oxide, silicon oxynitride and carbon-doped silicon nitride. .. 少なくとも1つの化合物が、
Figure 2021527331
からなる群から選択される、請求項1に記載の方法。
At least one compound
Figure 2021527331
The method according to claim 1, which is selected from the group consisting of.
少なくとも1つの化合物がテトラビニルシランを含む、請求項9に記載の方法。 The method of claim 9, wherein at least one compound comprises tetravinylsilane. 請求項1〜10のいずれか1項に記載の方法によって得られる膜。 A film obtained by the method according to any one of claims 1 to 10. 以下の特徴、i)紫外線硬化後における約150〜約190MPaの範囲の膜引張応力と、ii)約1.35〜約2.10g/cm3の範囲の密度とのうち少なくとも1つを有する、請求項11に記載の膜。 It has at least one of the following characteristics: i) film tensile stress in the range of about 150 to about 190 MPa after UV curing and ii) density in the range of about 1.35 to about 2.10 g / cm 3. The film according to claim 11.
JP2020568739A 2018-06-11 2019-06-11 Compositions and methods of using the compositions for the deposition of silicon-containing films Active JP7125515B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022128939A JP2022161979A (en) 2018-06-11 2022-08-12 Compositions and methods using the same for deposition of silicon-containing film

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862683142P 2018-06-11 2018-06-11
US62/683,142 2018-06-11
US16/433,585 US20190376178A1 (en) 2018-06-11 2019-06-06 Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US16/433,585 2019-06-06
PCT/US2019/036456 WO2019241183A1 (en) 2018-06-11 2019-06-11 Compositions and methods using same for deposition of silicon-containing film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022128939A Division JP2022161979A (en) 2018-06-11 2022-08-12 Compositions and methods using the same for deposition of silicon-containing film

Publications (2)

Publication Number Publication Date
JP2021527331A true JP2021527331A (en) 2021-10-11
JP7125515B2 JP7125515B2 (en) 2022-08-24

Family

ID=68764690

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020568739A Active JP7125515B2 (en) 2018-06-11 2019-06-11 Compositions and methods of using the compositions for the deposition of silicon-containing films
JP2022128939A Pending JP2022161979A (en) 2018-06-11 2022-08-12 Compositions and methods using the same for deposition of silicon-containing film

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022128939A Pending JP2022161979A (en) 2018-06-11 2022-08-12 Compositions and methods using the same for deposition of silicon-containing film

Country Status (9)

Country Link
US (1) US20190376178A1 (en)
EP (1) EP3802913A4 (en)
JP (2) JP7125515B2 (en)
KR (1) KR102549427B1 (en)
CN (1) CN112292479A (en)
IL (1) IL279250A (en)
SG (1) SG11202011890XA (en)
TW (2) TW202120729A (en)
WO (1) WO2019241183A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11713328B2 (en) * 2018-08-23 2023-08-01 Versum Materials Us, Llc Stable alkenyl or alkynyl-containing organosilicon precursor compositions
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
JP7138130B2 (en) * 2020-03-04 2022-09-15 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program
CN116234940A (en) * 2020-09-30 2023-06-06 盖列斯特有限公司 Silicon carbide film and vapor deposition method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
WO2017147150A1 (en) * 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US20180025907A1 (en) * 2016-07-19 2018-01-25 Applied Materials, Inc. Deposition Of Flowable Silicon-Containing Films

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
JP2007184611A (en) 2001-01-22 2007-07-19 Tokyo Electron Ltd Plasma processing device and plasma processing method
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20160106751A (en) * 2014-01-13 2016-09-12 어플라이드 머티어리얼스, 인코포레이티드 Carbon dioxide and carbon monoxide mediated curing of low k films to increase hardness and modulus
KR102332415B1 (en) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing films
KR102613423B1 (en) * 2015-12-21 2023-12-12 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing film
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US20180148833A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Methods for depositing flowable silicon containing films using hot wire chemical vapor deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
WO2017147150A1 (en) * 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US20180025907A1 (en) * 2016-07-19 2018-01-25 Applied Materials, Inc. Deposition Of Flowable Silicon-Containing Films

Also Published As

Publication number Publication date
WO2019241183A1 (en) 2019-12-19
KR102549427B1 (en) 2023-06-28
TW202000677A (en) 2020-01-01
KR20210006029A (en) 2021-01-15
EP3802913A1 (en) 2021-04-14
SG11202011890XA (en) 2020-12-30
JP2022161979A (en) 2022-10-21
JP7125515B2 (en) 2022-08-24
IL279250A (en) 2021-01-31
CN112292479A (en) 2021-01-29
TW202120729A (en) 2021-06-01
US20190376178A1 (en) 2019-12-12
TWI720506B (en) 2021-03-01
EP3802913A4 (en) 2022-02-16

Similar Documents

Publication Publication Date Title
JP6777754B2 (en) Compositions for depositing silicon-containing membranes and methods using them
JP6849792B2 (en) Precursor and fluid CVD method for making low potassium film to fill surface features
JP7139475B2 (en) Compositions and methods using same for deposition of silicon-containing films
CN107429391B (en) Compositions and methods for depositing silicon-containing films using the same
JP6882468B2 (en) Precursor and fluid CVD method for making low potassium film to fill surface features
JP7125515B2 (en) Compositions and methods of using the compositions for the deposition of silicon-containing films
TWI744957B (en) Compositions and methods using same for deposition of silicon-containing film

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220428

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220712

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220812

R150 Certificate of patent or registration of utility model

Ref document number: 7125515

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150