JP2022161979A - Compositions and methods using the same for deposition of silicon-containing film - Google Patents

Compositions and methods using the same for deposition of silicon-containing film Download PDF

Info

Publication number
JP2022161979A
JP2022161979A JP2022128939A JP2022128939A JP2022161979A JP 2022161979 A JP2022161979 A JP 2022161979A JP 2022128939 A JP2022128939 A JP 2022128939A JP 2022128939 A JP2022128939 A JP 2022128939A JP 2022161979 A JP2022161979 A JP 2022161979A
Authority
JP
Japan
Prior art keywords
plasma
silicon
source
carbon
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022128939A
Other languages
Japanese (ja)
Other versions
JP2022161979A5 (en
Inventor
ジー.リッジウェイ ロバート
G Ridgeway Robert
エヌ.ブルティス レイモンド
N Vrtis Raymond
ビー.ラオ マドフカー
B Rao Madhukar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of JP2022161979A publication Critical patent/JP2022161979A/en
Publication of JP2022161979A5 publication Critical patent/JP2022161979A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Abstract

PROBLEM TO BE SOLVED: To provide compositions and methods using the same for forming a silicon-containing film.
SOLUTION: Described herein are compositions and methods using the same for forming a silicon-containing film such as without limitation a silicon carbide, a silicon nitride, a silicon oxide, a silicon oxynitride, a carbon-doped silicon nitride, a carbon-doped silicon oxide, or a carbon doped silicon oxynitride film on at least a surface of a substrate having a surface feature. In one aspect, the silicon-containing films are deposited using a compound comprising a carbon-carbon double or carbon-carbon triple bond. A plasma source employed comprises both a remote plasma source and an in-situ plasma source operating in combination.
SELECTED DRAWING: Figure 2
COPYRIGHT: (C)2023,JPO&INPIT

Description

本明細書において説明されるのは、電子装置の製造のためのプロセスである。より具体的には、本明細書において説明されるのは、堆積プロセス、例えば、限定するものではないが、流動性化学気相堆積におけるケイ素含有膜を形成するための組成物である。本明細書において説明される組成物及び方法を使用して堆積することができる例示的なケイ素含有膜は、限定するものではないが、酸化ケイ素、窒化ケイ素、炭化ケイ素、酸窒化ケイ素、炭素ドープ酸化窒素又は炭素ドープ窒化ケイ素の膜を含む。 Described herein are processes for the manufacture of electronic devices. More specifically, described herein are compositions for forming silicon-containing films in deposition processes such as, but not limited to, fluid chemical vapor deposition. Exemplary silicon-containing films that can be deposited using the compositions and methods described herein include, but are not limited to, silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, carbon-doped It includes a film of nitric oxide or carbon-doped silicon nitride.

微小電子装置の製造において、多くの用途のために、10:1より大きいアスペクト比(AR)を有する狭いトレンチを、ボイドを形成せずに充填する必要がある。1つの用途は、シャロートレンチアイソレーション(STI)である。この用途のために、膜は非常に少ない漏出であるとともに、トレンチを通して高品質(例えば、2より小さい湿式エッチング速度比)である必要がある。構造体の寸法が小さくなり、アスペクト比が大きくなるにつれて、堆積された流動性膜の後硬化方法は困難になる。結果として、充填されたトレンチを通して、組成変化を有する膜をもたらす。 In the fabrication of microelectronic devices, there is a need for void-free filling of narrow trenches with aspect ratios (AR) greater than 10:1 for many applications. One application is shallow trench isolation (STI). For this application, the film should have very low leakage through the trench and be of high quality (eg, wet etch rate ratio less than 2). As the dimensions of structures decrease and aspect ratios increase, post-curing methods for deposited flowable films become more difficult. The result is a film with compositional variations throughout the filled trench.

誘電膜の従来のプラズマ強化化学気相堆積(PECVD)は、「マッシュルーム形状」の膜を狭いトレンチの頂部に形成する。これは、プラズマが深いトレンチに浸透できないことが原因である。そのことは、頂部から狭いトレンチをピンチオフし、トレンチの底部にボイドを形成することをもたらす。 Conventional plasma-enhanced chemical vapor deposition (PECVD) of dielectric films forms "mushroom-shaped" films on top of narrow trenches. This is due to the inability of the plasma to penetrate deep trenches. That results in pinching off the narrow trench from the top and forming voids at the bottom of the trench.

加えて、ケイ素含有膜、例えばSiCO、SiCON、SiCNは、半導体装置の製造において広く使用される。例えば、これらの炭素含有ギャップ充填膜はパターニング用途のために使用することができる。高い炭素レベルであるため、典型的には、これらの膜は、酸化膜及び窒化膜と比較して、高いエッチング選択性を示す。エッチング選択性は、パターニング用途において使用されるギャップ充填膜のために重要である場合がある。従って、ケイ素含有膜を堆積するための前駆体及び方法への要求がある。 In addition, silicon-containing films such as SiCO, SiCON, SiCN are widely used in the fabrication of semiconductor devices. For example, these carbon-containing gapfill films can be used for patterning applications. Due to the high carbon levels, these films typically exhibit high etch selectivities compared to oxide and nitride films. Etch selectivity can be important for gap-fill films used in patterning applications. Accordingly, there is a need for precursors and methods for depositing silicon-containing films.

米国特許出願公開第2008/0025907号明細書は、流動性CVD又はFCVDとして知られる方法によって、基材上にケイ素含有膜を形成するプロセスを開示している。FCVDプロセスは、小さい寸法を有する高いアスペクト比の構造体にギャップ充填膜を堆積させることを含む多くの用途のために有用である。開示されているプロセスによれば、前駆体は、堆積チャンバーにおいてラジカル誘導重合を開始する反応性ラジカルにさらされる。反応性ラジカルはプラズマエネルギーを使用して作られる。プラズマは、処理チャンバー中で発生又は着火させる(例えば、直接又はインサイチュプラズマ)ことができるか、又は処理チャンバーの外部で発生させて処理チャンバー中に流動させる(例えばリモートプラズマ)ことができる。 US Patent Application Publication No. 2008/0025907 discloses a process for forming a silicon-containing film on a substrate by a method known as flow CVD or FCVD. FCVD processes are useful for many applications, including the deposition of gapfill films on high aspect ratio structures with small dimensions. According to the disclosed process, the precursor is exposed to reactive radicals that initiate radical-induced polymerization in the deposition chamber. Reactive radicals are created using plasma energy. The plasma can be generated or ignited within the processing chamber (eg, direct or in-situ plasma) or can be generated external to the processing chamber and flowed into the processing chamber (eg, remote plasma).

本明細書において説明される組成物又は配合物、及び組成物又は配合物を使用する方法は、基材表面の少なくとも一部に、後堆積処理に際して望ましい膜特性を提供するケイ素含有膜を堆積させることによって、従来技術の問題を克服する。本発明の組成物及び方法は、以下の特性:i)Tohoの応力ツールを使用して測定して、熱硬化後に約10~約20MPaの範囲の、紫外線(UV)硬化後に約150~約190MPaの範囲の膜引張応力と、ii)X線反射率によって測定して約1.35~約2.10g/cm3の範囲の密度とを有するケイ素含有膜を提供することができる。 The compositions or formulations and methods of using the compositions or formulations described herein deposit silicon-containing films on at least a portion of a substrate surface that provide desirable film properties upon post-deposition processing. thereby overcoming the problems of the prior art. The compositions and methods of the present invention exhibit the following properties: i) in the range of about 10 to about 20 MPa after thermal curing, and from about 150 to about 190 MPa after ultraviolet (UV) curing, as measured using a Toho stress tool; and ii) a density in the range of about 1.35 to about 2.10 g/cm 3 as measured by X-ray reflectance.

ケイ素含有膜は、窒化ケイ素、炭化ケイ素、酸化ケイ素、炭素ドープ窒化ケイ素、酸窒化ケイ素及び炭素ドープ酸窒化ケイ素の膜からなる群から選択される。特定の実施態様において、基材は表面特徴を備える。本明細書において使用されるとき、用語「表面特徴」は、孔、トレンチ、シャロートレンチアイソレーション(STI)、ビア、再入可能な形状若しくは類似のもののうち、1つ若しくは複数を備える基材又は部分的に製造された基材を意味する。組成物は、予備混合組成物、予備混合物(堆積プロセスにおいて使用される前に混合される)又はインサイチュ混合物(堆積プロセスの間に混合される)であってよい。従って、本開示において、用語「混合物」、「配合物」及び「組成物」は相互交換可能である。 The silicon-containing film is selected from the group consisting of silicon nitride, silicon carbide, silicon oxide, carbon-doped silicon nitride, silicon oxynitride and carbon-doped silicon oxynitride films. In certain embodiments, the substrate comprises surface features. As used herein, the term "surface features" refers to substrates or means a partially manufactured substrate. The composition may be a premix composition, a premix (mixed prior to use in the deposition process) or an in-situ mixture (mixed during the deposition process). Accordingly, the terms "mixture," "formulation," and "composition" are interchangeable in this disclosure.

1つの態様において、流動性化学気相堆積プロセスにおいてケイ素含有膜を堆積するための方法が提供される。表面特徴を有する基材は、-20℃~約100℃の範囲の1つ又は複数の温度の反応器中に配置される。組成物は反応器中に導入され、組成物は式RnSiR1 4-nを有する少なくとも1つの化合物を含み、式中、Rは直鎖又は分岐鎖のC2~C6アルケニル基、直鎖又は分岐鎖のC2~C6アルキニル基から選択され;R1は水素、メチル及び直鎖又は分岐鎖のC2~C10アルキル基から選択され;nは1、2、3及び4から選択される数である。プラズマ源が提供され、少なくとも1つの化合物を少なくとも部分的に反応させて流動性液体オリゴマーを形成する。流動性液体オリゴマーは表面特徴の少なくとも一部を少なくとも部分的に充填する。プラズマ源は、組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源の両方を含む。 In one aspect, a method is provided for depositing a silicon-containing film in a flowable chemical vapor deposition process. A substrate having surface features is placed in a reactor at one or more temperatures ranging from -20°C to about 100°C. A composition is introduced into the reactor, the composition comprising at least one compound having the formula R n SiR 14 -n , wherein R is a linear or branched C 2 -C 6 alkenyl group, a linear is selected from chain or branched C 2 -C 6 alkynyl groups; R 1 is selected from hydrogen, methyl and straight or branched chain C 2 -C 10 alkyl groups; n is from 1, 2, 3 and 4 is the number selected. A plasma source is provided to at least partially react at least one compound to form a flowable liquid oligomer. The flowable liquid oligomer at least partially fills at least some of the surface features. Plasma sources include both remote plasma sources and in-situ plasma sources that work in combination.

1つの特定の実施態様において、リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つは、窒素プラズマ、窒素及びヘリウムを含むプラズマ、窒素及びアルゴンを含むプラズマ、アンモニアプラズマ、アンモニア及びヘリウムを含むプラズマ、アンモニア及びアルゴンを含むプラズマ、ヘリウムプラズマ、アルゴンプラズマ、水素プラズマ、水素及びヘリウムを含むプラズマ、水素及びアルゴンを含むプラズマ、アンモニア及び水素を含むプラズマ、有機アミンプラズマ、酸素を含むプラズマ、酸素及び水素を含むプラズマ並びにそれらの組み合わせからなる群から選択されるプラズマ源を含む。 In one particular embodiment, at least one of the remote plasma source and the in-situ plasma source is a nitrogen plasma, a plasma comprising nitrogen and helium, a plasma comprising nitrogen and argon, an ammonia plasma, a plasma comprising ammonia and helium, ammonia and plasma containing argon, helium plasma, argon plasma, hydrogen plasma, plasma containing hydrogen and helium, plasma containing hydrogen and argon, plasma containing ammonia and hydrogen, organic amine plasma, plasma containing oxygen, oxygen and hydrogen including plasma sources selected from the group consisting of plasmas and combinations thereof.

別の実施態様において、リモートプラズマ源及び/又はインサイチュプラズマ源のためのプラズマ源は、炭化水素プラズマ、炭化水素及びヘリウムを含むプラズマ、炭化水素及びアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素及び水素を含むプラズマ、炭化水素及び窒素源を含むプラズマ、炭化水素及び酸素源を含むプラズマ並びにそれらの組み合わせを含む炭素源プラズマからなる群から選択される。 In another embodiment, the plasma source for the remote plasma source and/or the in situ plasma source is a hydrocarbon plasma, a plasma containing hydrocarbons and helium, a plasma containing hydrocarbons and argon, a carbon dioxide plasma, a carbon monoxide plasma. a plasma containing hydrocarbons and hydrogen, a plasma containing hydrocarbons and a nitrogen source, a plasma containing a hydrocarbon and an oxygen source, and a carbon source plasma containing combinations thereof.

上の任意の実施態様において、又は代わりの実施態様において、流動性の液体又はオリゴマーは、約100℃~約1000℃の範囲の1つ又は複数の温度で処理されて、材料の少なくとも一部を高密度化する。 In any of the above embodiments, or alternative embodiments, the flowable liquid or oligomer is treated at one or more temperatures ranging from about 100° C. to about 1000° C. to convert at least a portion of the material to Densify.

幾つかの実施態様において、後熱処理材料は、プラズマ、赤外光、化学処理、電子ビーム又は紫外光にさらされて、高密度なフィルムを形成する。 In some embodiments, the post heat treated material is exposed to plasma, infrared light, chemical treatment, electron beam or ultraviolet light to form a dense film.

上の工程は、本明細書において説明される方法のための1つのサイクルを画定し;サイクルは、ケイ素含有膜の所望の厚さが得られるまで繰り返すことができる。この実施態様又は他の実施態様において、本明細書において説明される方法の工程は、順序を変えて行うことができ、連続的に又は同時に(例えば別の工程の少なくとも一部の間に)行うことができ、それらの任意の組み合わせであってよいと理解される。 The above steps define one cycle for the methods described herein; the cycle can be repeated until the desired thickness of the silicon-containing film is obtained. In this or other embodiments, the steps of the methods described herein can be performed out of order, sequentially or simultaneously (e.g., between at least a portion of another step). and any combination thereof.

本発明の種々の実施態様は、単独で又は互いに組み合わせて使用することができる。 Various embodiments of the invention can be used alone or in combination with each other.

実施例1の結果を示す走査型電子顕微鏡(SEM)写真である。4 is a scanning electron microscope (SEM) photograph showing the results of Example 1. FIG. 実施例2の結果を示すSEM写真である。4 is an SEM photograph showing the results of Example 2. FIG. 実施例3の結果を示すSEM写真である。4 is a SEM photograph showing the results of Example 3. FIG. 実施例5の結果を示すSEM写真である。4 is a SEM photograph showing the results of Example 5. FIG.

本明細書において説明されるのは、流動性化学気相堆積プロセスにおいてケイ素含有膜を堆積するためのFCVD方法であって、方法は、
表面特徴を備える基材を、-20℃~約100℃の範囲の1つ又は複数の温度の反応器中に配置する工程;
式RnSiR1 4-nを有し、式中、Rが直鎖又は分岐鎖のC2~C6アルケニル基、直鎖又は分岐鎖のC2~C6アルキニル基から選択され、R1が水素及び分岐鎖のC1~C10アルキル基から選択され、nが1、2、3及び4から選択される数である少なくとも1つの化合物を含む組成物を反応器中に導入する工程;並びに
プラズマ源を反応器中に提供し、少なくとも1つの化合物を少なくとも部分的に反応させて流動性液体オリゴマーを形成する工程であって、流動性液体オリゴマーが表面特徴の少なくとも一部を少なくとも部分的に充填し、プラズマ源が、組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源の両方を含む工程
を含む。
Described herein is an FCVD method for depositing silicon-containing films in a flowable chemical vapor deposition process, the method comprising:
placing a substrate with surface features in a reactor at one or more temperatures ranging from -20°C to about 100°C;
having the formula R n SiR 1 4-n , wherein R is selected from linear or branched C 2 -C 6 alkenyl groups, linear or branched C 2 -C 6 alkynyl groups, and R 1 is selected from hydrogen and branched C1 - C10 alkyl groups and n is a number selected from 1, 2, 3 and 4; and providing a plasma source in the reactor and at least partially reacting the at least one compound to form a flowable liquid oligomer, wherein the flowable liquid oligomer at least partially forms at least a portion of the surface features. and the plasma source includes both a remote plasma source and an in-situ plasma source working in combination.

典型的には、例えば流動性化学気相堆積処理によって堆積された膜は、後処理の間に、低いプロセス温度のために膜収縮を受ける。有意な膜収縮及び膜応力の増加のために、膜中にボイド及びシームが形成する場合がある。従って、膜応力を増加させずに、又はボイドを作り出すことなしに、膜を高密度化することは困難であった。本明細書において説明される組成物及び方法は、開示される前駆体及び開示される前駆体を含む組成物を使用することによって、基材上の表面特徴の少なくとも一部の充填を改善することによって、これらの問題を克服する。 Typically, films deposited by, for example, fluid chemical vapor deposition processes undergo film shrinkage during post-processing due to low process temperatures. Voids and seams may form in the film due to significant film shrinkage and increased film stress. Therefore, it has been difficult to densify the film without increasing film stress or creating voids. The compositions and methods described herein improve filling of at least some of the surface features on a substrate by using the disclosed precursors and compositions comprising the disclosed precursors. to overcome these problems.

開示の実施態様は、小さい寸法を有する高いアスペクト比(AR)の構造体にギャップ充填膜(例えばSiC、SiCO、SiCN、SiCON)を堆積させる方法を提供する。有利には、幾つかの実施態様は、クラスタツール環境において行うことができる、サイクルの堆積処理プロセスを含む方法を提供する。有利には、幾つかの実施態様は、小さい寸法を有する高いARのトレンチを充填する、シームの無い高品質のケイ素含有膜を提供する。有利には、幾つかの実施態様は、高い炭素含有量を含有する膜を提供する。有利には、1つの又は複数の実施態様において、高い炭素含有量の膜はハードマスク及びlow-k流動性用途において使用することができる。 Embodiments of the disclosure provide methods for depositing gapfill films (eg, SiC, SiCO, SiCN, SiCON) on high aspect ratio (AR) structures with small dimensions. Advantageously, some embodiments provide a method that includes cyclic deposition treatment processes that can be performed in a cluster tool environment. Advantageously, some embodiments provide high quality, seamless silicon-containing films that fill high AR trenches with small dimensions. Advantageously, some embodiments provide films containing high carbon content. Advantageously, in one or more embodiments, high carbon content films can be used in hardmask and low-k flow applications.

開示の1つ又は複数の実施態様は、高いアスペクト比の構造体(例えばAR>8.1)を充填することができる、流動性ケイ素含有膜が堆積されるプロセスを対象としている。開示の実施態様は、F-CVD(流動性化学気相堆積)を使用して、ギャップ充填用途のために、SiC、SiOC、SiCN、SiOCN、SiO及びSiN流動性膜を生じさせる新規の前駆体を提供する。種々の実施態様の前駆体は、アルケニル(ビニル)及び/又はアルキニル基を含む。1つ又は複数の実施態様において、前駆体は、堆積チャンバーにおいてラジカル誘導重合を開始させる反応性ラジカルにさらされる。 One or more embodiments of the disclosure are directed to processes in which flowable silicon-containing films are deposited that can fill high aspect ratio structures (eg, AR>8.1). Disclosed embodiments use F-CVD (Fluidable Chemical Vapor Deposition) to produce novel precursors for SiC, SiOC, SiCN, SiOCN, SiO and SiN flowable films for gapfill applications. I will provide a. The precursors of various embodiments contain alkenyl (vinyl) and/or alkynyl groups. In one or more embodiments, the precursor is exposed to reactive radicals that initiate radical-induced polymerization in the deposition chamber.

1つの実施態様において、本開発の方法は、表面特徴を備える基材を、-20℃~約100℃の範囲の1つ又は複数の温度の反応器中に配置する工程を含む。 In one embodiment, the developed method comprises placing a substrate with surface features in a reactor at one or more temperatures ranging from -20°C to about 100°C.

本明細書において使用されるとき、「基材」は、任意の基材、又は製造プロセスの間に膜処理が行われる基材の上に形成された材料表面をいう。例えば、処理を行うことができる基材表面は材料、例えばケイ素、酸化ケイ素、歪みシリコン、シリコン・オン・インシュレータ(SOI)、炭素ドープ酸化ケイ素、非晶質ケイ素、ドープケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、及び任意の他の材料、例えば金属、窒化金属、金属合金、及び他の導電性材料などを、用途に応じて含む。基材は、限定するものではないが、半導体ウエハを含む。基材は、基材表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、UV硬化、電子ビーム硬化及び/又はベーキングするための前処理プロセスを受けさせることができる。基材自身の表面への直接の膜処理に加えて、本発明において、開示される膜処理工程の幾つかはまた、下でより詳細に開示されるように、基材に形成される下層に行うことができ、用語「基材表面」は、文脈が意図するときは、このような下層を含むことを意図される。従って、例えば、膜/層又は部分的な膜/層が基材表面に堆積された場合、新規に堆積された膜/層の露出表面は基材表面となる。 As used herein, "substrate" refers to any substrate or material surface formed on the substrate upon which membrane treatment is performed during the manufacturing process. For example, substrate surfaces that can be treated include materials such as silicon, silicon oxide, strained silicon, silicon-on-insulator (SOI), carbon-doped silicon oxide, amorphous silicon, doped silicon, germanium, gallium arsenide. , glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Substrates include, but are not limited to, semiconductor wafers. The substrate can undergo pretreatment processes to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, e-beam cure and/or bake the substrate surface. In addition to direct film treatment to the surface of the substrate itself, some of the film treatment steps disclosed in the present invention are also applied to underlying layers formed on the substrate, as disclosed in more detail below. It can be done, and the term "substrate surface" is intended to include such underlayers when the context intends. Thus, for example, when a film/layer or partial film/layer is deposited on a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.

先に記載されるように、方法は、表面特徴を備える基材の表面の少なくとも一部に膜を堆積させる。基材は反応器中に配置され、基材は約-20℃~約100℃の範囲の少なくとも1つの温度で保持される。1つの特定の実施態様において、基材の温度はチャンバーの壁の温度より低い。基材温度は、100℃より低い温度で、好ましくは25℃より低い温度で、最も好ましくは10℃より低く-20℃より高い温度で保たれる。 As previously described, the method deposits a film on at least a portion of the surface of the substrate comprising the surface features. A substrate is placed in the reactor and the substrate is maintained at at least one temperature ranging from about -20°C to about 100°C. In one particular embodiment, the temperature of the substrate is lower than the temperature of the walls of the chamber. The substrate temperature is kept below 100°C, preferably below 25°C, and most preferably below 10°C and above -20°C.

先に記載されるように、基材は1つ又は複数の表面特徴を備える。1つの特定の実施態様において、1つ又は複数の表面特徴は、1μm以下の幅、500nm以下の幅、50nm以下の幅、又は10nmの幅を有する。この実施態様又は他の実施態様において、表面特徴のアスペクト比(深さ:幅の比)は、存在するならば、0.1:1以上、1:1以上、10:1以上、20:1以上、又は40:1以上である。基材は、単結晶シリコンウエハ、炭化ケイ素のウエハ、酸化アルミニウム(サファイア)のウエハ、ガラスのシート、金属のホイル、有機ポリマーの膜であるか、又はポリマー、ガラス、ケイ素又は金属の3次元の物品であってよい。基材は、酸化ケイ素、窒化ケイ素、非晶質炭素、シリコンオキシカーバイド、酸窒化ケイ素、炭化ケイ素、ヒ化ガリウム、窒化ガリウム及び類似のものの膜を含む、当分野において周知である多様な材料でコーティングすることができる。これらのコーティングは、基材を完全にコーティングしていてよく、種々の材料の複層であってよく、部分的にエッチングされて材料の下地層を露出させていてよい。表面は、その表面に、基材を部分的にコーティングするように、あるパターンで露光されて現像されたフォトレジスト材料もまた有していてよい。 As previously described, the substrate comprises one or more surface features. In one particular embodiment, the one or more surface features have a width of 1 μm or less, a width of 500 nm or less, a width of 50 nm or less, or a width of 10 nm. In this or other embodiments, the aspect ratio (depth:width ratio) of the surface features, if present, is 0.1:1 or greater, 1:1 or greater, 10:1 or greater, 20:1 or greater, or 40:1 or greater. The substrate may be a single crystal silicon wafer, a silicon carbide wafer, an aluminum oxide (sapphire) wafer, a sheet of glass, a metal foil, a film of an organic polymer, or a three-dimensional film of polymer, glass, silicon or metal. It can be an article. Substrates can be of a variety of materials well known in the art, including films of silicon oxide, silicon nitride, amorphous carbon, silicon oxycarbide, silicon oxynitride, silicon carbide, gallium arsenide, gallium nitride, and the like. can be coated. These coatings may completely coat the substrate, may be multiple layers of different materials, or may be partially etched to expose underlying layers of material. The surface may also have a photoresist material exposed in a pattern and developed to partially coat the substrate on the surface.

本発明の1つの態様において、基材は、Si、SiOx、SiN、SiGe、SiOC及びSiONからなる群から選択される少なくとも1つの要素を含む。本発明の別の態様において、本発明のケイ素含有膜は、ハードマスクとして用いることができ、フォトレジストにエッチング選択性を提供することができる。本発明のさらなる態様において、本発明のケイ素含有膜は、導電性材料の間の誘電性膜として、導電体と他の誘電体との間のバリアとして、又はサンドウィッチの誘電体における膜として機能する。 In one aspect of the invention, the substrate comprises at least one element selected from the group consisting of Si, SiOx , SiN, SiGe, SiOC and SiON. In another aspect of the invention, the silicon-containing films of the invention can be used as hardmasks and can provide etch selectivity to photoresists. In further aspects of the invention, the silicon-containing films of the invention function as dielectric films between conductive materials, as barriers between conductors and other dielectrics, or as films in sandwich dielectrics. .

本明細書において説明される、膜又はコーティングを形成するのに使用される方法は、流動性化学堆積プロセスである。本明細書において開示される方法のための適した堆積プロセスの例は、サイクルの流動性化学気相堆積(CFCVD)又はプラズマ強化流動性化学気相堆積(PEFCVD)を含むがそれらに限定されない。本明細書において使用されるとき、用語「流動性化学気相堆積プロセス」は、基材が1つ又は複数の揮発性前駆体にさらされ、揮発性前駆体が基材表面で反応及び/又は分解して流動性オリゴマーのケイ素含有種を提供し、次いでさらなる処理に際し固体の膜又は材料を生じさせ、幾つかの場合には、オリゴマー種の少なくとも一部がポリマー種を含む、任意のプロセスをいう。本明細書において使用される前駆体、試薬及び源は時には「ガス状」と説明する場合があるが、前駆体は、不活性気体を伴って又は伴わずに、反応器中に、直接的な気化、バブリング又は昇華によって運ばれる液体又は固体のいずれかであってよいと理解される。幾つかの場合において、気化された前駆体はプラズマ発生器を通過することができる。1つの実施態様において、膜は、プラズマベースの(例えばリモート発生の又はインサイチュの)CVDプロセスを使用して堆積される。本明細書において使用されるとき、用語「反応器」は、反応チャンバー又は堆積チャンバーを含むがそれらに限定されない。 The method used to form the films or coatings described herein is a flowable chemical deposition process. Examples of suitable deposition processes for the methods disclosed herein include, but are not limited to, cyclic fluidized chemical vapor deposition (CFCVD) or plasma enhanced fluidized chemical vapor deposition (PEFCVD). As used herein, the term "flowable chemical vapor deposition process" means that a substrate is exposed to one or more volatile precursors, the volatile precursors reacting and/or Any process that decomposes to provide fluid oligomeric silicon-containing species, which upon further processing yields a solid film or material, and in some cases at least a portion of the oligomeric species comprise polymeric species. Say. Although the precursors, reagents and sources used herein may sometimes be described as "gaseous," the precursors may be placed directly into the reactor, with or without an inert gas. It is understood that it may be either a liquid or a solid carried by vaporization, bubbling or sublimation. In some cases, the vaporized precursor can be passed through a plasma generator. In one embodiment, the film is deposited using a plasma-based (eg, remotely generated or in-situ) CVD process. As used herein, the term "reactor" includes, but is not limited to, reaction chambers or deposition chambers.

本開発の方法はまた、式RnSiR1 4-nを有し、式中、Rが直鎖又は分岐鎖のC2~C6アルケニル基、直鎖又は分岐鎖のC2~C6アルキニル基から選択され;R1が水素及び分岐鎖のC1~C10アルキル基から選択され;nが1、2、3及び4から選択される数である少なくとも1つの化合物を含む組成物を反応器中に導入する工程を含む。前記の式についての例示的な化合物は、以下:

Figure 2022161979000002
を含むがそれらに限定されない。 The developed method also has the formula R n SiR 14 -n , where R is a linear or branched C 2 -C 6 alkenyl group, a linear or branched C 2 -C 6 alkynyl R 1 is selected from hydrogen and branched C 1 -C 10 alkyl groups; n is a number selected from 1, 2, 3 and 4. Including the step of introducing into the vessel. Exemplary compounds for the above formulas are:
Figure 2022161979000002
including but not limited to.

上の式において、及び明細書を通して、用語「直鎖又は分岐鎖のアルキル」は、1~10、3~10又は1~6個の炭素原子を有する直鎖の官能基を表す。上の式において、及び明細書を通して、用語「分岐鎖のアルキル」は、3~10又は1~6個の炭素原子を有する直鎖の官能基を表す。例示的な直鎖又は分岐鎖のアルキル基は、メチル(Me)、エチル(Et)、イソプロピル(Pri)、イソブチル(Bui)、sec-ブチル(Bus)、tert-ブチル(But)、イソペンチル、tert-ペンチル(am)、イソヘキシル及びネオヘキシルを含むがそれらに限定されない。特定の実施態様において、アルキル基は、それに結合した1つ又は複数の官能基、例えば、以下に限定するものではないが、アルコキシ基、ジアルキルアミノ基又はそれらの組み合わせなどの官能基を有していてよい。他の実施態様において、アルキル基は、それに結合した1つ又は複数の官能基を有さない。アルキル基は、飽和であるか、又は代わりに不飽和であってよい。 In the above formula and throughout the specification, the term "linear or branched alkyl" represents a linear functional group having 1 to 10, 3 to 10 or 1 to 6 carbon atoms. In the above formula and throughout the specification, the term "branched alkyl" refers to straight chain functional groups having 3 to 10 or 1 to 6 carbon atoms. Exemplary straight or branched chain alkyl groups are methyl (Me), ethyl (Et), isopropyl (Pr i ), isobutyl (Bu i ), sec-butyl (Bu s ), tert-butyl (Bu t ). , isopentyl, tert-pentyl (am), isohexyl and neohexyl. In certain embodiments, an alkyl group has one or more functional groups attached thereto, such as, but not limited to, alkoxy groups, dialkylamino groups, or combinations thereof. you can In other embodiments, an alkyl group does not have one or more functional groups attached to it. Alkyl groups may be saturated or, alternatively, unsaturated.

上の式において、及び明細書を通して、用語「環状アルキル」は、3~10又は5~10個の炭素原子を有する環状基を表す。例示的な環状アルキル基は、シクロブチル、シクロペンチル、シクロヘキシル及びシクロオクチル基を含むがそれらに限定されない。特定の実施態様において、環状アルキル基は、1つ又は複数の、C1~C10の直鎖、分岐鎖の置換基、又は酸素原子若しくは窒素原子を含有する置換基を有していてよい。この実施態様又は他の実施態様において、環状アルキル基は、1つ又は複数の直鎖又は分岐鎖のアルキル又はアルコキシ基、例えばメチルシクロヘキシル基又はメトキシシクロヘキシル基を置換基として有していてよい。 In the above formula and throughout the specification, the term "cyclic alkyl" represents a cyclic group having 3-10 or 5-10 carbon atoms. Exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl and cyclooctyl groups. In certain embodiments, a cyclic alkyl group may have one or more C 1 -C 10 straight chain, branched chain, or substituents containing oxygen or nitrogen atoms. In this or other embodiments, the cyclic alkyl group may be substituted with one or more straight or branched chain alkyl or alkoxy groups, such as methylcyclohexyl or methoxycyclohexyl groups.

上の式において、及び明細書を通して、用語「アリール」は、3~10個の炭素原子、5~10個の炭素原子又は6~10個の炭素原子を有する芳香族の環状官能基を表す。例示的なアリール基は、フェニル、ベンジル、クロロベンジル、トリル及びo-キシリルを含むがそれらに限定されない。 In the above formula and throughout the specification, the term "aryl" represents an aromatic cyclic functional group having 3-10 carbon atoms, 5-10 carbon atoms or 6-10 carbon atoms. Exemplary aryl groups include, without limitation, phenyl, benzyl, chlorobenzyl, tolyl and o-xylyl.

上の式において、及び明細書を通して、用語「アルケニル基」は、1つ又は複数の炭素-炭素の二重結合を有し、かつ2~12、2~10又は2~6個の炭素原子を有する基を表す。例示的なアルケニル基は、ビニル又はアリル基を含むがそれらに限定されない。 In the above formulas and throughout the specification, the term "alkenyl group" has one or more carbon-carbon double bonds and has 2 to 12, 2 to 10 or 2 to 6 carbon atoms. represents a group having Exemplary alkenyl groups include, but are not limited to vinyl or allyl groups.

用語「アルキニル基」は、1つ又は複数の炭素-炭素の三重結合を有し、かつ2~12又は2~6個の炭素原子を有する基を表す。 The term “alkynyl group” refers to groups having one or more carbon-carbon triple bonds and having from 2 to 12 or from 2 to 6 carbon atoms.

用語「アルキレン基」は、2個の水素原子の除去によってアルキルから誘導される基を表す。例示的なアルキレン基は、メチレン(-CH2-)又はエチレン(-CH2CH2-)基を含むがそれらに限定されない。 The term "alkylene group" denotes a group derived from alkyl by the removal of two hydrogen atoms. Exemplary alkylene groups include, but are not limited to, methylene (--CH 2 --) or ethylene (--CH 2 CH 2 --) groups.

上の式において、及び明細書を通して、本明細書において使用されるとき、用語「不飽和の」は、官能基、置換基、環又はブリッジが、1つ又は複数の炭素二重結合又は三重結合を有することを意味する。不飽和の環の例は、以下に限定するものではないが、芳香族環、例えばフェニル環であってよい。用語「飽和の」は、官能基、置換基、環又はブリッジが、1つ又は複数の二重結合又は三重結合を有さないことを意味する。 As used herein in the formulas above and throughout the specification, the term "unsaturated" means that a functional group, substituent, ring or bridge has one or more carbon double or triple bonds means to have Examples of unsaturated rings may be, but are not limited to, aromatic rings such as phenyl rings. The term "saturated" means that a functional group, substituent, ring or bridge does not have one or more double or triple bonds.

特定の実施態様において、前記の式中のアルキル基、アルケニル基、アルキニル基、アリール基及び/又は環状アルキル基のうち1つ又は複数は、「置換されている」か、又は例えば水素原子に代わって置換された1以上の原子若しくは原子の群を有していてよい。例示的な置換基は、酸素、硫黄、ハロゲン原子(例えばF、Cl、I又はBr)、窒素、アルキル基及びリンを含むがそれらに限定されない。他の実施態様において、前記の式中のアルキル基、アルケニル基、アルキニル基、芳香族及び/又はアリール基のうち1つ又は複数は置換されていなくてよい。 In certain embodiments, one or more of the alkyl groups, alkenyl groups, alkynyl groups, aryl groups and/or cyclic alkyl groups in the above formulas are "substituted" or substituted for, for example, a hydrogen atom. may have one or more atoms or groups of atoms substituted with Exemplary substituents include, but are not limited to oxygen, sulfur, halogen atoms (eg F, Cl, I or Br), nitrogen, alkyl groups and phosphorus. In other embodiments, one or more of the alkyl, alkenyl, alkynyl, aromatic and/or aryl groups in the above formulas can be unsubstituted.

ケイ素前駆体化合物は、好ましくは、塩素などのハロゲン系イオン又はAlなどの金属イオンを実質的に有さない。本明細書において使用されるとき、用語「実質的に有さない」は、ハロゲン系イオン(又はハロゲン化物)、例えば塩化物、フッ化物、臭化物及びヨウ化物、Al3+イオン、Fe2+、Fe3+、Ni2+、Cr3+に関するとき、(質量で)5ppmより少ない、好ましくは3ppmより少ない、より好ましくは1ppmより少ない、最も好ましくは0ppmであることを意味する。塩化物又は金属イオンは、ケイ素前駆体のための分解触媒として作用することが知られている。最終製品における有意なレベルの塩化物はケイ素前駆体を分解することができる。ケイ素前駆体のゆるやかな分解は、半導体製造について膜の仕様を合わせることを困難にする膜堆積プロセスに直接的に影響を与えることができる。加えて、貯蔵寿命又は安定性は、ケイ素前駆体のより高い分解速度によって負の影響を受け、それによって1~2年の貯蔵寿命を保証することを困難にする。さらに、ケイ素前駆体は、分解に際し、可燃性の及び/又は自然発火性のガス、例えば水素及びシランを形成することが知られている。従って、ケイ素前駆体の加速された分解は、これらの可燃性及び/又は自然発火性のガス状副生成物の形成に関連する安全及び性能の懸念をもたらす。 The silicon precursor compound is preferably substantially free of halogen-based ions such as chlorine or metal ions such as Al. As used herein, the term "substantially free" refers to halogen-based ions (or halides) such as chloride, fluoride, bromide and iodide, Al 3+ ions, Fe 2+ , When referring to Fe 3+ , Ni 2+ , Cr 3+ , it means less than 5 ppm (by mass), preferably less than 3 ppm, more preferably less than 1 ppm, most preferably 0 ppm. Chloride or metal ions are known to act as decomposition catalysts for silicon precursors. Significant levels of chloride in the final product can decompose the silicon precursor. Slow decomposition of the silicon precursor can directly impact the film deposition process making it difficult to meet film specifications for semiconductor manufacturing. In addition, shelf life or stability is negatively impacted by the higher decomposition rate of the silicon precursor, making it difficult to guarantee a shelf life of 1-2 years. Additionally, silicon precursors are known to form flammable and/or pyrophoric gases such as hydrogen and silane upon decomposition. Accordingly, the accelerated decomposition of the silicon precursor raises safety and performance concerns related to the formation of these flammable and/or pyrophoric gaseous by-products.

本明細書において開示される化合物は、気相引き抜き、バブリング又は直接的な液体注入(DLI)を含むがそれらに限定されない種々の方法で、流動性化学気相堆積反応器に輸送することができる。1つの実施態様において、液体輸送システムが利用される。別の実施態様において、反応器は二重のプレナムシャワーヘッドを備えて、リモートで発生させられたプラズマ種を前駆体の気相から分離して保つことができ、このことはそれらが反応器中で結合して流動性液体を堆積させるまでのことである。代わりの実施態様において、結合された液体輸送及びフラッシュ気化プロセス装置、例えばMSP Corporation of Shoreview,MNによって製造されるターボ気化器を用いることができ、低い揮発性の材料が体積的に輸送されることを可能とし、前駆体の熱的な分解を伴わない再現可能な輸送及び堆積をもたらす。液体輸送配合物において、本明細書において説明される前駆体は、純粋な液体形態で輸送することができるか、又は代わりに、前駆体を含む溶媒配合物若しくは組成物において用いることができる。従って、特定の実施態様において、前駆体配合物は、基材に膜を形成する所与の最終使用用途において望ましくかつ有利であり得る適した特性の溶媒構成要素を含んでいてよい。 The compounds disclosed herein can be transported into the flowable chemical vapor deposition reactor in a variety of ways including, but not limited to, vapor abstraction, bubbling or direct liquid injection (DLI). . In one embodiment, a liquid transport system is utilized. In another embodiment, the reactor can be equipped with dual plenum showerheads to keep the remotely generated plasma species separate from the gas phase of the precursor, which means that they until they combine to deposit a flowable liquid. In an alternate embodiment, a combined liquid transport and flash vaporization process device, such as a turbo vaporizer manufactured by MSP Corporation of Shoreview, Minn., can be used, and low volatility materials can be volumetrically transported. , resulting in reproducible transport and deposition without thermal decomposition of the precursor. In liquid delivery formulations, the precursors described herein can be delivered in pure liquid form or alternatively can be used in solvent formulations or compositions containing the precursors. Thus, in certain embodiments, the precursor formulation may include a solvent component of suitable properties that may be desirable and advantageous in a given end-use application for forming a film on a substrate.

特定の実施態様において、基材は、1つ又は複数の前堆積処理、例えば、以下に限定するものではないが、プラズマ処理、熱処理、化学処理、紫外光露光、電子ビーム露光及びそれらの組み合わせを受けて、膜の1つ又は複数の特性に影響を与えることができる。これらの前堆積処理は、不活性、酸化及び/又は還元から選択される雰囲気下で起こることができる。 In certain embodiments, the substrate is subjected to one or more pre-deposition treatments, including but not limited to plasma treatment, thermal treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and combinations thereof. In response, one or more properties of the membrane can be affected. These pre-deposition treatments can occur under an atmosphere selected from inert, oxidizing and/or reducing.

本開発の方法は、プラズマ源を反応器中に提供し、式RnSiR1 4-nを有する少なくとも1つの化合物を少なくとも部分的に反応させて流動性液体オリゴマーを形成する工程を含み、流動性液体オリゴマーは表面特徴の少なくとも一部を少なくとも部分的に充填し、プラズマ源は、組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源の両方を含む。 The method of the present development comprises providing a plasma source in a reactor and at least partially reacting at least one compound having the formula R n SiR 14 -n to form a flowable liquid oligomer; The liquid oligomer at least partially fills at least some of the surface features, and the plasma sources include both remote plasma sources and in-situ plasma sources working in combination.

本開発のプロセスは、2つのプラズマ源-リモートプラズマ源及びインサイチュRFプラズマ源(例えば容量結合)-を用いて、最も良好なギャップ充填特性を有する、例えば走査型電子顕微鏡(SEM)によって見たときに視認可能なボイドが実質的にない、堆積及び硬化後膜を生じさせる。組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源は、両方のプラズマ源が、堆積の間の時間のうち少なくとも一部で活性化されることを意味する。他の実施態様において、両方のプラズマ源は、堆積の間の時間のうち少なくとも1/4の間、活性化される。他の実施態様において、両方のプラズマ源は、堆積の間の時間のうち少なくとも半分の間、活性化される。他の実施態様において、両方のプラズマ源は、堆積の間の時間のうち少なくとも3/4の間、活性化される。さらに他の実施態様において、両方のプラズマ源は、堆積の間の全体の時間で活性化される。 The developed process uses two plasma sources - a remote plasma source and an in-situ RF plasma source (e.g. capacitively coupled) - and has the best gap-fill properties, e.g. when viewed by scanning electron microscopy (SEM). resulting in a film after deposition and curing that is substantially free of visible voids. A remote plasma source and an in-situ plasma source working in combination means that both plasma sources are activated at least part of the time during deposition. In other embodiments, both plasma sources are activated for at least 1/4 of the time during deposition. In other embodiments, both plasma sources are activated for at least half the time during deposition. In other embodiments, both plasma sources are activated for at least 3/4 of the time during deposition. In yet another embodiment, both plasma sources are activated the entire time during deposition.

リモートプラズマ源(RPS)に適用されるプラズマ電力は1000~3000W、好ましくは2000~3000Wである。インサイチュプラズマ源へのプラズマ電力は100~1000W、好ましくは200~300Wである。 The plasma power applied to the remote plasma source (RPS) is 1000-3000W, preferably 2000-3000W. The plasma power to the in-situ plasma source is 100-1000W, preferably 200-300W.

エネルギーは式RnSiR1 4-nを有する少なくとも1つの化合物及びプラズマ源に適用されて、反応を誘起して、基材に流動性ケイ素含有膜又はコーティングを形成する。このようなエネルギーは、以下に限定するものではないが、熱、プラズマ、パルスプラズマ、ヘリコン波プラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、フォトン、リモートプラズマの方法、及びそれらの組み合わせによって提供することができる。特定の実施態様において、第二のRF周波数源を使用して、基材表面におけるプラズマ特性を変えるために使用することができる。堆積がプラズマを包含する実施態様において、プラズマ発生プロセスは、プラズマを反応器中で直接発生させる直接プラズマ発生プロセス、又は代わりにプラズマを反応器の外部で発生させて反応器中に供給するリモートプラズマ発生プロセスを含んでよい。 Energy is applied to at least one compound having the formula RnSiR14-n and the plasma source to induce a reaction to form a flowable silicon-containing film or coating on the substrate. Such energies include, but are not limited to, thermal, plasma, pulsed plasma, helicon wave plasma, high density plasma, inductively coupled plasma, x-ray, electron beam, photon, remote plasma methods, and their Can be provided by a combination. In certain embodiments, a second RF frequency source can be used to alter the plasma properties at the substrate surface. In embodiments in which the deposition involves plasma, the plasma generation process may be a direct plasma generation process, in which the plasma is generated directly in the reactor, or alternatively, a remote plasma, in which the plasma is generated outside the reactor and fed into the reactor. It may include developmental processes.

リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つは窒素を含んでよい。窒素を含むプラズマは、窒素プラズマ、窒素/水素プラズマ、窒素/ヘリウムプラズマ、窒素/アルゴンプラズマ、アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3、NF3プラズマ、有機アミンプラズマ及びそれらの組み合わせからなる群から選択することができる。少なくとも1つの化合物と窒素源とが反応して、(不定比である)窒化ケイ素膜又は炭窒化ケイ素膜を表面特徴及び基材の少なくとも一部に形成する。本明細書において使用されるとき、用語「有機アミン」は、少なくとも1つの窒素原子を有する有機化合物を表す。有機アミンの例は、以下に限定するものではないが、メチルアミン、エチルアミン、プロピルアミン、イソプロピルアミン、tert-ブチルアミン、sec-ブチルアミン、tert-アミルアミン、エチレンジアミン、ジメチルアミン、トリメチルアミン、ジエチルアミン、ピロール、2,6-ジメチルピぺリジン、ジ-n-プロピルアミン、ジ-イソプロピルアミン、エチルメチルアミン、N-メチルアニリン、ピリジン及びトリエチルアミンである。 At least one of the remote plasma source and the in-situ plasma source may contain nitrogen. Nitrogen-containing plasmas include nitrogen plasma, nitrogen/hydrogen plasma, nitrogen/helium plasma, nitrogen/argon plasma, ammonia plasma, ammonia/helium plasma, ammonia/argon plasma, ammonia/nitrogen plasma, NF3 , NF3 plasma , organic It can be selected from the group consisting of amine plasmas and combinations thereof. The at least one compound and the nitrogen source react to form a (non-stoichiometric) silicon nitride or silicon carbonitride film on the surface features and at least a portion of the substrate. As used herein, the term "organic amine" refers to organic compounds having at least one nitrogen atom. Examples of organic amines include, but are not limited to: methylamine, ethylamine, propylamine, isopropylamine, tert-butylamine, sec-butylamine, tert-amylamine, ethylenediamine, dimethylamine, trimethylamine, diethylamine, pyrrole, 2 , 6-dimethylpiperidine, di-n-propylamine, di-isopropylamine, ethylmethylamine, N-methylaniline, pyridine and triethylamine.

リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つは炭素を含んでよい。このような実施態様において、プラズマ源は、炭化水素プラズマ、炭化水素及びヘリウムを含むプラズマ、炭化水素及びアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素及び水素を含むプラズマ、炭化水素及び窒素源を含むプラズマ、炭化水素及び酸素源を含むプラズマ並びにそれらの組み合わせを含む炭素源プラズマからなる群から選択されるがそれらに限定されない。少なくとも1つの化合物と炭素源とが反応して、(不定比である)炭化ケイ素膜、炭窒化ケイ素膜、酸窒化ケイ素膜、シリコンオキシカーバイド膜又はシリコンオキシカルボニトリド膜を表面特徴及び基材の少なくとも一部に形成する。 At least one of the remote plasma source and the in-situ plasma source may contain carbon. In such embodiments, the plasma source is a hydrocarbon plasma, a plasma containing hydrocarbons and helium, a plasma containing hydrocarbons and argon, a carbon dioxide plasma, a carbon monoxide plasma, a plasma containing hydrocarbons and hydrogen, a hydrocarbon and plasmas containing nitrogen sources, plasmas containing hydrocarbon and oxygen sources, and carbon source plasmas containing combinations thereof. at least one compound reacts with a carbon source to form a (non-stoichiometric) silicon carbide film, silicon carbonitride film, silicon oxynitride film, silicon oxycarbide film or silicon oxycarbonitride film on the surface features and substrate; at least part of the

異なる実施態様において、プラズマ源は、水素プラズマ、ヘリウムプラズマ、アルゴンプラズマ、キセノンプラズマ及びそれらの組み合わせから選択されるがそれらに限定されない。少なくとも1つの化合物とプラズマとが反応して、窒化ケイ素膜、炭化ケイ素膜又は炭窒化ケイ素膜を表面特徴及び基材の少なくとも一部に形成する。 In different embodiments, the plasma source is selected from, but not limited to, hydrogen plasma, helium plasma, argon plasma, xenon plasma and combinations thereof. At least one compound reacts with the plasma to form a silicon nitride film, silicon carbide film, or silicon carbonitride film on at least a portion of the surface features and the substrate.

リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つは酸素を含んでよい。このような実施態様において、酸素含有源は、水(H2O)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、NO2、一酸化炭素(CO)、二酸化炭素(CO2)、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ及びそれらの組み合わせからなる群から選択することができる。 At least one of the remote plasma source and the in-situ plasma source may contain oxygen. In such embodiments, the oxygen-containing source is water ( H2O ), oxygen ( O2 ), oxygen plasma, ozone (O3) , NO, NO2, carbon monoxide ( CO), carbon dioxide (CO 2 ), N 2 O plasma, carbon monoxide (CO) plasma, carbon dioxide (CO 2 ) plasma and combinations thereof.

さらに、ケイ素含有膜が堆積された後、基材は、窒化ケイ素膜が酸化ケイ素、酸窒化ケイ素又は炭素ドープ酸化ケイ素の膜を形成するのに十分な特定のプロセス条件の下で、上で説明される酸素含有源で処理することができる(すなわち任意選択で処理してよい)。 Further, after the silicon-containing film is deposited, the substrate is subjected to the above-described process conditions sufficient for the silicon nitride film to form a silicon oxide, silicon oxynitride, or carbon-doped silicon oxide film. can be treated (ie, optionally treated) with an oxygen-containing source that

特定の実施態様において、反応器は、大気圧より低い圧力であるか、750torr(105パスカル(Pa))以下の圧力であるか、又は100torr(13332Pa)以下の圧力である。他の実施態様において、反応器の圧力は、約0.1torr(13Pa)~約10torr(1333Pa)の範囲に保持される。 In certain embodiments, the reactor is at sub-atmospheric pressure, at a pressure of 750 torr (10 5 Pascals (Pa)) or less, or at a pressure of 100 torr (13332 Pa) or less. In other embodiments, the reactor pressure is maintained in the range of about 0.1 torr (13 Pa) to about 10 torr (1333 Pa).

流動性膜は、堆積されたとき、一般に安定ではなく、大気条件にさらした際に安定化する。幾つかの実施態様の流動性膜は、ケイ素含有前駆体及び例えば共反応体としてのNH3/O2のラジカル形態によって堆積される。次いで、これらの膜は、オゾン/UV/蒸気アニール/NH3アニールなどによって硬化されて、硬化膜を生じさせる。 Flowable films are generally not stable when deposited, but stabilize when exposed to atmospheric conditions. Flowable films of some embodiments are deposited with a radical form of a silicon-containing precursor and, for example, NH 3 /O 2 as a co-reactant. These films are then cured by ozone/UV/vapor anneal/ NH3 anneal, etc. to produce cured films.

特定の実施態様において、流動性液体又はオリゴマーは、約100℃~約1000℃の範囲の1つ又は複数の温度で処理されて、材料の少なくとも一部を高密度化する。他の実施態様において、流動性液体又はオリゴマーは、窒化ケイ素膜が酸化ケイ素、酸窒化ケイ素又は炭素ドープ酸化ケイ素の膜を形成するのに十分な特定のプロセス条件の下で、以下の酸素含有源のうち少なくとも1つにさらすことによって処理される。酸素含有源は、水(H2O)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、N2O、一酸化炭素(CO)、二酸化炭素(CO2)、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ及びそれらの組み合わせからなる群から選択することができる。 In certain embodiments, the flowable liquid or oligomer is treated at one or more temperatures ranging from about 100° C. to about 1000° C. to densify at least a portion of the material. In another embodiment, the flowable liquid or oligomer is an oxygen-containing source of: are treated by exposure to at least one of Oxygen-containing sources include water ( H2O ), oxygen ( O2 ), oxygen plasma, ozone (O3) , NO, N2O, carbon monoxide ( CO), carbon dioxide ( CO2 ), N2O. It can be selected from the group consisting of plasma, carbon monoxide (CO) plasma, carbon dioxide ( CO2 ) plasma and combinations thereof.

幾つかの実施態様において、後熱処理材料は、プラズマ、赤外光、化学処理、電子ビーム又は紫外光を受けて、高密度な膜を形成する。本発明の1つの実施態様において、紫外光露光を含む後処理は、ガス状副生成物を生じる条件の下で行われる。 In some embodiments, the post heat treated material is subjected to plasma, infrared light, chemical treatment, electron beam or ultraviolet light to form a dense film. In one embodiment of the invention, post-processing, including exposure to ultraviolet light, is performed under conditions that produce gaseous by-products.

上の工程は、本明細書において説明される方法のための1つのサイクルを画定し;サイクルは、ケイ素含有膜の所望の厚さが得られるまで繰り返すことができる。 The above steps define one cycle for the methods described herein; the cycle can be repeated until the desired thickness of the silicon-containing film is obtained.

本開発は、所望のシリコン:炭素の比(Si:C)を有する膜を提供する前駆体選択の使用を示す。テトラビニルシラン(TVS)を使用する膜の堆積は、約1:4のSi:C比を提供する。前駆体は1:8のSi:Cを有する。環構造中に含有される多くのSi原子を有する前駆体の選択は、例えば1,1,3,3-テトラビニル-1,3-ジシラシクロブタンに見られるように、より高いSi:Cを有する膜を生じさせることができる。前記化学構造は、1:3のSi:Cを提供すると予想される。前駆体、例えばアリル置換ジシラシクロブタンの使用は、堆積又は膜硬化の間の、脱離基としてのアリル基の改善されたポテンシャルに基づいて、潜在的には、低いSi:C、時には1:1に達するSi:Cを提供することができる。 This development demonstrates the use of precursor selection to provide films with the desired silicon:carbon ratio (Si:C). Film deposition using tetravinylsilane (TVS) provides a Si:C ratio of about 1:4. The precursor has 1:8 Si:C. Selection of precursors with many Si atoms contained in the ring structure yields higher Si:C, such as found in 1,1,3,3-tetravinyl-1,3-disilacyclobutane. A membrane with The chemical structure is expected to provide 1:3 Si:C. The use of precursors such as allyl-substituted disilacyclobutanes can potentially lead to low Si:C, sometimes 1:1, based on the improved potential of allyl groups as leaving groups during deposition or film curing. Si:C up to 1 can be provided.

流動性膜150は、任意の適した温度で形成することができる。幾つかの実施態様において、流動性膜150は、約-20℃~約100℃の範囲の温度で形成される。温度は、形成される装置の温度バジェットを維持するように、低く保つことができる。幾つかの実施態様において、流動性膜の形成は、約300℃、250℃、200℃、150℃、100℃、75℃、50℃、25℃又は0℃より低い温度で起こる。 Flowable membrane 150 can be formed at any suitable temperature. In some embodiments, flowable film 150 is formed at temperatures ranging from about -20°C to about 100°C. The temperature can be kept low so as to maintain the temperature budget of the device being formed. In some embodiments, flowable film formation occurs at temperatures below about 300°C, 250°C, 200°C, 150°C, 100°C, 75°C, 50°C, 25°C, or 0°C.

高い炭素含有量を有する膜は、多くの用途、例えばハードマスクのパターニングにおける用途及び流動性low K膜のための用途を有することができる。幾つかの実施態様において、本明細書において開示される前駆体を使用する流動性膜は、高い炭素含有量を有する膜を堆積することができる。幾つかの実施態様において、膜は最大で約85at%の炭素含有量を有する。1つ又は複数の実施態様において、流動性膜は、約40、45、50、55、60、65、70、75又は80at%より高く、かつ約95、90又は85at%より低い炭素含有量を有する。幾つかの実施態様において、流動性膜は、約40~約85at%の範囲の、約50~約85at%の範囲の又は約60~約80at%の範囲の炭素含有量を有する。 Films with high carbon content can have many applications, such as in hard mask patterning and for flowable low K films. In some embodiments, flowable films using the precursors disclosed herein can deposit films with high carbon content. In some embodiments, the film has a carbon content of up to about 85 at%. In one or more embodiments, the flowable film has a carbon content of greater than about 40, 45, 50, 55, 60, 65, 70, 75 or 80 at% and less than about 95, 90 or 85 at%. have. In some embodiments, the flowable film has a carbon content in the range of about 40 to about 85 at%, in the range of about 50 to about 85 at%, or in the range of about 60 to about 80 at%.

流動性膜の組成は、反応性ガスの組成を変えることによって調節することができる。幾つかの実施態様において、流動性膜は、SiC、SiCO、SiCN、SiCON、SiO及びSiNのうち1つ又は複数を含む。酸素含有膜を形成するために、共反応体は、例えば酸素、オゾン又は水のうち1つ又は複数を含んでよい。窒素含有膜を形成するために、共反応体は、例えばアンモニア、ヒドラジン、NO2若しくはN2のうち1つ又は複数を含んでよい。炭素含有膜を形成するために、反応性ガスは、例えばプロピレン及びアセチレンのうち1つ又は複数を含んでよい。当分野における当業者は、他の種の組み合わせが反応性ガス混合物に含まれて、流動性膜の組成を変えることができることを理解する。 The composition of the flowable membrane can be adjusted by changing the composition of the reactive gas. In some implementations, the flowable film comprises one or more of SiC, SiCO, SiCN, SiCON, SiO and SiN. To form an oxygen-containing film, the co-reactant may include one or more of oxygen, ozone, or water, for example. To form a nitrogen-containing film, the co-reactant may include, for example, one or more of ammonia, hydrazine, NO2 or N2 . To form a carbon-containing film, the reactive gas may include one or more of propylene and acetylene, for example. Those skilled in the art will appreciate that other species combinations can be included in the reactive gas mixture to alter the composition of the flowable film.

流動性膜はウエハ上に堆積することができ(ウエハの温度は-10℃~200℃であってよい)、それらの流動性のために、ポリマーはトレンチを通って流動し、ギャップ充填をする。次いで、これらの膜は硬化工程、例えばオゾン/UV/蒸気アニール/NH3アニールを受けて、安定な膜を得る。幾つかの実施態様において、方法は、SiC、SiCO、SiCN、SiCON、SiO及び/又はSiN流動性膜のうち1つ又は複数を提供する。従って、流動性膜150の形成の後、膜は硬化され、流動性膜を固化して実質的にシームの無いギャップ充填を形成することができる。1つ又は複数の実施態様において、流動性膜の硬化は、オゾン、紫外光、蒸気アニール、アンモニアアニール及び酸素プラズマのうち1つ又は複数に流動性膜をさらすことを含む。幾つかの実施態様において、流動性膜は、UV硬化プロセスに膜をさらすことによって硬化される。UV硬化プロセスは、約10℃~約550℃の範囲の温度で行うことができる。UV硬化プロセスは、流動性膜を十分に固化するのに必要な任意の適した時間フレームの間行うことができる。UV硬化は、様々なパラメーター、例えば電力、温度、環境などのパラメーターで行うことができる。幾つかの実施態様において、UV硬化は、アセチレン/エチレン環境において起こる。 Flowable films can be deposited on the wafer (wafer temperature can be from -10°C to 200°C), and due to their fluidity, the polymers flow through trenches and gap fills. . These films then undergo a curing step, eg ozone/UV/vapor anneal/ NH3 anneal to obtain stable films. In some embodiments, the method provides one or more of SiC, SiCO, SiCN, SiCON, SiO and/or SiN flowable films. Thus, after formation of the fluent film 150, the film can be cured to solidify the fluent film and form a substantially seamless gapfill. In one or more embodiments, curing the fluent film includes exposing the fluent film to one or more of ozone, ultraviolet light, steam anneal, ammonia anneal, and oxygen plasma. In some embodiments, the flowable film is cured by exposing the film to a UV curing process. The UV curing process can be performed at temperatures ranging from about 10°C to about 550°C. The UV curing process can be performed for any suitable time frame required to sufficiently solidify the flowable film. UV curing can be performed under various parameters such as power, temperature, environment, and the like. In some embodiments, UV curing occurs in an acetylene/ethylene environment.

1つの態様において、流動性化学気相堆積プロセスにおいてケイ素含有膜を堆積させるための方法が提供され、方法は、表面特徴を備える基材を、-20℃~約100℃の範囲の1つ又は複数の温度の反応器中に配置する工程;式RnSiR1 4-nを有し、式中、Rが直鎖又は分岐鎖のC2~C6アルケニル基、直鎖又は分岐鎖のC2~C6アルキニル基から選択され、R1が水素及び分岐鎖のC1~C10アルキル基から選択され、nが1、2、3及び4から選択される数である少なくとも1つの化合物を含む組成物を反応器中に導入する工程;並びにプラズマ源を反応器中に提供し、少なくとも1つの化合物を少なくとも部分的に反応させて流動性液体オリゴマーを形成する工程であって、流動性液体オリゴマーは表面特徴の少なくとも一部を少なくとも部分的に充填し、プラズマ源は、組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源の両方を含む工程、を含む。 In one aspect, a method is provided for depositing a silicon-containing film in a flowable chemical vapor deposition process, the method comprising exposing a substrate comprising surface features to one or more placing in a reactor at multiple temperatures ; at least one compound selected from 2 to C 6 alkynyl groups, wherein R 1 is selected from hydrogen and branched C 1 to C 10 alkyl groups, and n is a number selected from 1, 2, 3 and 4; and providing a plasma source into the reactor to at least partially react at least one compound to form a flowable liquid oligomer, the flowable liquid comprising: the oligomer at least partially fills at least some of the surface features, and the plasma source includes both a remote plasma source and an in-situ plasma source working in combination.

以下の実施例は、本発明の特定の実施態様を例示するために提供され、添付の特許請求の範囲を限定するものではない。 The following examples are provided to illustrate certain embodiments of the invention and are not intended to limit the scope of the appended claims.

流動性化学気相堆積(FCVD)膜を、中位の抵抗(8~12Ωcm)の単結晶シリコンウエハ基材及びSiのパターン付きウエハの上に堆積した。特定の実施例において、結果として生じるケイ素含有膜又はコーティングは、前堆積処理、例えば、以下に限定するものではないが、プラズマ処理、熱処理、化学処理、紫外光露光、赤外露光、電子ビーム露光及び/又は膜の1つ又は複数の特性に影響を与える他の処理を受けさせることができる。 Flowable chemical vapor deposition (FCVD) films were deposited on medium resistivity (8-12 Ωcm) single crystal silicon wafer substrates and Si patterned wafers. In certain embodiments, the resulting silicon-containing film or coating is subjected to pre-deposition treatments such as, but not limited to, plasma treatment, thermal treatment, chemical treatment, ultraviolet light exposure, infrared exposure, electron beam exposure. and/or subjected to other treatments that affect one or more properties of the membrane.

Applied Materials Precision 5000システムにおける改造したFCVDチャンバーでの堆積は、シラン又はTEOSのいずれかのプロセスキットを使用して行うことができる。チャンバーは直接的な液体注入(DLI)輸送能力を有する。前駆体は、前駆体の沸点に依存する輸送温度を有する液体である。初期の流動性窒化膜を堆積するために、典型的な液体前駆体流速は100~5000mg/minであり、インサイチュプラズマの電力密度は0.25~3.5W/cm2であり、圧力は0.75~12Torrであった。堆積ままの流動性膜を高密度化するために、膜を、100~1000℃、好ましくは300~400℃で、改造したPECVDチャンバーを使用して、真空中で、熱アニールするか、及び/又はUV硬化した。厚さ及び632nmにおける屈折率(RI)を、SCI反射計又はWoollamエリプソメータによって測定した。典型的な膜厚は10~2000nmの範囲であった。ケイ素ベースの膜の結合特性及び水素含有量(Si-H、C-H及びN-H)を、Nicolet透過フーリエ変換赤外分光(FTIR)ツールによって測定及び解析した。全ての密度測定を、X線反射率法(XRR)を使用して行った。X線光電子分光(XPS)分析及び二次イオン質量分析(SIMS)を行って、膜の元素組成を決定した。パターニングしたウエハにおける流動性及びギャップ充填効果を、Hitachi S-4700システムを2.0nmの分解能で使用して、断面の走査型電子顕微鏡(SEM)観察によって観察した。 Deposition in a modified FCVD chamber in an Applied Materials Precision 5000 system can be performed using either silane or TEOS process kits. The chamber has direct liquid injection (DLI) transport capability. A precursor is a liquid with a transport temperature that depends on the boiling point of the precursor. For initial flowable nitride film deposition, typical liquid precursor flow rate is 100-5000 mg/min, power density of in-situ plasma is 0.25-3.5 W/cm 2 and pressure is 0. 0.75 to 12 Torr. To densify the as-deposited flowable film, the film is thermally annealed at 100-1000° C., preferably 300-400° C., in vacuum using a modified PECVD chamber, and/or Or UV cured. The thickness and refractive index (RI) at 632 nm were measured by SCI reflectometer or Woollam ellipsometer. Typical film thicknesses ranged from 10 to 2000 nm. Bonding properties and hydrogen content (Si—H, CH and NH) of silicon-based films were measured and analyzed by a Nicolet Transmission Fourier Transform Infrared Spectroscopy (FTIR) tool. All density measurements were made using X-ray reflectometry (XRR). X-ray photoelectron spectroscopy (XPS) analysis and secondary ion mass spectroscopy (SIMS) were performed to determine the elemental composition of the films. Flow and gap-fill effects in patterned wafers were observed by cross-sectional scanning electron microscopy (SEM) observation using a Hitachi S-4700 system with a resolution of 2.0 nm.

流動性CVD堆積を、以下の条件に従って行った。 Fluid CVD deposition was performed according to the following conditions.

実施例1:堆積条件-3000W リモートプラズマ源(RPS);200W インサイチュ;2torr;1500sccm NH3;1500mg/min テトラビニルシラン(TVS)。図1を参照すると、膜は硬化後のボイドを示している。 Example 1: Deposition conditions - 3000 W remote plasma source (RPS); 200 W in situ; 2 torr; 1500 sccm NH3 ; 1500 mg/min tetravinylsilane (TVS). Referring to FIG. 1, the film shows voids after curing.

実施例2:堆積条件-3000W RPS;200W インサイチュ;2torr;1000sccm NH3;1500mg/min TVS。図2を参照すると、より少ないNH3流は膜のボイドを減少させている。 Example 2: Deposition conditions - 3000 W RPS; 200 W in situ; 2 torr; 1000 sccm NH3 ; 1500 mg/min TVS. Referring to FIG. 2, less NH 3 flow reduces film voids.

実施例3:堆積条件-3000W RPS;0W インサイチュ;2torr;1000sccm NH3;1500mg/min TVS。図3を参照すると、インサイチュプラズマを止めることは特徴の頂部におけるピンチオフを引き起こすことがわかる。 Example 3: Deposition conditions - 3000 W RPS; 0 W in situ; 2 torr; 1000 sccm NH3 ; 1500 mg/min TVS. Referring to FIG. 3, it can be seen that turning off the in-situ plasma causes pinch-off at the top of the feature.

実施例4:堆積条件-TVSのみ;3000W RPS;200W インサイチュ;2torr;1000sccm NH3;1500mg/min TVS;TVS+トリシリルアミン(TSA);3000W RPS;200W インサイチュ;2torr;1000sccm NH3;1500mg/min TVS+900sccm TSA。表1を参照すると、TSAをチャンバーに加えることは、膜中へのNの取り込みを増加させないことがわかる。そのことは環境の酸化について膜を活性化する。 2 torr; 1000 sccm NH3 ; 1500 mg/min TVS; TVS + trisilylamine (TSA); 3000 W RPS; 200 W in situ; TVS + 900 sccm TSA. Referring to Table 1, it can be seen that adding TSA to the chamber does not increase the incorporation of N into the membrane. That activates the membrane with respect to environmental oxidation.

実施例4における追記事項:化学組成をX線光電子分光法(XPS)によって決定した。幾らかの表面酸化がTVS膜において観察された。膜のバルクはわずかな酸化を有していた。表面及びバルクの酸化は、TVS+TSA膜において非常に度合いが大きかった。

Figure 2022161979000003
Additional note for Example 4: Chemical composition was determined by X-ray photoelectron spectroscopy (XPS). Some surface oxidation was observed in the TVS film. The bulk of the film had slight oxidation. Surface and bulk oxidation were very severe in the TVS+TSA film.
Figure 2022161979000003

実施例5:堆積条件-TVSのみ;0W RPS;200W インサイチュ;8torr;400sccm NH3;2000mg/min TVS;200sccm He;5secの堆積;図4を参照すると、インサイチュプラズマの活性化のみを用いてTVSを使用するとき、堆積した膜は流動性を示したが、硬化後にはそれらは充填された特徴において視認可能な多孔性を示した。図2と比較して、これらの膜は、特徴中で有意に低い密度であると考えられる。 8 torr; 400 sccm NH3 ; 2000 mg/min TVS; 200 sccm He; Although the deposited films exhibited flowability when using , after curing they exhibited visible porosity in the filled features. Compared to FIG. 2, these films appear to be significantly less dense in features.

本発明の特定の原理が、態様又は実施態様とあわせて上で説明されたが、この説明は単に例としてされていて、本発明の範囲を限定するものではないと明確に理解される。 While certain principles of the invention have been described above in conjunction with aspects or embodiments, it is clearly understood that this description is by way of example only and is not intended to limit the scope of the invention.

Claims (11)

流動性化学気相堆積プロセスにおいてケイ素含有膜を堆積するための方法であって、
表面特徴を備える基材を、-20℃~約100℃の範囲の1つ又は複数の温度の反応器中に配置する工程;
式RnSiR1 4-nを有し、式中、Rが直鎖又は分岐鎖のC2~C6アルケニル基、直鎖又は分岐鎖のC2~C6アルキニル基から選択され、R1が水素、メタン及び直鎖又は分岐鎖のC2~C10アルキル基から選択され、nが1、2、3及び4から選択される数である少なくとも1つの化合物を含む組成物を反応器中に導入する工程;
プラズマ源を反応器中に提供し、少なくとも1つの化合物を少なくとも部分的に反応させて流動性液体オリゴマーを形成する工程であって、流動性液体オリゴマーが表面特徴の少なくとも一部を少なくとも部分的に充填し、プラズマ源が、組み合わされて働くリモートプラズマ源及びインサイチュプラズマ源の両方を含む工程
を含む、方法。
A method for depositing a silicon-containing film in a flowable chemical vapor deposition process comprising:
placing a substrate with surface features in a reactor at one or more temperatures ranging from -20°C to about 100°C;
having the formula R n SiR 1 4-n , wherein R is selected from linear or branched C 2 -C 6 alkenyl groups, linear or branched C 2 -C 6 alkynyl groups, and R 1 is selected from hydrogen, methane and linear or branched C 2 -C 10 alkyl groups and n is a number selected from 1, 2, 3 and 4 in a reactor. introducing into;
providing a plasma source in the reactor and at least partially reacting the at least one compound to form a flowable liquid oligomer, the flowable liquid oligomer at least partially covering at least a portion of the surface features; A method comprising filling and wherein the plasma source includes both a remote plasma source and an in-situ plasma source working in combination.
リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つが、窒素プラズマ、窒素及び水素を含むプラズマ、窒素及びヘリウムを含むプラズマ、窒素及びアルゴンを含むプラズマ、アンモニアプラズマ、アンモニア及びヘリウムを含むプラズマ、アンモニア及びアルゴンを含むプラズマ、アンモニア及び窒素を含むプラズマ、NF3プラズマ、有機アミンプラズマ並びにそれらの組み合わせからなる群から選択されるプラズマ源を含む、請求項1に記載の方法。 At least one of the remote plasma source and the in-situ plasma source is a nitrogen plasma, a plasma containing nitrogen and hydrogen, a plasma containing nitrogen and helium, a plasma containing nitrogen and argon, an ammonia plasma, a plasma containing ammonia and helium, ammonia and argon. 2. The method of claim 1, comprising a plasma source selected from the group consisting of a plasma containing A, a plasma containing ammonia and nitrogen, a NF3 plasma , an organic amine plasma, and combinations thereof. リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つが、炭化水素プラズマ、炭化水素及びヘリウムを含むプラズマ、炭化水素及びアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素及び水素を含むプラズマ、炭化水素及び窒素源を含むプラズマ、炭化水素及び酸素源を含むプラズマ並びにそれらの組み合わせからなる群から選択される炭素源プラズマを含む、請求項1に記載の方法。 at least one of the remote plasma source and the in-situ plasma source is a hydrocarbon plasma, a plasma containing hydrocarbons and helium, a plasma containing hydrocarbons and argon, a carbon dioxide plasma, a carbon monoxide plasma, a plasma containing hydrocarbons and hydrogen; 2. The method of claim 1, comprising a carbon source plasma selected from the group consisting of a plasma containing a hydrocarbon and nitrogen source, a plasma containing a hydrocarbon and an oxygen source, and combinations thereof. リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つが、水素プラズマ、ヘリウムプラズマ、アルゴンプラズマ、キセノンプラズマ及びそれらの組み合わせからなる群から選択される、請求項1に記載の方法。 2. The method of claim 1, wherein at least one of the remote plasma source and the in-situ plasma source is selected from the group consisting of hydrogen plasma, helium plasma, argon plasma, xenon plasma and combinations thereof. リモートプラズマ源及びインサイチュプラズマ源のうち少なくとも1つが、水(H2O)プラズマ、酸素プラズマ、オゾン(O3)プラズマ、NOプラズマ、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ及びそれらの組み合わせからなる群から選択される酸素を含むプラズマ源を含む、請求項1に記載の方法。 At least one of the remote plasma source and the in-situ plasma source is water (H 2 O) plasma, oxygen plasma, ozone (O 3 ) plasma, NO plasma, N 2 O plasma, carbon monoxide (CO) plasma, carbon dioxide ( 2. The method of claim 1, comprising an oxygen-containing plasma source selected from the group consisting of CO2 ) plasmas and combinations thereof. 約100℃~約1000℃の範囲の1つ又は複数の温度で流動性液体オリゴマーを処理して、流動性液体オリゴマーを硬化させて硬化膜を形成する工程をさらに含む、請求項1に記載の方法。 2. The method of claim 1, further comprising treating the flowable liquid oligomer at one or more temperatures ranging from about 100°C to about 1000°C to cure the flowable liquid oligomer to form a cured film. Method. 反応器の圧力が100torr以下に保持される、請求項1に記載の方法。 2. The method of claim 1, wherein the reactor pressure is kept below 100 torr. ケイ素含有膜が、炭化ケイ素、窒化ケイ素、酸化ケイ素、炭素ドープ窒化ケイ素、炭素ドープ酸化ケイ素、酸窒化ケイ素及び炭素ドープ酸窒化ケイ素の膜からなる群から選択される、請求項1に記載の方法。 2. The method of claim 1, wherein the silicon-containing film is selected from the group consisting of films of silicon carbide, silicon nitride, silicon oxide, carbon-doped silicon nitride, carbon-doped silicon oxide, silicon oxynitride and carbon-doped silicon oxynitride. . 少なくとも1つの化合物が、
Figure 2022161979000004
からなる群から選択される、請求項1に記載の方法。
at least one compound is
Figure 2022161979000004
2. The method of claim 1, selected from the group consisting of:
少なくとも1つの化合物がテトラビニルシランを含む、請求項9に記載の方法。 10. The method of claim 9, wherein at least one compound comprises tetravinylsilane. ケイ素含有膜が、以下の特徴、i)紫外線硬化後における約150~約190MPaの範囲の膜引張応力と、ii)約1.35~約2.10g/cm3の範囲の密度とのうち少なくとも1つを有する、請求項1~10のいずれか1項に記載の方法。 The silicon-containing film has at least the following characteristics: i) a film tensile stress in the range of about 150 to about 190 MPa after UV curing; and ii) a density in the range of about 1.35 to about 2.10 g/cm 3 . A method according to any one of claims 1 to 10, comprising one.
JP2022128939A 2018-06-11 2022-08-12 Compositions and methods using the same for deposition of silicon-containing film Pending JP2022161979A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862683142P 2018-06-11 2018-06-11
US62/683,142 2018-06-11
US16/433,585 US20190376178A1 (en) 2018-06-11 2019-06-06 Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US16/433,585 2019-06-06
JP2020568739A JP7125515B2 (en) 2018-06-11 2019-06-11 Compositions and methods of using the compositions for the deposition of silicon-containing films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020568739A Division JP7125515B2 (en) 2018-06-11 2019-06-11 Compositions and methods of using the compositions for the deposition of silicon-containing films

Publications (2)

Publication Number Publication Date
JP2022161979A true JP2022161979A (en) 2022-10-21
JP2022161979A5 JP2022161979A5 (en) 2023-01-20

Family

ID=68764690

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020568739A Active JP7125515B2 (en) 2018-06-11 2019-06-11 Compositions and methods of using the compositions for the deposition of silicon-containing films
JP2022128939A Pending JP2022161979A (en) 2018-06-11 2022-08-12 Compositions and methods using the same for deposition of silicon-containing film

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020568739A Active JP7125515B2 (en) 2018-06-11 2019-06-11 Compositions and methods of using the compositions for the deposition of silicon-containing films

Country Status (9)

Country Link
US (1) US20190376178A1 (en)
EP (1) EP3802913A4 (en)
JP (2) JP7125515B2 (en)
KR (1) KR102549427B1 (en)
CN (1) CN112292479A (en)
IL (1) IL279250A (en)
SG (1) SG11202011890XA (en)
TW (2) TW202120729A (en)
WO (1) WO2019241183A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11713328B2 (en) * 2018-08-23 2023-08-01 Versum Materials Us, Llc Stable alkenyl or alkynyl-containing organosilicon precursor compositions
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
JP7138130B2 (en) * 2020-03-04 2022-09-15 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program
JP2023543862A (en) * 2020-09-30 2023-10-18 ゲレスト・インコーポレイテッド Silicon carbide thin film and its deposition method

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
JP2007184611A (en) 2001-01-22 2007-07-19 Tokyo Electron Ltd Plasma processing device and plasma processing method
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
KR20160106751A (en) * 2014-01-13 2016-09-12 어플라이드 머티어리얼스, 인코포레이티드 Carbon dioxide and carbon monoxide mediated curing of low k films to increase hardness and modulus
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
KR20210028742A (en) * 2015-12-21 2021-03-12 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing film
KR102255727B1 (en) * 2016-02-26 2021-05-26 버슘머트리얼즈 유에스, 엘엘씨 Composition for deposition of silicon-containing film, and method using same
WO2018017684A1 (en) * 2016-07-19 2018-01-25 Applied Materials, Inc. Deposition of flowable silicon-containing films
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US20180148833A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Methods for depositing flowable silicon containing films using hot wire chemical vapor deposition

Also Published As

Publication number Publication date
IL279250A (en) 2021-01-31
EP3802913A1 (en) 2021-04-14
CN112292479A (en) 2021-01-29
SG11202011890XA (en) 2020-12-30
WO2019241183A1 (en) 2019-12-19
TW202120729A (en) 2021-06-01
EP3802913A4 (en) 2022-02-16
KR102549427B1 (en) 2023-06-28
TW202000677A (en) 2020-01-01
JP2021527331A (en) 2021-10-11
JP7125515B2 (en) 2022-08-24
TWI720506B (en) 2021-03-01
KR20210006029A (en) 2021-01-15
US20190376178A1 (en) 2019-12-12

Similar Documents

Publication Publication Date Title
TWI715732B (en) Compositions and methods using same for deposition of silicon-containing film
JP6849792B2 (en) Precursor and fluid CVD method for making low potassium film to fill surface features
JP7139475B2 (en) Compositions and methods using same for deposition of silicon-containing films
CN107429391B (en) Compositions and methods for depositing silicon-containing films using the same
JP7125515B2 (en) Compositions and methods of using the compositions for the deposition of silicon-containing films
JP2019534570A (en) Precursor and flowable CVD methods for making low-k films filling surface features
TWI744957B (en) Compositions and methods using same for deposition of silicon-containing film

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220909

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220909

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230905

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231213

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240305

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240404