WO2019190795A1 - Couche intermédiaire pour couche d'interconnexion métallique - Google Patents

Couche intermédiaire pour couche d'interconnexion métallique Download PDF

Info

Publication number
WO2019190795A1
WO2019190795A1 PCT/US2019/022696 US2019022696W WO2019190795A1 WO 2019190795 A1 WO2019190795 A1 WO 2019190795A1 US 2019022696 W US2019022696 W US 2019022696W WO 2019190795 A1 WO2019190795 A1 WO 2019190795A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
metal
processing chamber
intermediate layer
substrate
Prior art date
Application number
PCT/US2019/022696
Other languages
English (en)
Inventor
Jengyi Yu
Samantha SiamHwa Tan
Boris VOLOSSKIY
Artur Kolics
Yang Pan
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to KR1020207030669A priority Critical patent/KR20200126011A/ko
Priority to CN201980022122.1A priority patent/CN111902912A/zh
Publication of WO2019190795A1 publication Critical patent/WO2019190795A1/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides

Definitions

  • the present disclosure relates to deposition of an adhesion layer for a metal interconnect layer in a substrate processing system.
  • Substrate processing systems for performing deposition typically include a processing chamber with a substrate support such as a pedestal, an electrostatic chuck (ESC), etc.
  • the substrate is arranged on the substrate support and one or more process gases (e.g., precursor gases, carrier gases, etc.) may be introduced into the processing chamber using a gas delivery system.
  • process gases e.g., precursor gases, carrier gases, etc.
  • processes use deposition processes including, but not limited to, chemical vapor deposition (CVD), and/or atomic layer deposition (ALD) to deposit a thin film on a substrate.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • PECVD plasma enhanced CVD
  • plasma may be used to activate chemical reactions.
  • a method for depositing a metal interconnect layer on a substrate includes arranging a substrate on a substrate support in a processing chamber and depositing an intermediate layer configured to function as at least one of an adhesion layer, a diffusion barrier, and a seed layer on the substrate.
  • Depositing the intermediate layer includes supplying a metal-organic precursor including a first material having a metal- silicide (M-Si) bond.
  • the method further includes depositing the metal interconnect layer on the intermediate.
  • the metal interconnect layer includes a same metal as the M- Si bond of the intermediate.
  • the substrate includes an underlying layer corresponding to at least one of a silicon dioxide (Si02) layer, a low-k dielectric layer, an ultra-low-k (ULK) dielectric layer, a thermal oxide (TOx) layer, a silicon nitride (SiN) layer, a silicon layer, and a metal oxide (e.g., MOx) dielectric layer and the adhesion intermediate is deposited on the underlying layer.
  • depositing the intermediate layer further includes supplying one or more of silane, ammonia, hydrogen, chlorine, bromine, fluorine, argon, and helium gases with the metal-organic precursor.
  • Depositing the intermediate layer further includes activating plasma in the processing chamber while the metal-organic precursor is being supplied to the processing chamber.
  • Depositing the intermediate layer includes alternately supplying the metal-organic precursor and activating plasma in the processing chamber.
  • the metal-organic precursor is supplied while the processing chamber is at least one maintained at and heated to more than or equal to 300 °C.
  • Depositing the intermediate layer includes alternately supplying the metal-organic precursor and activating photo irradiation within the processing chamber.
  • the intermediate layer has a thickness between 0.3 and 300 nm.
  • the metal M includes at least one of cobalt (Co), nickel (Ni), iron (Fe), copper (Cu), tungsten (W), tantalum (Ta), titanium (Ti), molybdenum (Mo), ruthenium (Ru), aluminum (Al), chromium (Cr), magnesium (Mg), and iridium (Ir).
  • the metal-organic precursor includes tetracarbonyl(trichlorosilyl)cobalt.
  • the intermediate layer is a MxSiy film, and wherein x and y are integers.
  • the MxSiy film is a Co x Si y film.
  • Depositing the metal interconnect layer includes depositing the metal interconnect layer using at least one of chemical vapor deposition, atomic layer deposition, and electroless deposition.
  • a system for depositing a metal interconnect layer on a substrate arranged on a substrate support in a processing chamber includes a gas delivery system configured to supply one or more process gases to a processing chamber and a controller configured to control the gas delivery system to deposit an intermediate layer configured to function as at least one of an adhesion layer, a diffusion barrier, and a seed layer on the substrate by supplying a metal-organic precursor including a first material having a metal-silicide (M-Si) bond into the processing chamber and deposit the metal interconnect layer on the intermediate layer.
  • M-Si metal-silicide
  • the controller is configured to control the gas delivery system to deposit the metal interconnect layer including a same metal as the M-Si bond of the intermediate layer.
  • the substrate includes an underlying layer corresponding to at least one of a silicon dioxide (S1O2) layer, a low-k dielectric layer, an ultra-low-k (ULK) dielectric layer, a thermal oxide (TOx) layer, a silicon nitride (SiN) layer, a silicon layer, and a metal oxide (e.g., MOx) dielectric layer and the controller is configured to control the gas delivery system to deposit the intermediate layer on the underlying layer.
  • S1O2 silicon dioxide
  • ULK ultra-low-k
  • TOx thermal oxide
  • SiN silicon nitride
  • MOx metal oxide
  • the controller is configured to control the gas delivery system to deposit the intermediate layer further by supplying one or more of silane, ammonia, hydrogen, chlorine, bromine, fluorine, argon, and helium gases with the metal-organic precursor.
  • the controller is configured to activate plasma in the processing chamber while the metal-organic precursor is being supplied to the processing chamber to deposit the intermediate layer.
  • the controller is configured to alternately control the gas delivery system to supply the metal-organic precursor and activate plasma in the processing chamber to deposit the intermediate layer.
  • the controller is configured to control the gas delivery system to supply the metal-organic precursor while the processing chamber is at least one maintained at and heated to more than or equal to 300 °C.
  • the controller is configured to alternately control the gas delivery system to supply the metal-organic precursor and activate photo irradiation within the processing chamber to deposit the intermediate layer.
  • the intermediate layer has a thickness between 0.3 and 300 nm.
  • the metal M includes at least one of cobalt (Co), nickel (Ni), iron (Fe), copper (Cu), tungsten (W), tantalum (Ta), titanium (Ti), molybdenum (Mo), ruthenium (Ru), aluminum (Al), chromium (Cr), magnesium (Mg), and iridium (Ir).
  • the metal-organic precursor includes tetracarbonyl(trichlorosilyl)cobalt.
  • the intermediate layer is a M x Si y film, and wherein x and y are integers.
  • the MxSiy film is a Co x Si y film.
  • the controller is configured to control the gas delivery system to deposit the metal interconnect layer using at least one of chemical vapor deposition, atomic layer deposition, and electroless deposition to deposit the metal interconnect layer.
  • FIG. 1 is a functional block diagram of an example of a substrate processing system according to the present disclosure
  • FIG. 2 is a functional block diagram of another example substrate processing system according to the present disclosure.
  • FIG. 3 is an example adhesion layer deposition process according to the present disclosure
  • FIG. 4 is an example method for depositing an adhesion layer and metal interconnect layer according to the present disclosure
  • FIG. 5 is another example method for depositing an adhesion layer and metal interconnect layer according to the present disclosure
  • FIG. 6 is another example method for depositing an adhesion layer and metal interconnect layer according to the present disclosure.
  • FIG. 7 is another example method for depositing an adhesion layer and metal interconnect layer according to the present disclosure.
  • Deposition processes including, but not limited to, atomic layer deposition (ALD), chemical vapor deposition (CVD), and plasma enhanced CVD (PECVD) processes may be used to deposit a film on an underlying layer or substrate.
  • various deposition processes may be used to deposit a thin film such as a metal thin film (e.g., a metal interconnect layer).
  • metals such as cobalt (Co)
  • cobalt may have properties that are more desirable for a metal interconnect layer.
  • cobalt has desirable properties such as a lower resistivity, scaling factor, and melting temperature as compared to other metals that may be used for the metal interconnect layer.
  • adhesion of the metal interconnect layer to the underlying layer may be unsatisfactory.
  • adhesion between a cobalt layer and an underlying layer such as a silicon dioxide (S1O2) layer or an ultra-low-k (ULK) dielectric layer may be unsatisfactory, causing the cobalt layer to delaminate (i.e. , separate) from the underlying layer.
  • an underlying layer such as a silicon dioxide (S1O2) layer or an ultra-low-k (ULK) dielectric layer
  • some processes may deposit an intermediate adhesion layer on the underlying layer to facilitate adhesion of the metal thin film.
  • the adhesion layer is deposited on the underlying layer and the cobalt layer is deposited on the adhesion layer.
  • the adhesion layer must have high adhesion to the underlying layer while also being very thin to limit electrical resistance and minimize an overall thickness of the device.
  • Some example adhesion layers include, but are not limited to, tantalum nitride (TaN), titanium nitride (TiN), tungsten carbon nitride (WCN), etc.
  • TaN tantalum nitride
  • TiN titanium nitride
  • WCN tungsten carbon nitride
  • an intermediate layer e.g., an adhesion layer
  • a material having the metal to be deposited in the metal interconnect layer e.g., cobalt
  • deposition of the adhesion layer includes supplying a metal- organic precursor that includes a metal silicide (MSi) bond (e.g., tetracarbonyl(trichlorosilyl)cobalt) to deposit a thin MxSiy film.
  • the adhesion layer includes molecules already containing a MSi bond.
  • the MxSiy film functions as an adhesion layer and/or a seed layer for a metal layer.
  • the metal silicide bond may include a cobalt silicide (CoSi) bond
  • the thin film includes a Co x Si y film
  • the metal layer includes a cobalt layer.
  • tetracarbonyl(trichlorosilyl)cobalt is supplied in alternating deposition steps (e.g., alternating periods) with plasma steps (e.g., either hydrogen (H2) or silane (SiH 4 ) plasma) to deposit a Co x Si y thin film on the underlying layer.
  • plasma steps e.g., either hydrogen (H2) or silane (SiH 4 ) plasma
  • plasma may be activated between the alternating steps to remove ligands from the Co x Si y mixture.
  • the deposited Co x Si y thin film may have a thickness of 0.3- 300 nm. In some examples, the Co x Si y thin film may have a thickness of less than 10 nm (e.g., 0.3-5 nm).
  • the metal layer (for example, a cobalt layer) is then deposited on the M x Si y thin film using, for example, CVD, ALD, electroless deposition (ELD), etc.
  • the MxSiy functions as an adhesion layer and/or a seed layer to facilitate deposition of the cobalt layer.
  • depositing an adhesion layer including molecules already containing a M-Si bond provides a very thin (e.g., several monolayers) film with improved adhesion.
  • the very thin adhesion layer minimizes resistivity and the overall thickness of the device.
  • the deposited MxSiy thin film may further function as a diffusion barrier.
  • the MxSiy thin film is deposited without using plasma.
  • tetracarbonyl(trichlorosilyl)cobalt may supplied while the processing chamber is maintained at a high temperature (e.g., greater than 300 °C or, in some examples, greater than 600 °C) to thermally remove the ligands from the MxSiy (e.g., Co x Si y ) mixture.
  • FIG. 1 an example of a substrate processing system 100 configured to perform the adhesion layer deposition process according to the principles of the present disclosure is shown. While the present disclosure describes performing the deposition of the adhesion layer and metal (e.g., cobalt) layer in a same processing chamber, two or more separate processing chambers may be used. Further, as described herein for simplicity as an adhesion layer, the deposition process of the present disclosure may correspond to the deposition of an intermediate layer that may be configured to function as one or more of an adhesion layer, a diffusion barrier, and a seed layer.
  • metal e.g., cobalt
  • the substrate processing system 100 includes a processing chamber 104 that encloses other components of the substrate processing system 100 and contains the RF plasma when used.
  • the substrate processing system 100 includes an upper electrode 108 and a substrate support such as a pedestal 112 including a lower electrode 116.
  • a substrate 120 is arranged on the pedestal 112 between the upper electrode 108 and the lower electrode 116.
  • the substrate 120 may include an AIN layer as described below in more detail.
  • the upper electrode 108 may include a showerhead 124 that introduces and distributes process gases.
  • the upper electrode 108 may include a conducting plate and the process gases may be introduced in another manner.
  • the lower electrode 116 may be arranged in a non-conductive pedestal.
  • the pedestal 112 may include an electrostatic chuck that includes a conductive plate that acts as the lower electrode 116.
  • An RF generating system 128 generates and outputs an RF voltage to one of the upper electrode 108 and the lower electrode 116 when plasma is used.
  • the other one of the upper electrode 108 and the lower electrode 116 may be DC grounded, AC grounded or floating.
  • the RF generating system 128 may include an RF voltage generator 132 that generates the RF voltage that is fed by a matching and distribution network 136 to the upper electrode 108 or the lower electrode 116.
  • An example gas delivery system 140 includes one or more gas sources 144-1 , 144-2, ... , and 144-N (collectively gas sources 144), where N is an integer greater than zero.
  • the gas sources 144 supply one or more gases and/or fluids (e.g., precursors, inert gases, etc.) and mixtures thereof. Vaporized precursor may also be used.
  • At least one of the gas sources 144 may contain a precursor gas or fluid used in the adhesion layer deposition process of the present disclosure (e.g., a metal-organic precursor including CoSi bonds, such as tetracarbonyl(trichlorosilyl)cobalt).
  • the metal-organic percursor may correspond to a solid precursor dissolved in solvent, a gas, etc.
  • Another of the gas sources 144 may include a carrier gas, including, but not limited to, hydrogen, chlorine, bromine, fluorine, argon, helium, etc.
  • the gas sources 144 are connected by valves 148-1 , 148-2, ... , and 148-N (collectively valves 148) and mass flow controllers 152-1 , 152-2, ... , and 152-N (collectively mass flow controllers 152) to a manifold 156.
  • An output of the manifold 156 is fed to the processing chamber 104.
  • the output of the manifold 156 is fed to the showerhead 124.
  • a heater 160 may be connected to a heater coil (not shown) arranged in the pedestal 112 to heat the pedestal 112.
  • the heater 160 may be used to control a temperature of the pedestal 112 and the substrate 120.
  • a valve 164 and pump 168 may be used to evacuate reactants from the processing chamber 104.
  • a controller 172 may be used to control various components of the substrate processing system 100. For example only, the controller 172 may be used to control flow of process, carrier and precursor gases, striking and extinguishing plasma, removal of reactants, monitoring of chamber parameters, etc.
  • the controller 172 according to the principles of the present disclosure is further configured to implement the adhesion layer deposition process prior to depositing a metal interconnect layer (e.g., cobalt) on an underlying layer of the substrate 120 as described below in more detail.
  • a metal interconnect layer e.g., cobalt
  • a substrate support 204 e.g., a pedestal such as an ALD pedestal
  • a substrate 212 is arranged on the substrate support 204 during processing.
  • a gas delivery system 220 includes gas sources 222-1 , 222-2, ..., and 222-N (collectively gas sources 222) that are connected to valves 224-1 , 224-2, ..., and 224-N (collectively valves 224) and mass flow controllers 226-1 , 226-2, ..., and 226-N (collectively MFCs 226).
  • At least one of the gas sources 222 may contain a precursor gas or fluid used in the adhesion layer deposition process of the present disclosure.
  • Another of the gas sources 222 may include a carrier gas.
  • the MFCs 226 control flow of gases from the gas sources 222 to a manifold 228 where the gases mix.
  • An output of the manifold 228 is supplied via an optional pressure regulator 232 to a manifold 236.
  • An output of the manifold 236 is input to a multi-injector showerhead 240. While the manifolds 228 and 236 are shown, a single manifold can be used.
  • the substrate support 204 may include coolant channels 264. Cooling fluid is supplied to the coolant channels 264 from a fluid storage 268 and a pump 270. Pressure sensors 272, 274 may be arranged in the manifold 228 or the manifold 236, respectively, to measure pressure. A valve 278 and a pump 280 may be used to evacuate reactants from the processing chamber 208 and/or to control pressure within the processing chamber 208.
  • a controller 282 includes a dose controller 284 that controls dosing provided by the multi-injector showerhead 240.
  • the controller 282 also controls gas delivery from the gas delivery system 220.
  • the controller 282 controls pressure in the processing chamber and/or evacuation of reactants using the valve 278 and the pump 280.
  • the controller 282 may be configured to control the temperature of the substrate support 204 and the substrate 212.
  • the controller 282 according to the principles of the present disclosure is further configured to implement the adhesion layer deposition process prior to depositing a metal interconnect layer on an underlying layer of the substrate 212 as described below in more detail.
  • an underlying layer 304 (e.g., a substrate) is provided.
  • the underlying layer 304 may include, but is not limited to, a silicon dioxide (S1O2) layer, a low-k or an ultra-low-k (ULK) dielectric layer, a thermal oxide (TOx) layer, a silicon nitride (SiN) layer, a silicon layer, a metal oxide (e.g., MOx) dielectric layer, etc.
  • the underlying layer 304 may include one or more features such as a trench 308.
  • an adhesion layer 316 is deposited on the underlying layer 304.
  • the adhesion layer 316 may include a metal to be deposited in a metal interconnect layer (e.g., cobalt) and silicon.
  • the adhesion layer 316 includes a thin Co x Si y film.
  • depositing the adhesion layer 316 includes supplying a metal- organic precursor such as tetracarbonyl(trichlorosilyl)cobalt.
  • Depositing the adhesion layer 316 may include alternately supplying the metal-organic precursor and generating plasma and/or supplying the metal-organic precursor without plasma as described below in more detail.
  • the metal-organic precursor may be supplied as a liquid or gas, a solid dissolved in a solvent, with a carrier gas, etc.
  • a metal interconnect layer (e.g., a cobalt layer, such as a cobalt thin film) 324 is deposited on the adhesion layer 316.
  • the metal interconnect layer 324 may correspond to a plating and/or a plating and fill layer.
  • the metal interconnect layer 324 may be deposited using an ELD process.
  • the adhesion layer 316 may further function as a seed layer during deposition of the metal interconnect layer 324.
  • the adhesion layer 316 may function as a diffusion barrier to prevent metal diffusion from the metal interconnect layer 324 into adjacent dielectric materials (e.g., the underlying layer 304).
  • the adhesion layer 316 may function as an electrical shunt layer.
  • Various metal-organic precursors including molecules having a CoSi bond may be used to deposit the adhesion layer 316.
  • the CoSi molecules may further include bonds between cobalt and carbon monoxide (CO) and/or bonds between silicon and chlorine (Cl).
  • CO cobalt and carbon monoxide
  • Cl silicon and chlorine
  • the metal-organic precursors may include a M-Si bond, where M corresponds to a suitable metal (e.g., a metal corresponding to the metal interconnect layer 324 to be deposited on the adhesion layer 316).
  • the molecules may correspond to an M-Si bond where the metal M is bonded with Y and silicon is bonded with X, M corresponds to cobalt (Co), nickel (Ni), iron (Fe), copper (Cu), tungsten (W), tantalum (Ta), titanium (Ti), molybdenum (Mo), ruthenium (Ru), aluminum (Al), chromium (Cr), magnesium (Mg), or iridium (Ir), X corresponds to hydrogen (H), chlorine (Cl), carbon monoxide (CO), fluorine (F), bromine (Br), or methane (CH 4 ), and Y and X correspond to H, Cl, CO, F, Br, or CH 4 or one or more organic ligands (e.g., R-indicated organic ligands).
  • M corresponds to cobalt (Co), nickel (Ni), iron (Fe), copper (Cu), tungsten (W), tantalum (Ta), titanium (Ti), molybdenum (
  • One or more co-reactant gases may be supplied with the metal-organic precursors.
  • the supplied gas corresponds to hydrogen gas, but other gases may be used, such as silane bromine, fluorine, argon, helium, and/or combinations thereof.
  • the metal-organic precursor and/or the supplied gas may be selected according to a desired volatility. .
  • an example method 400 for depositing an adhesion layer and metal interconnect layer begins at 404.
  • a substrate or a substrate with an underlying layer is arranged in a processing chamber (e.g., in the processing chamber 104).
  • the substrate and/or underlying layer may correspond to the underlying layer 304 described above in FIG. 3.
  • the method 400 may optionally perform a pre-treatment process on the substrate.
  • the pre-treatment process may condition a surface of the substrate to facilitate a reaction with adhesion layer materials.
  • the pre-treatment process may include flowing one or more gases and activating plasma to generate a hydroxyl group (e.g., OFI) that is reactive with M-Si on the surface of the substrate.
  • the gases may include, but are not limited to, carbon dioxide (CO2), molecular hydrogen (H2), ammonia (e.g., NH3), silicon tetrachloride (SiCU), SiH 4 , etc.
  • the pre-treatment process may include treating the surface of the substrate with other materials including, but not limited to, silane, hydrogen fluoride, etc.
  • the method 400 supplies a metal-organic precursor to the processing chamber 104.
  • the processing chamber 104 may be maintained at 0-900 °C and at a pressure between 5 mTorr and 760 Torr.
  • the metal-organic precursor may include a material including an M-Si (metal-silicon bond).
  • the metal-organic precursor may be supplied continuously and/or alternately cycled with one or more other gases (e.g., a carrier and/or co-reactant gas) such as H2, SiH 4 , NH3, etc.
  • the metal-organic precursor and other gases may be dosed in alternating steps in an ALD process.
  • the method 400 may perform a post-treatment process on the substrate (e.g., plasma treatment, photo irradiation, annealing, etc.).
  • the post-treatment process may remove ligands from the mixture of gases in the processing chamber 104 and/or convert the metal-organic precursor on the surface of the substrate to an adhesion layer (e.g., a MxSiy film).
  • the adhesion layer may have a thickness between 0.3 and 300 nm.
  • a metal thin film (e.g., a metal interconnect layer) is deposited on the adhesion layer.
  • the metal interconnect layer may comprise Co, Ni, Fe, Cu, W, Ta, Ti, Mo, Ru, Al, Cr, Mg, or Ir as described above in FIG. 3.
  • the metal interconnect layer may be deposited using a liquid phase deposition process (e.g., electroplating, ELD, etc.) or a vapor phase deposition process (e.g., CVD, ALD, PECVD, sputtering, etc.).
  • the method 400 ends at 428.
  • FIG. 5 another example method 500 for depositing an adhesion layer and metal interconnect layer according to the principles of the present disclosure begins at 504.
  • the method 500 may be implemented using plasma (e.g., using the substrate processing system 100 as described in FIG. 1 ).
  • a substrate or a substrate with an underlying layer is arranged in a processing chamber (e.g., in the processing chamber 104).
  • the substrate and/or underlying layer may correspond to the underlying layer 304 described above in FIG. 3.
  • the method 500 may optionally perform a pre-treatment process on the substrate.
  • the pre-treatment process may condition a surface of the substrate to facilitate a reaction with adhesion layer materials.
  • the pre-treatment process may include flowing one or more gases and activating plasma to generate a hydroxyl group (e.g., OH) that is reactive with Co-Si on the surface of the substrate.
  • the gases may include, but are not limited to, carbon dioxide (CO2), molecular hydrogen (H2), ammonia (e.g., NH3), silicon tetrachloride (SiCU), SiH 4 , etc.
  • the pre-treatment process may include treating the surface of the substrate with other materials including, but not limited to, silane, hydrogen fluoride, etc.
  • the method 500 supplies a metal-organic precursor including a Co-Si bond, such as tetracarbonyl(trichlorosilyl)cobalt, to the processing chamber 104.
  • the processing chamber 104 may be maintained at 0-900 °C and at a pressure between 5 mTorr and 760 Torr.
  • the metal-organic precursor may be supplied continuously and/or alternately cycled with one or more other gases (e.g., a carrier and/or co-reactant gas) such as H2, SiH 4 , Nhh, etc.
  • the metal-organic precursor and other gases may be dosed in alternating steps in an ALD process.
  • plasma is activated within the processing chamber 104 to convert the metal-organic precursor on the surface of the substrate to an adhesion layer (e.g., a Co-Si film).
  • the plasma may be activated in a same period (e.g., continuously) and/or alternately with the supply of the metal-organic precursor, the carrier gases, etc. to remove ligands from the mixture of gases in the processing chamber 104.
  • the adhesion layer may have a thickness between 0.3 and 300 nm.
  • a metal thin film (e.g., a metal interconnect layer) is deposited on the adhesion layer.
  • the metal interconnect layer may comprise Co, Ni, Fe, Cu, W, Ta, Ti, Mo, Ru, Al, Cr, Mg, or Ir as described above in FIG. 3.
  • the metal interconnect layer may be deposited using a liquid phase deposition process (e.g., electroplating, ELD, etc.) or a vapor phase deposition process (e.g., CVD, ALD, PECVD, sputtering, etc.).
  • the method 500 ends at 528.
  • an example method 600 for depositing an adhesion layer and metal interconnect layer begins at 604.
  • the method 600 may be implemented using plasma (e.g., using the substrate processing system 100 as described in FIG. 1 ).
  • a substrate or a substrate with an underlying layer is arranged in a processing chamber (e.g., in the processing chamber 104).
  • the substrate and/or underlying layer may correspond to the underlying layer 304 described above in FIG. 3.
  • the method 600 may optionally perform a pre-treatment process on the substrate.
  • the pre-treatment process may condition a surface of the substrate to facilitate a reaction with adhesion layer materials.
  • the pre-treatment process may include flowing one or more gases and activating plasma to generate a hydroxyl group (e.g., OH) that is reactive with Co-Si on the surface of the substrate.
  • the gases may include, but are not limited to, carbon dioxide (CO2), molecular hydrogen (H2), ammonia (e.g., NH3), silicon tetrachloride (SiCU), SiH 4 , etc.
  • the pre-treatment process may include treating the surface of the substrate with other materials including, but not limited to, silane, hydrogen fluoride, etc.
  • the method 600 supplies a metal-organic precursor to the processing chamber 104.
  • the processing chamber 104 may be maintained at 0-900 °C and at a pressure between 5 mTorr and 760 Torr.
  • the metal-organic precursor may include a material including a Co-Si or other metal-silicon bond, such as tetracarbonyl(trichlorosilyl)cobalt.
  • the metal-organic precursor may be supplied continuously and/or alternately cycled with one or more other gases (e.g., a carrier and/or co-reactant gas) such as Fte, SiH 4 , NFh, etc.
  • the metal- organic precursor and other gases may be dosed in alternating steps in an ALD process.
  • plasma is activated within the processing chamber 104 to convert the metal-organic precursor on the surface of the substrate to an adhesion layer (e.g., a Co-Si or other MxSiy film).
  • the plasma may be activated in a same period (e.g., continuously) and/or alternately with the supply of the metal-organic precursor, the carrier gases, etc. to remove ligands from the mixture of gases in the processing chamber 104.
  • the adhesion layer may have a thickness between 0.3 and 300 nm.
  • a metal thin film (e.g., a metal interconnect layer) is deposited on the adhesion layer.
  • the metal interconnect layer may comprise Co, Ni, Fe, Cu, W, Ta, Ti, Mo, Ru, Al, Cr, Mg, or Ir as described above in FIG. 3.
  • the metal interconnect layer may be deposited using a liquid phase deposition process (e.g., electroplating, ELD, etc.) or a vapor phase deposition process (e.g., CVD, ALD, PECVD, sputtering, etc.).
  • the method 600 ends at 628.
  • another example method 700 for depositing an adhesion layer and metal interconnect layer begins at 704.
  • the method 700 may be implemented without using plasma (e.g., using the substrate processing system 100 as described in FIG. 1 , the substrate processing system 200 as described in FIG. 2, etc.).
  • a substrate or a substrate with an underlying layer is arranged in a processing chamber (e.g., in the processing chamber 208).
  • the method 700 may optionally perform a pre-treatment process on the substrate as described above in FIG. 6.
  • the method 700 supplies a metal-organic precursor to the processing chamber 208 to deposit an adhesion layer on the substrate.
  • the processing chamber 208 may be maintained at 300 °C or greater (e.g., in some examples, 600 °C or greater) and at a pressure between 5 mTorr and 760 Torr.
  • the metal-organic precursor may be supplied continuously and/or alternately cycled with one or more other gases (e.g., a carrier and/or co-reactant gas) as described above in FIG. 6. In this example, plasma is not activated within the processing chamber 208.
  • the adhesion layer is deposited using a non-plasma (e.g., ALD (e.g., in alternating steps in an ALD process), CVD, etc.) process.
  • the processing chamber 208 is maintained at a temperature greater than or equal to 300 °C (e.g., 600 °C or greater) to thermally remove ligands from the mixture of gases in the processing chamber 208.
  • the processing chamber 208 may be cycled between the higher temperature (e.g., 300 °C, 600 °C, etc.) and a lower temperature (e.g., less than 300 °C).
  • the adhesion layer may have a thickness between 0.3 and 300 nm.
  • a metal thin film e.g., a metal interconnect layer
  • the method 700 ends at 724.
  • the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean“at least one of A, at least one of B, and at least one of C.”
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • RF radio frequency
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller in some implementations, may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g.
  • a server can provide process recipes to a system over a network, which may include a local network or the Internet.
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

L'invention concerne un procédé de dépôt d'une couche d'interconnexion métallique sur un substrat comprenant l'agencement d'un substrat sur un support de substrat dans une chambre de traitement et le dépôt d'une couche intermédiaire configurée pour fonctionner comme au moins l'une d'une couche d'adhérence, une barrière de diffusion et une couche de germe sur le substrat. Le dépôt de la couche intermédiaire comprend l'apport d'un précurseur organométallique comprenant un premier matériau ayant une liaison métal-siliciure (M-Si). Le procédé comprend en outre le dépôt de la couche d'interconnexion métallique sur la couche intermédiaire.
PCT/US2019/022696 2018-03-26 2019-03-18 Couche intermédiaire pour couche d'interconnexion métallique WO2019190795A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020207030669A KR20200126011A (ko) 2018-03-26 2019-03-18 금속 상호접속 층을 위한 중간 층
CN201980022122.1A CN111902912A (zh) 2018-03-26 2019-03-18 用于金属互连层的中间层

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862648035P 2018-03-26 2018-03-26
US62/648,035 2018-03-26

Publications (1)

Publication Number Publication Date
WO2019190795A1 true WO2019190795A1 (fr) 2019-10-03

Family

ID=68060388

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/022696 WO2019190795A1 (fr) 2018-03-26 2019-03-18 Couche intermédiaire pour couche d'interconnexion métallique

Country Status (3)

Country Link
KR (1) KR20200126011A (fr)
CN (1) CN111902912A (fr)
WO (1) WO2019190795A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023204918A1 (fr) * 2022-04-19 2023-10-26 Applied Materials, Inc. Procédé de formation de contact pour dispositifs à semi-conducteur complémentaire à l'oxyde de métal (scom)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010010405A1 (en) * 1996-07-08 2001-08-02 Micron Technology, Inc. Low angle, low energy physical vapor deposition of alloys
US20020070456A1 (en) * 1999-02-05 2002-06-13 Tetsuya Taguwa Semiconductor device having interconnection implemented by refractory metal nitride layer and refractory metal silicide layer and process of fabrication thereof
US20110086509A1 (en) * 2001-07-25 2011-04-14 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20140183738A1 (en) * 2012-12-28 2014-07-03 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
US20150368282A1 (en) * 2013-01-31 2015-12-24 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101658804B (zh) * 2009-09-17 2012-01-04 大连理工大学 一种高性能负载型金属硅化物催化剂的制备方法及其应用
WO2016111833A1 (fr) * 2015-01-09 2016-07-14 Applied Materials, Inc. Dépôt direct de nanofils de siliciure de nickel

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010010405A1 (en) * 1996-07-08 2001-08-02 Micron Technology, Inc. Low angle, low energy physical vapor deposition of alloys
US20020070456A1 (en) * 1999-02-05 2002-06-13 Tetsuya Taguwa Semiconductor device having interconnection implemented by refractory metal nitride layer and refractory metal silicide layer and process of fabrication thereof
US20110086509A1 (en) * 2001-07-25 2011-04-14 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20140183738A1 (en) * 2012-12-28 2014-07-03 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
US20150368282A1 (en) * 2013-01-31 2015-12-24 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023204918A1 (fr) * 2022-04-19 2023-10-26 Applied Materials, Inc. Procédé de formation de contact pour dispositifs à semi-conducteur complémentaire à l'oxyde de métal (scom)

Also Published As

Publication number Publication date
KR20200126011A (ko) 2020-11-05
CN111902912A (zh) 2020-11-06

Similar Documents

Publication Publication Date Title
US9520295B2 (en) Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US10141505B2 (en) Bromine containing silicon precursors for encapsulation layers
US9928994B2 (en) Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
CN107460449B (zh) 用于增强填充物和减少衬底撞击的原子层沉积
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
JP7296378B2 (ja) Pecvd金属ドープ炭素ハードマスクのための同質界面層を蒸着するためのシステムおよび方法
JP2021522407A (ja) エッジエクスクルージョン制御
CN116013767A (zh) 用于硬掩模的金属介电膜的沉积
WO2019169335A1 (fr) Dépôt sélectif par hydrolyse
US20240084443A1 (en) Systems and methods for homogenous intermixing of precursors in alloy atomic layer deposition
TW202240664A (zh) 特徵部中的鉬沉積
WO2019190795A1 (fr) Couche intermédiaire pour couche d'interconnexion métallique
US12037686B2 (en) Selective carbon deposition

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19777717

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20207030669

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 19777717

Country of ref document: EP

Kind code of ref document: A1