WO2019152026A1 - Terminaux de dispositif asymétriques pour interconnexion 3d d'un dispositif empilé - Google Patents

Terminaux de dispositif asymétriques pour interconnexion 3d d'un dispositif empilé Download PDF

Info

Publication number
WO2019152026A1
WO2019152026A1 PCT/US2018/016262 US2018016262W WO2019152026A1 WO 2019152026 A1 WO2019152026 A1 WO 2019152026A1 US 2018016262 W US2018016262 W US 2018016262W WO 2019152026 A1 WO2019152026 A1 WO 2019152026A1
Authority
WO
WIPO (PCT)
Prior art keywords
fins
interconnect
terminal
layer
terminal interconnect
Prior art date
Application number
PCT/US2018/016262
Other languages
English (en)
Inventor
Aaron D. Lilak
Manish Chandhok
Anant H. Jahagirdar
Patrick Morrow
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to PCT/US2018/016262 priority Critical patent/WO2019152026A1/fr
Publication of WO2019152026A1 publication Critical patent/WO2019152026A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • 3D scaling is now of considerable interest as reductions in z- height (device thickness) offer another avenue of increasing overall device density and IC performance.
  • 3D scaling may be in the form of chip stacking or packaged IC stacking, for example.
  • Known 3D integration techniques are expensive and may offer only incremental improvements in z-height and device density.
  • One challenge of 3D scaling is the electrical interconnect of stacked device layers or stratum. Dimensions of transistors may be only 20- 50nm, or less, for example. Interconnection of a terminal of one transistor with such dimensions to an underlying device layer, which may also include another transistor of similar dimensions, poses a significant manufacturing problem.
  • FIG. 1 is a plan view of an integrated circuit (IC) wafer with expanded views of IC die on the wafer, and of transistors within the IC die fabricated with one or more asymmetrical terminal interconnects, in accordance with some embodiments;
  • IC integrated circuit
  • FIG. 2 illustrates an expanded cross-sectional view of the transistors shown in FIG. 1 and further shows interlayer interconnection with asymmetrical terminal interconnects, in accordance with some exemplary embodiments;
  • FIG. 3 is a flow diagram illustrating methods for fabricating asymmetrical terminal interconnects suitable for interlayer interconnection of stacked devices, in accordance with some embodiments;
  • FIG. 4, 5, 6, 7, 8, 9, 10, and 11 are cross-sectional views illustrating a portion of a device including stacked transistors evolving as selected operations in the methods illustrated in FIG. 3 are practiced, in accordance with some exemplary embodiments;
  • FIG. 12 illustrates a mobile computing platform and a data server machine including a processor with asymmetrical terminal interconnects, in accordance with some exemplary embodiments.
  • FIG. 13 illustrates a functional block diagram of an electronic computing device, in accordance with some exemplary embodiments.
  • first embodiment may be combined with a second embodiment anywhere the particular features, structures, functions, or characteristics associated with the two embodiments are not mutually exclusive.
  • Coupled may be used to indicate that two or more elements are in direct physical, optical, or electrical contact with each other, without any intermediary materials or devices.
  • Connected may be used to indicate that two or more elements are in either direct or indirect (with other intervening elements between them) physical or electrical contact with each other, and/or that the two or more elements co-operate or interact with each other (e.g., as in a cause an effect relationship), through one or more passive or active intermediary materials or devices.
  • A“device” may generally refer to an apparatus according to the context of the usage of that term.
  • a device may refer to a stack of layers or structures, a single structure or layer, a connection of various structures having active and/or passive elements, etc.
  • a device is a three dimensional structure with a lateral x-y plane and a height along the z direction within an x-y-z Cartesian coordinate system.
  • the plane of the device may also be the plane of an apparatus, which comprises the device.
  • first material“over” a second material in the context of a figure provided herein may also be“under” the second material if the device is oriented upside-down relative to the context of the figure provided.
  • one material disposed over or under another may be directly in contact or may have one or more intervening materials.
  • one material disposed between two materials may be directly in contact with the two layers or may have one or more intervening layers.
  • a first material“on” a second material is in direct contact with that second material. Similar distinctions are to be made in the context of component assemblies.
  • adjacent generally refers to a position of a thing being laterally (within an x-y plane) next to (e.g., immediately next to), or adjoining another thing (e.g., abutting it).
  • the term“between” may be employed in the context of the z-axis, x-axis or y-axis of a device.
  • a material that is between two other materials may be in contact with one or both of those materials, or it may be separated from both of the other two materials by one or more intervening materials.
  • a material“between” two other materials may therefore be in contact with either of the other two materials, or it may be coupled to the other two materials through an intervening material.
  • a device that is between two other device may be directly connected to one or both of those devices, or it may be separated from both of the other two devices by one or more intervening devices.
  • a list of items joined by the term“at least one of’ or“one or more of’ can mean any combination of the listed terms.
  • the phrase“at least one of A, B or C” can mean A; B; C; A and B; A and C; B and C; or A, B and C.
  • the terms“substantially equal,”“about equal” and“approximately equal” mean that there is no more than incidental variation between two things so described. In the art, such variation is typically no more than +/-l0% of a predetermined target value.
  • one or more terminal interconnects of a device are positioned asymmetrically relative to one or more underlying features of the device.
  • the terminal interconnect may overlap the underlying feature, with a difference between a footprint of the terminal interconnect and the feature to one side of the semiconductor body (bodies).
  • a majority of the overlapping area of the terminal interconnect biased to one side of the feature a majority the terminal interconnect overlap that is adjacent to a side of the feature can define a conductive via that may be interconnected with another underlying feature, such as another device layer or interconnect metallization layer of a stacked device, without exceeding the via exceeding a threshold aspect ratio.
  • a terminal interconnect coupled to a gate, source, or drain terminal of a finFET in a vertically-stacked device is positioned asymmetrically to a semiconductor fin.
  • a portion of the terminal interconnect adjacent to one side of the fin extends to a depth below a plane of the fin and intersects another interconnect, or another device terminal, that is in another plane of the stacked device.
  • FIG. 1 is a plan view of IC wafer 100 with an expanded view of an IC die 101, and of a further expanded view of a logic transistor structure 103 disposed within IC die 101, in accordance with some embodiments.
  • a plurality of transistor structures 103 are arrayed over an area of a device layer within IC die 103. Additional device cells 102 may be, for example, any of memory cells, power transistor structures, RF transistor structures, optical device cells, or the like.
  • Exemplary transistor structures 103 include a multi-fin field effect transistor (FET) 107 and a single-fin FET 108. Each of FETs 107 and 108 include a gate terminal between source and drain terminals.
  • FET multi-fin field effect transistor
  • source and drain terminals include semiconductor having the same conductivity type (e.g., both n-type or both p-type).
  • the source and drain terminals include semiconductor having complementary conductivity type (i.e., a tunnel FET, or TFET).
  • the FET may also include a heterojunction (i.e., HFET) and may also qualify as a high electron mobility transistor (HEMT) when the channel comprises a Group III-V or Group III-N material.
  • solid lines within transistor structures 103 denote salient materials overlying other material or structural features denoted in dashed lines within one transistor structure stratum.
  • Heavy dot-dashed line in FIG. 6 denote a plane A-A’ along which cross- sectional views are further provided in FIG. 2.
  • transistor structures 103 are over an underlying device stratum 105 with semiconductor bodies (e.g., fins) 110 embedded within a front-side, or top side, device stratum.
  • Field isolation dielectric material 180 surrounds FETs 107 and 108.
  • Field isolation dielectric material 180 may have any composition of suitable dielectric strength for the purpose of electrically isolating adjacent devices, such as, but not limited to, silicon dioxide, silicon nitride, silicon oxynitride, and low-k materials (e.g., having a relative permittivity below 3.3).
  • gate metal 173 is over a channel region of each of a first and a second semiconductor body 110A and 110B. Although two semiconductor bodies 110A and 110B are illustrated in FIG. 6, a non-planar FET may include one, or more than two such semiconductor bodies.
  • FET 108 includes one semiconductor body 110C.
  • semiconductor bodies 110, 110A and 110B include at least one semiconductor region, which may have any composition that is suitable for a field effect transistor.
  • semiconductor bodies 110A-C include one or more group IV (i.e., IUPAC group 14) semiconductor material layers (e.g., Si, Ge, SiGe), group III-V semiconductor material layers (e.g., GaAs, InGaAs, InAs, InP), or group III-N semiconductor material layers (e.g., GaN, AlGaN, InGaN).
  • semiconductor bodies 110A-C may also include one or more semiconductor transition metal dichalcogenide (TMD or TMDC) layers.
  • TMD semiconductor transition metal dichalcogenide
  • semiconductor bodies 110A-C include one or more graphene layer, or a grapheme material layer having semiconductor properties.
  • semiconductor bodies 110A-C include one or more oxide semiconductor layers.
  • Exemplary oxide semiconductors include oxides of a transition metal (e.g., IUPAC group 4-10) or post-transition metal (e.g., IUPAC groups 11-14).
  • the oxide semiconductor includes at least one of Cu, Zn, Sn, Ti, Ni, Ga, In, Sr, Cr, Co, V, or Mo.
  • the metal oxides may be suboxides (A2O) monoxides (AO), binary oxides (AO2), ternary oxides (ABO3), and mixtures thereof.
  • semiconductor bodies 110A-C includes one or more magnetic, ferromagnetic, ferroelectric material layer.
  • semiconductor bodies 110A-C may include one or more layers of any material known to be suitable for an tunneling junction device, such as, but not limited to a magnetic tunneling junction (MTJ) device.
  • MTJ magnetic tunneling junction
  • semiconductor bodes 110A-C are substantially identical to semiconductor bodes 110A-C.
  • semiconductor bodies 110A-C are amorphous or poly crystalline (e.g., micro or nano crystalline).
  • Semiconductor bodies 110A- may have any width (e.g., y-dimension in FIG. 1). In some exemplary embodiments, semiconductor bodies 110A-C have a width less than 20nm and advantageously less than lOnm and more advantageously between 4nm and 9nm.
  • source and drain metallization 150 is disposed adjacent to gate metal 173 and also extends across semiconductor bodies 110A-C.
  • Source and drain metallization 150 may include one or more metals (e.g., Ti, W, Pt, their alloys, nitrides, carbides, etc.) that form an ohmic or tunneling junction with doped source/drain semiconductor 640.
  • metals e.g., Ti, W, Pt, their alloys, nitrides, carbides, etc.
  • source and drain metallization 150 is on regrown or raised source and drain semiconductor 140, which is further in contact with semiconductor bodies 110.
  • Source and drain semiconductor 140 may include electrically active impurities imparting n-type or p-type conductivity.
  • both the source and drain semiconductor 140 is doped to the same conductivity type (e.g., n-type for NMOS and p-type for PMOS).
  • source and drain semiconductor 140 is doped to have complementary conductivity (e.g., n-type source and p-type drain).
  • Source/drain semiconductor 140 may be any semiconductor material compatible with semiconductor bodies 110A-C, such as, but not limited to, group IV semiconductors (e.g., Si, Ge, SiGe), and/or group III-V semiconductors (e.g., InGaAs, InAs), and/or group III-N semiconductors (e.g., InGaN), and/or (metal) oxide semiconductors.
  • group IV semiconductors e.g., Si, Ge, SiGe
  • group III-V semiconductors e.g., InGaAs, InAs
  • group III-N semiconductors e.g., InGaN
  • metal oxide semiconductors e.g., metal oxide semiconductors.
  • Spacer dielectric 171 laterally separates gate metal 173 from source and drain metallization 150 and/or source and drain semiconductor 140.
  • Spacer dielectric 171 may be or any dielectric such as, but not limited to, silicon dioxide, silicon nitride, or silicon oxynitride, or any known low-k material having a relative permittivity below 4.0.
  • gate metal 173 is illustrated in solid line as being part of a single logic transistor structure, an exemplary second gate metal 173 is drawn in dashed line as being associated with an adjacent transistor structure.
  • one or more terminal interconnects are laterally offset to a first side of a device structure.
  • a device terminal interconnect extends laterally beyond a first side of the device structure by significantly more (e.g., by 30%, or more) than it does a second side of the device structure.
  • multi -finned FET 107 has a transistor centerline that extends between bodies 110A and 110B, in a direction parallel to their longitudinal lengths (e.g., x-dimension).
  • Gate metal 173 is laterally offset from the transistor centerline (e.g., in a +y-direction).
  • a first portion of gate metal 173 on a first side of the transistor centerline has a width Wl (between a sidewall edge of gate metal 173 and the transistor centerline). Width Wl is significantly larger than width W2 on a second side of the transistor centerline to a sidewall edge of gate metal 173.
  • the other terminal interconnects e.g., source and drain metallization 150
  • source and drain metallization 150 may be laterally offset in substantially the same manner as illustrated for gate metal 173.
  • the direction of offset may vary between terminal interconnects and/or the magnitude of the offset may also vary.
  • the transistor centerline for single-fin device extends through a longitudinal axis of semiconductor body 110C.
  • Gate metal 173 is again laterally offset from the transistor centerline (e.g., in a +y-direction).
  • a first portion of gate metal 173 on a first side of the transistor centerline has a width W3 (between a sidewall edge of gate metal 173 and the transistor centerline), which is again significantly larger than width W4 on a second side of the transistor centerline.
  • gate metal 173 is laterally offset from the transistor centerline of FET 108, with the other terminal interconnects (e.g., source and drain metallization 150) being symmetrically positioned with respect to the transistor centerline, either (or both) source and drain metallization 150 may be laterally offset in substantially the same manner as illustrated for gate metal 173.
  • other terminal interconnects e.g., source and drain metallization 150
  • FIG. 2 illustrates an expanded cross-sectional view of the transistor structures 103 shown in FIG. 1.
  • FIG. 2 further shows interlayer interconnection with asymmetrical device terminal interconnects in accordance with some exemplary embodiments.
  • Transistor structures 103 are within a front-side (or top) device layer (or stratum) 105.
  • Device layer 205, underlying device layer 105, further includes transistor structures 203 and interconnect metallization 204.
  • Transistor structures 203 may have any of the structural features or attributes of transistor structures 103.
  • transistor structures 203 includes a gate metal 273 and a work function metal 273 over a channel portion of semiconductor bodies 210.
  • Transistor structures 203 are surrounded by an isolation dielectric material 280, which may have any suitable composition, such as any of those described above for dielectric material 180.
  • transistor structures 203 are substantially identical to transistor structures 103.
  • transistor structures 203 are dimensionally and/or compositionally, and/or functionally different from transistor structures 103.
  • device layer 205 may alternatively include any other device known to be compatible with an IC (e.g., a volatile or non-volatile memory device, a MEMs, a photodiode, a photovoltaic, etc.)
  • gate metal 173 is one metal of a composite terminal interconnect structure that includes at least two metal regions.
  • gate metal 173 is a fill metal that is over a layer of a work function metal 272.
  • Work function metal 272 may have any composition suitable for controlling the channel conductivity of a semiconductor channel.
  • Work function metal 272 may have any suitable work function and may be an elemental metal layer, a metal alloy layer, or even a doped semiconductor (e.g., polysilicon) layer.
  • Work function metal 272 may include at least one P-type work function metal or N-type work function metal, depending on conductivity type of the transistor channel (e.g., NMOS transistors with N-type work function metal and PMOS transistors with P-type work function metal).
  • metals that may be used for work function metal 272 include, but are not limited to, ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides (e.g., ruthenium oxide).
  • metals that may be used for work function metal 272 include, but are not limited to, hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, and carbides of these metals such as hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide.
  • Gate metal 173 may be a fill metal of any composition known to be compatible for a transistor gate electrode (e.g., having suitable electrical conductivity, adhesion, and fill properties, etc.). Transistor structures 203 may have a similar gate electrode, for example with gate metal 173 also being any suitable fill metal over any suitable work function metal 272.
  • Gate dielectric 211 may have any composition and any thickness known to be suitable for transistors having a channel of a given semiconductor composition and operable under given bias conditions.
  • gate dielectric 211 is a material having a conventional relative permittivity (e.g., k value below 9), such as, but not limited to silicon dioxide, silicon oxynitride, or silicon nitride.
  • gate dielectric 211 is a material having a high relative permittivity (e.g., k value above 10).
  • the high-k dielectric material may include elements such as hafnium, silicon, oxygen, titanium, tantalum, lanthanum, aluminum, zirconium, barium, strontium, yttrium, lead, scandium, niobium, and zinc.
  • the high-k material in some embodiments is a metal oxide (e.g., comprising one or more of hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate).
  • the high-k material in some embodiments is a metal silicate (e.g., comprising one or more of above metals, oxygen and silicon).
  • gate dielectric 211 includes two or more dielectric material layers, such as, but not limited to, a layer with a higher relative permittivity over a layer with a lower relative permittivity.
  • the one or more layers may include silicon oxide, silicon dioxide (SiCh) and/or a high-k dielectric material.
  • asymmetrical device terminal interconnects in an overlying device layer that are laterally offset to one side of a semiconductor body may be advantageous for increasing the footprint of an electrically conductive via that extends from the overlapping portion of the device terminal interconnect and into an underlying material.
  • gate metal 173 has a footprint associated with the sum of partial widths W3 and W 4 .
  • Gate metal 173 is laterally offset from the denoted centerline of semiconductor body 110, so partial width W3 is larger than partial width Wr to the extent that a portion of gate metal 173 extends beyond one side of semiconductor body 110C by an overlap width Wo, which may satisfy some predetermined threshold.
  • Partial width Wr is sufficiently small that no portion of gate metal 173 extends beyond the opposite side of semiconductor body 110C with the only a width Ws of a work function metal sidewall spacer 272C overlapping beyond semiconductor body 110C.
  • gate metal 173 has a footprint associated with the sum of partial widths Wi and W2.
  • Gate metal 173 is laterally offset from the denoted centerline of the pair of semiconductor bodies 110A and 110B, so partial width Wi is larger than partial width W2 to the extent that a portion of gate metal 173 extends beyond one side of semiconductor body 110A by the overlap width Wo, which may satisfy the predetermined threshold.
  • Partial width W2 is sufficiently small that no portion of gate metal 173 extends beyond the opposite side of semiconductor body 110B with the only the width Ws of a work function metal sidewall spacer 272B overlapping beyond semiconductor body 110B.
  • a laterally offset device terminal interconnect of a given footprint can therefore facilitate electrical coupling of the device terminal interconnect to an underlying circuit node through a conductive via having less than some threshold aspect ratio, whereas a symmetrically positioned terminal interconnect of the same footprint would necessitate a via with a significantly higher aspect ratio (e.g., associated with a lateral via diameter of only 1 ⁇ 2 a threshold overlap width Wo).
  • a significantly higher aspect ratio e.g., associated with a lateral via diameter of only 1 ⁇ 2 a threshold overlap width Wo.
  • Higher via aspect ratios may be associated with a significantly lower via conductivity, which may be detrimental to the operation of a stacked device. Higher via aspect ratios may also result in an electrically open circuit if an aggressive aspect ratio cannot be properly filled with metallization.
  • overlap width Wo approaches a minimum of approximately 1 ⁇ 2 the difference between the width of gate metal 173 (e.g., the sum of partial widths W3 and W 4 ) and the width of semiconductor body 110 summed with approximately twice the thickness of gate dielectric 211.
  • conventional overlay rules would typically specify symmetrical device terminal interconnect overlap on both sides of semiconductor body 110, symmetrical overlap would result in minimum overlap width on each illustrated side of semiconductor body 110 that may be only slightly larger than twice the work function metal sidewall spacer width Ws.
  • Overlap width Wo may satisfy any dimensional threshold (e.g., 4nm, 9nm, 12 nm, etc.) sufficient to ensure an acceptable aspect ratio for a conductive via that extends from the overlapping portion of a device terminal interconnect, and into and an underlying device layer.
  • dimensional threshold e.g., 4nm, 9nm, 12 nm, etc.
  • the dimensional threshold is not met along the opposite side of semiconductor body 110A, and no comparable conductive via extends from this edge of the device terminal interconnect.
  • the aspect ratio of an inter-device layer via 250C may be limited to some ratio of via depth to lateral via diameter (e.g., 4: 1, 10: 1, etc.) that will ensure via 250C is adequately filled with metallization for sufficient via conductivity.
  • the threshold for overlap width Wo in a given architecture may be a function of the thickness of dielectric material between strata of a stacked integrated circuit device.
  • via 250C extends through dielectric materials 190 and 191.
  • Dielectric materials 190 and 191 may each have any composition known to be suitable as an IC interlayer dielectric (ILD), such as, but not limited to, the exemplary materials provided above for dielectric material 180.
  • the threshold lateral dimension of overlap width Wo may depend, at least in part, on the thickness of dielectric materials 190, 191 traversed by via 250C.
  • two dielectric materials 190 and 191 are illustrated in FIG. 2, one or more such dielectric materials may be present between device layers of a stack integrated circuit device and embodiments herein are not limited in this respect.
  • a laterally offset device terminal interconnect of a multi-finned device may include one or more conductive vias to an underlying device layer.
  • a laterally offset device terminal interconnect of a multi-finned device may, for example, advantageously increase the number of vias that couple a device terminal to an underlying device layer.
  • semiconductor bodies 110A and 110B are separated by a space that is approximately equal to overlap width Wo. While spacing between semiconductor bodies 110A and 110B may be larger than overlap width Wo, a spacing width that is at least equal to the overlap width Wo facilitates a conductive via between semiconductor bodies 110A and 110B and this conductive via may be supplemented by a second conductive via facilitated by the lateral offset of the device terminal interconnect.
  • the gate electrode of FET 107 has two overlapping portions, each with overlap width Wo.
  • Two inter-device layer conductive vias 250A and 250B extend through dielectric materials 190 and 191.
  • Vias 250A and 250B may have approximately equal top diameters, which may be a function of overlap width Wo, as further described below.
  • the lateral widths of conductive vias 250A and 250B may differ. For example, where gate electrode 173 is made even more asymmetric (e.g., Wi exceeding W2 by an even greater amount than illustrated), conductive via 250A will become wider than conductive via 250B.
  • a pitch of the backbone may result in conductive via 250B have a different lateral width than conductive via 250A
  • work function metal spacer width Ws for the multi- finned device is again smaller than Wo, and with the dimensional threshold not met along an outside of semiconductor body 110B no comparable conductive via extends from this edge of the device terminal interconnect.
  • the overlap region on this side of fin 110B may not completely fill with a metal, and/or work function metal portion 272B may not merge with a remainder of work function metal 272.
  • Such artifacts are not likely to be significant in the function of devices and are merely noted here in recognition of some of the possible variations from the illustrated embodiments.
  • Conductive vias 250A, 250B and 250C extend below semiconductor bodies 210 and each via intersects, or makes contact to, interconnect metallization features 277 that are embedded within a dielectric material 192.
  • Dielectric material 192 may also have any composition known to be suitable as an IC ILD, such as, but not limited to, the exemplary materials provided above for dielectric material 190.
  • conductive via 250A contacts a first of interconnect metallization features 277
  • conductive via 250B contacts a second of interconnect metallization features 277
  • conductive via 250C contacts a third of interconnect metallization features 277.
  • Conductive vias 250A and 250B may electrically couple one laterally offset device terminal to two different circuit nodes.
  • multiple conductive vias in contact with a laterally offset device terminal may further contact a single underlying interconnect metallization feature.
  • both conductive vias 250A and 250B may couple a single laterally offset gate electrode to one other circuit node, in which case the two vias 250A and 250B may have higher conductivity than a single via).
  • Conductive via 250C, along with vias 250A and/or 250B may also electrically couple two or more different laterally offset device terminals to a single interconnect feature associated with one IC node.
  • one or more conductive vias directly couple one or more laterally offset device terminal with one or more underlying device terminal.
  • conductive via 250A and/or conductive via 250B and/or conductive via 250C may be in direct contact with one or more underlying device terminal.
  • an inter-device layer via may couple an overlying laterally offset device terminal directly to a gate metal 273 that is over a channel region of semiconductor bodies 210, 210A or 210B.
  • An inter-device layer via may also couple an overlying laterally offset device terminal directly to a source or drain terminal interconnect that is over a source or drain region of semiconductor bodies 210, 210A or 210B.
  • one or more conductive vias coupled to a laterally offset device terminal make direct contact with one or more underlying semiconductor bodies.
  • conductive via 250A and/or conductive via 250B, and/or conductive via 250C may directly couple gate metal 173 to one or more portions of semiconductor bodies 210A, 210B (e.g., a source region and/or a drain region).
  • Device terminal interconnects of adjacent devices may be laterally offset by substantially the same magnitude.
  • the gate electrodes of both FET 107 and FET 108 are laterally offset from semiconductor bodies 210, 210A and 210B by substantially the same amount such that the overlap width Wo is the same for both FETS 107 and 108.
  • the gate electrodes for all transistors within device layer 105 may be offset by the same amount such that the overlap width Wo is the same for transistors.
  • source and/or drain terminal interconnects for all transistors within device layer 105 may be likewise offset by the same magnitude.
  • one or more device terminal interconnects of only a subset of devices within a given device layer may be lateral offset.
  • the two laterally offset device terminals illustrated in FIG. 2 may be in a subset of transistors in device layer 105 that have offset device terminal interconnects while other transistors within device layer 105 have symmetrical device terminal interconnects.
  • the magnitude of lateral offset may be substantially equal across those transistors that have laterally offset device terminal interconnects.
  • the threshold overlap width of a laterally offset device terminal interconnect is sufficient to ensure a conductive via of an acceptable aspect ratio couples the overlapping portion of the terminal interconnect to an underlying circuit node.
  • formation of the conductive via is
  • conductive via 250C has a top width WT that is substantially equal to the overlap width Wo minus twice the sidewall spacer width Ws of work function metal 272 that is adjacent to a sidewall of semiconductor body 210A and/or 210B.
  • Conductive vias 250A and 250B also have a top width WT that is substantially equal to the overlap width Wo minus twice the sidewall work function metal spacer width Ws. This relationship between the conductive via diameter the overlap width Wo and the sidewall work function metal spacer width Ws is indicative of conductive vias 250A-250C having been self-aligned the laterally offset gate electrode.
  • the via top width WT is equal to the width of an opening 282 that extends through the work function metal 272 within the overlapping portions of the gate electrode.
  • Gate metal 173 extends through opening 282 and into vias 250A-250C. Opening 282 is bounded by work function metal spacers 272A, and therefore the via top width WT is substantially equal to the overlap width Wo minus twice the sidewall work function metal spacer width Ws.
  • FIG. 3 is a flow diagram illustrating methods 301 for fabricating asymmetrical terminal interconnects suitable for interlayer interconnection of stacked devices, in accordance with some embodiments.
  • Methods 301 may be employed, for example, to fabricate the transistor structures illustrated in FIG. l and FIG. 2, for example.
  • Methods 301 further exemplify formation of a transistor structure stratum that may be no more than a few hundred nanometers in thickness. As described elsewhere herein, such a stratum is amenable to being vertically stacked into a 3D IC having potentially very high vertical cell density (e.g., high strata count/micrometer thickness).
  • Methods 301 begin at operation 310 where non-planar semiconductor bodies (e.g., fins) are formed on a substrate. Operation 310 may, for example, entail any processes known to be suitable for fabricating semiconductor fins, as embodiments herein are not limited in this respect. Methods 301 continue at operation 315, where isolation dielectric material is formed adjacent to sidewalls of the semiconductor bodies asymmetrically. The formation of asymmetric isolation material may include one or more processes that form isolation material to a larger lateral width on one side of a semiconductor body than on an opposite side of the semiconductor body.
  • asymmetric isolation material is formed by first depositing a layer of substantially uniform thickness over a semiconductor body followed by anisotropically etching and/or sputtering that layer with any process that removes the layer on one side of the body at a higher rate than on an opposite side of the fin.
  • anisotropic etching and/or sputtering may be with a highly directional process, for example that is angled or tilted in a manner that results in one side of the isolation material being shadowed by the non-planar semiconductor body.
  • anisotropic etching and/or sputtering may also be facilitated by a pre-treatment of the layer with a highly directional process, for example that is angled in a manner that results in one side of the isolation material being shadowed by the non-planar semiconductor body.
  • Operation 320 may entail any deposition process(es) known to be suitable for embedding the non-planar semiconductor bodies within a dielectric of any composition suitable for electrical isolation of IC devices.
  • a flowable oxide is deposited at operation 320, for example with any chemical vapor deposition process or spin- on deposition process suitable for the selected material.
  • the isolation dielectric is then planarized at operation 325 to expose some portion of the asymmetric isolation material. Any planarization process, such as a chemical mechanical planarization (CMP) process, having suitable uniformity may be employed at operation 325.
  • CMP chemical mechanical planarization
  • Methods 301 continue at operation 330 where the asymmetric isolation material is removed selectively to the semiconductor body and the additional isolation dielectric material.
  • the asymmetric isolation material may be selectively removed, for example, with an isotropic or anisotropic etch process that removes the asymmetric isolation material at a significantly higher rate than the semiconductor body and remaining isolation dielectric. At least a portion of the isolation removal may be self-aligned to the asymmetric geometry of isolation material, resulting in the formation of asymmetrical openings that follow the profile of the asymmetrical isolation material between the semiconductor body and surrounding isolation dielectric material.
  • Methods continue at operation 335 where a via opening is etched into a substrate layer that is below the semiconductor body. At least a portion of the via opening etched at operation 335 is advantageously self-aligned to the opening formed during the etch of the asymmetric isolation at operation 330.
  • the via etch at operation 335 may therefore be at least partially self-aligned to be adjacent to an edge of the semiconductor body, and may be further masked by the additional isolation dielectric that was deposited at operation 320.
  • the via etch at operation 335 may also have top lateral dimension that is dependent on the lateral dimensions of the asymmetric isolation material.
  • Methods 301 continue at operation 340, where the via formed at operation 335 is at least partially filled with metallization to form a conductive via.
  • the conductive via metallization may also couple (directly or capacitively) to a sidewall of the semiconductor body to further function as the device terminal interconnect metallization.
  • the device terminal interconnect metallization formed may be made asymmetrical to the semiconductor body as a result of the isolation material asymmetry. Any processes known to be suitable for depositing metallization material layer(s) may be employed at operation 340.
  • the via formation operation 335 and/or via filling operation 340 may be made dependent on the lateral dimensions of the opening formed during the asymmetric isolation etch at operation 330.
  • one or more material layer formed prior to, or during via fill may further serve as a process- based technique for selectively forming conductive vias within regions of sufficiently large lateral dimension (e.g., satisfying some predetermined threshold).
  • one or more dielectric materials may be deposited in conjunction with formation of the device terminal interconnect metallization. Such dielectric materials may be deposited prior to forming the via at operation 335.
  • the device terminal interconnect is a gate electrode
  • a gate dielectric may be deposited or otherwise formed following the spacer removal operation 330.
  • the operations of methods 301 need not rigidly follow the sequence exemplified by methods 301.
  • operation 335 may be performed after one or more layers of the device terminal interconnect is formed, but prior to forming another layer of the device terminal interconnect.
  • the one or more layers of the device terminal interconnect initially formed may therefore also serve as a process-based technique for selectively forming a conductive via within a region having a sufficiently large lateral dimension.
  • the one or more layers of the device terminal interconnect formed subsequently to via formation may therefore also serve to fill any via opening selectively formed.
  • methods 301 end at operation 350 where fabrication of the stacked device is completed following any suitable technique(s) known in the art. Any stacked devices having any stacked device architecture that includes a laterally offset device terminal and a conductive via in accordance with embodiments herein may be so fabricated.
  • FIG. 4, 5, 6, 7, 8, 9, 10, and 11 provide cross- sectional views of a portion of a device including stacked transistors evolving as selected operations in the method 301 are practiced, in accordance with some exemplary
  • structure 401 is on a workpiece (e.g., a wafer) that may be received by any upstream fabrication process.
  • the workpiece includes semiconductor bodies over a substrate layer.
  • structure 401 includes a semiconductor fin 110C spaced apart from a pair of semiconductor fins 110A and 110B by a lateral distance Sl that is greater than a lateral spacing S2 between fin 110A and 110B.
  • Fins 110A-C may have been fabricated upstream using any techniques known to be suitable for finFET fabrication. Fins 110A-C are over dielectric material 190.
  • Fins 110A-C may, for example, have been fabricated from a semiconductor on insulator (SOI) substrate, with dielectric material 190 being the insulator layer.
  • dielectric material 190 may have been deposited over a bottom or backside of fins 110A-C during some upstream processing.
  • fins 110A-C may be protrusions from a bulk semiconductor substrate layer, such as, but not limited to a bulk monocrystalline silicon wafer.
  • Structure 401 further includes a cap 411 over fins 110A-C, which may be any hardmask material (e.g., dielectric, intermetallic or metallic compound) suitable for achieving a desired fin height (e.g., z-dimension), etc.
  • An isolation material 415 has been deposited over fins 110A-C and over cap 411.
  • Isolation material 415 may be any material composition suitable for conformal deposition process, such as, but not limited to, silicon dioxide, silicon nitride, and silicon oxynitride.
  • Isolation material 415 may have been deposited by chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), and atomic layer deposition (ALD), for example.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • ALD atomic layer deposition
  • isolation dielectric film 415 is deposited to a thickness sufficient to fill the space S2 between fins 110A and 110B, but insufficient to fill the larger space Sl between fin 110C and fin 110B. As further shown in FIG. 4, isolation dielectric material 415 is symmetric about, or centered over, the centerline between fins 110A and 110B with width Wl equal to width W2.
  • Structure 501 is then subjected to directional, or anisotropic, processing which will form an asymmetric isolation dielectric spacer.
  • anisotropic processing may for example, entail a first (laterally symmetric) etchback of isolation material 415 to form a spacer that is substantially symmetric about the fin(s) centerline, followed by laterally asymmetric removal of a portion of the spacer.
  • processing may entail an asymmetric etchback of isolation material 415 to directly form an asymmetric isolation material.
  • a portion of an isolation material 515 on one side of the fin(s) has a sidewall thickness (Tl) that is much greater than sidewall thickness (T2) on the opposing side of the fin(s).
  • sidewall thickness (T2) is substantially nil after the anisotropic processing.
  • isolation material 515 There are many options for asymmetrically forming isolation material 515.
  • a directional reactive ion etch and/or sputter and/or implant ion flux 510 is depicted, during which fins 110A-C at least partially shadow a portion of isolation material 415 that is laterally adjacent to the protected side of the fins.
  • Ion flux 510 may sputter and/or chemical etch away unshadowed portions of isolation material 415.
  • Such a directional etch process is commercially available from one or more suppliers of
  • Ion flux 510 may also, or in the alternative physically and/or chemically modify, unshadowed portions of isolation material 415 rendering that material more susceptible to a subsequent removal process.
  • ion flux 510 may also render unshadowed material more resistant to a subsequent removal process, in which case the direction of ion flux 510 or the resulting isolation material asymmetry may be opposite from that shown in FIG. 5.
  • ion flux 510 may include one or more ionic species, such as, but not limited to Argon (Ar), Xenon (Xe), or Krypton (Kr) ions. Doses and energies may vary among any suitable for the application. In some further examples, any of the exemplary ionic species may be provided at a dose of lel6/cm 2 , or higher. Energy energies may vary, but need not be more than lKeV, for example. Shadowing that facilitates advantageous asymmetry may be sufficient at orientations as little as 10-30 degrees off-axis (off-normal to the x-y plane of the workpiece).
  • a portion of fins 110A-C is exposed while a remnant of the isolation dielectric layer remains as isolation dielectric spacer 515.
  • ionic species e.g., any of those listed above
  • Such ionic species may have no detrimental impact to device operation.
  • the presence of such ionic species within a portion of fins 110A-C, in conjunction with an asymmetric terminal interconnect may be indicative of the technique employed in the fabrication of the asymmetric terminal interconnect.
  • FIG. 6 further illustrates a structure 601 that includes structure 501 and further includes a dielectric material 615, which has been deposited over isolation dielectric spacer 515 and over fins 110, 110A, and 110B. As shown, dielectric material 615 has a thickness T3 over a sidewall of fins 110, 110A and 110B.
  • the thickness of dielectric material on one side of fins 110, 110A and 110B is only thickness T3 (with T2 being nil, or nearly so) while the thickness of dielectric material on the opposite side of fins 110, 110A and 11B being equal to a sum of Tl and T3.
  • the composition of dielectric material 615 may vary. In some exemplary embodiments, dielectric material 615 has substantially the same composition as isolation dielectric spacer 515.
  • FIG. 7 further illustrates a structure 701 that includes structure 601 and further includes isolation material 180, which has been deposited over dielectric material 615.
  • Isolation material 180 advantageously has a different composition than dielectric material 615, and a different composition than isolation dielectric spacer 515.
  • Isolation material 180 may be formed by any process known to be suitable for the chosen material, such as, but not limited to CVD, PECVD, flowable oxide deposition processes and/or spin-on processes. With spaces between fins substantially backfilled, isolation material 180 may be planarized, for example with a chemical mechanical polish process to expose a top surface of dielectric material 615 and asymmetric isolation material 515. With these top surfaces exposed, dielectric material 615 and asymmetric isolation material 515 may be etched selectively relative to isolation material 180.
  • openings 805 expose a sidewall of fins 110A-C, and are adjacent to opposite sides of the fins. Lateral dimensions of openings 805 are dependent, at least in part, on the lateral dimensions of dielectric material 615 and asymmetric isolation material 515.
  • openings 805 on one side of fins 110A-C have a lateral dimension substantially equal to Tl summed with T3, while openings 805 on an opposite side of fins 110A-C have a lateral dimension substantially equal to only T3.
  • the maskless processing performed upstream has enabled openings 805 to be formed in a self-aligned manner such that openings 805 on one side of fins 110A-C have a larger lateral dimension (e.g., exceeding some predetermined threshold) with openings 805 on another side of fins 110A-C have a smaller lateral dimension (e.g., below some predetermined threshold).
  • Openings 805 may be etched to any depth. However, in the exemplary embodiment openings 805 are etched to a depth just sufficient to expose a predetermined sidewall height of fins 110A-C.
  • any desired processing may be performed to prepare the exposed fin portion for subsequent formation of a terminal interconnect.
  • the exposed fin portion is to be a drain or source of a transistor
  • the exposed portion of the fin(s) may be impurity doped, replaced with epitaxial material, or the like, according to any technique known to be suitable.
  • gate dielectric 211 is deposited, or otherwise formed, according to any techniques known to be suitable for the gate dielectric composition.
  • terminal interconnect metallization may be deposited into the openings.
  • work function metal 272 is deposited over gate dielectric 211.
  • work function metal 272 is deposited to a thickness such that work function metal portion 272C substantially fills the smaller (sub threshold) openings 805 on one side of fin 110.
  • function metal 272 is deposited on sidewalls of fins 110A-C.
  • a conformal deposition process e.g., CVD, ALD, etc.
  • the lateral dimension of larger openings 805 is sufficient for a nominal thickness of work function metal to be deposited at a bottom of the openings with work function metal portions 272A each having a sidewall thicknesses T4, the sum of which is smaller than the sum of Tl and T3.
  • Terminal interconnect metallization then continues with formation of a conductive via to an underlying metallization layer and/or device.
  • FIG. 10 illustrates a structure 1001 that includes structure 901 with the addition of via openings.
  • work function metal 272 is etched with a maskless (e.g.,“self-aligned”) anisotropic etch suitable for forming conductive spacers from work function metal portions 272A and 272B.
  • a portion of dielectric material 190 is exposed at a bottom of those openings 805 which had sufficient lateral width. Any suitable dielectric etch may then be performed, for example to etch via openings 1050A,
  • FIG. 11 illustrates structures 103 that include structure 1001 with the addition of conductive vias.
  • a metal fill process is performed, which substantially backfills the via openings 1050A-C, electrically coupling the terminal with an underlying device.
  • Structures 103 have substantially the same features introduced above (e.g., in the context of FIG. 1).
  • Any deposition process, plating process, etc. may be employed to forming interconnect fill metallization within openings 805. Structures 103 are then ready to continue through any desired downstream processing.
  • FIG. 12 illustrates a mobile computing platform and a data server machine employing a processor 1250 including stacked device circuitry with asymmetrical terminal
  • the server machine 1206 may be any commercial server, for example including any number of high-performance computing platforms disposed within a rack and networked together for electronic data processing.
  • the mobile computing platform 1205 may be any portable device configured for each of electronic data display, electronic data processing, wireless electronic data transmission, or the like.
  • the mobile computing platform 1205 may be any of a tablet, a smart phone, laptop computer, etc., and may include a display screen (e.g., a capacitive, inductive, resistive, or optical touchscreen), a chip-level or package-level integrated system 1210, and a battery 1215.
  • a substrate 1260 includes stacked processor circuitry 1240 (e.g., a microprocessor, a multi-core microprocessor, graphics processor, or the like).
  • the stacked circuitry may include vertically stacked transistors with asymmetric terminal interconnects, for example as described elsewhere herein.
  • substrate 1260 is a semiconductor chip.
  • substrate 1260 may be any package substrate, or an interposer.
  • Processor circuitry 1240, or a separate RFIC chip may be further coupled to an antenna (not shown) to implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 1302.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond.
  • FIG. 13 is a functional block diagram of an electronic computing device 1300, in accordance with some embodiments.
  • Computing device 1300 may be found inside platform 1205 or server machine 1206, for example.
  • Device 1300 further includes a motherboard 1302 hosting a number of components, such as, but not limited to, a processor 1304 (e.g., an applications processor), which may further incorporate asymmetric terminal interconnects, for example in accordance with embodiments described herein.
  • Processor 1304 may be physically and/or electrically coupled to motherboard 1302.
  • processor 1304 includes an integrated circuit die packaged within the processor 1304.
  • the term“processor” or“microprocessor” may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be further stored in registers and/or memory.
  • one or more communication chips 1306 may also be physically and/or electrically coupled to the motherboard 1302. In further implementations,
  • computing device 1300 may include other components that may or may not be physically and electrically coupled to motherboard 1302. These other components include, but are not limited to, volatile memory (e.g., MRAM 1330, DRAM 1332), non-volatile memory (e.g., ROM 1335), flash memory, a graphics processor 1322, a digital signal processor, a crypto processor, a chipset, an antenna 1325, touchscreen display 1315, touchscreen controller 1375, battery 1310, audio codec, video codec, power amplifier 1321, global positioning system (GPS) device 1340, compass 1345, accelerometer, gyroscope, audio speaker 1320, camera 1341, and mass storage device (such as hard disk drive, solid-state drive (SSD), compact disk (CD), digital versatile disk (DVD), and so forth), or the like.
  • volatile memory e.g., MRAM 1330, DRAM 1332
  • non-volatile memory e.g., ROM 1335
  • flash memory e.g., NAND 1345
  • Communication chips 1306 may enable wireless communications for the transfer of data to and from the computing device 1300.
  • the term“wireless” and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not.
  • Communication chips 1306 may implement any of a number of wireless standards or protocols, including but not limited to those described elsewhere herein.
  • computing device 1300 may include a plurality of communication chips 1306. For example, a first communication chip may be dedicated to shorter-range wireless communications, such as Wi-Fi and Bluetooth, and a second communication chip may be dedicated to longer-range wireless
  • communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • the above embodiments are not limited in this regard and, in various implementations, the above embodiments may include the undertaking only a subset of such features, undertaking a different order of such features, undertaking a different combination of such features, and/or undertaking additional features than those features explicitly listed.
  • the scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

La présente invention concerne une interconnexion de terminal d'un dispositif positionnée de manière asymétrique par rapport à un ou plusieurs corps semi-conducteurs du dispositif. Une différence entre une empreinte de l'interconnexion de terminal et l'empreinte d'un corps semi-conducteur se situe principalement sur un côté du terminal. La partie d'interconnexion de terminal adjacente à un côté de la structure de dispositif peut s'étendre à une profondeur supérieure sans dépasser un rapport d'aspect de seuil. Dans certains exemples, une interconnexion de terminal couplée à une borne de grille, de source ou de drain d'un finFET dans un dispositif empilé verticalement est positionnée de façon asymétrique par rapport à une ailette semi-conductrice. Une partie de l'interconnexion de borne adjacente à l'ailette peut s'étendre jusqu'à une profondeur au-dessous d'un plan de l'ailette et croiser une autre interconnexion, ou un autre terminal de dispositif, qui est dans un autre plan du dispositif empilé.
PCT/US2018/016262 2018-01-31 2018-01-31 Terminaux de dispositif asymétriques pour interconnexion 3d d'un dispositif empilé WO2019152026A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
PCT/US2018/016262 WO2019152026A1 (fr) 2018-01-31 2018-01-31 Terminaux de dispositif asymétriques pour interconnexion 3d d'un dispositif empilé

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2018/016262 WO2019152026A1 (fr) 2018-01-31 2018-01-31 Terminaux de dispositif asymétriques pour interconnexion 3d d'un dispositif empilé

Publications (1)

Publication Number Publication Date
WO2019152026A1 true WO2019152026A1 (fr) 2019-08-08

Family

ID=67478951

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2018/016262 WO2019152026A1 (fr) 2018-01-31 2018-01-31 Terminaux de dispositif asymétriques pour interconnexion 3d d'un dispositif empilé

Country Status (1)

Country Link
WO (1) WO2019152026A1 (fr)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113380703A (zh) * 2020-05-28 2021-09-10 台湾积体电路制造股份有限公司 制造半导体器件的方法和由此制造的半导体器件
WO2024003212A1 (fr) * 2022-06-30 2024-01-04 International Business Machines Corporation Contact de grille arrière auto-aligné pour intégration de ligne de signal arrière

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160211369A1 (en) * 2013-09-26 2016-07-21 Intel Corporation Vertical Non-Planar Semiconductor Device for System-on-Chip (SoC) Applications
US20160276437A1 (en) * 2015-03-12 2016-09-22 International Business Machines Corporation Asymmetric fet
US20160379893A1 (en) * 2015-06-24 2016-12-29 International Business Machines Corporation Integrated circuit (ic) with offset gate sidewall contacts and method of manufacture
WO2017171842A1 (fr) * 2016-04-01 2017-10-05 Intel Corporation Cellules de transistor comprenant un trou d'interconnexion profond recouvert d'un matériau diélectrique
US20170358497A1 (en) * 2016-06-09 2017-12-14 International Business Machines Corporation Fabrication of a vertical transistor with self-aligned bottom source/drain

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160211369A1 (en) * 2013-09-26 2016-07-21 Intel Corporation Vertical Non-Planar Semiconductor Device for System-on-Chip (SoC) Applications
US20160276437A1 (en) * 2015-03-12 2016-09-22 International Business Machines Corporation Asymmetric fet
US20160379893A1 (en) * 2015-06-24 2016-12-29 International Business Machines Corporation Integrated circuit (ic) with offset gate sidewall contacts and method of manufacture
WO2017171842A1 (fr) * 2016-04-01 2017-10-05 Intel Corporation Cellules de transistor comprenant un trou d'interconnexion profond recouvert d'un matériau diélectrique
US20170358497A1 (en) * 2016-06-09 2017-12-14 International Business Machines Corporation Fabrication of a vertical transistor with self-aligned bottom source/drain

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113380703A (zh) * 2020-05-28 2021-09-10 台湾积体电路制造股份有限公司 制造半导体器件的方法和由此制造的半导体器件
CN113380703B (zh) * 2020-05-28 2024-05-07 台湾积体电路制造股份有限公司 制造半导体器件的方法和由此制造的半导体器件
WO2024003212A1 (fr) * 2022-06-30 2024-01-04 International Business Machines Corporation Contact de grille arrière auto-aligné pour intégration de ligne de signal arrière

Similar Documents

Publication Publication Date Title
US20220336284A1 (en) Vertically stacked finfets & shared gate patterning
US20220254681A1 (en) Transistor cells including a deep via lined with a dielectric material
JP6415686B2 (ja) ボイドにより破壊を加速させたmos型アンチヒューズ
CN107293640B (zh) 半导体器件及其形成方法
US11688637B2 (en) Wrap-around contact structures for semiconductor fins
CN117457652A (zh) 具有全环栅器件的自对准栅极端盖(sage)架构
US11791257B2 (en) Device terminal interconnect structures
TW201742194A (zh) 用於整合磁性隨機存取記憶體裝置的互連覆蓋程序及所得結構
US11869894B2 (en) Metallization structures for stacked device connectivity and their methods of fabrication
US11715737B2 (en) Metal fuse and self-aligned gate edge (SAGE) architecture having a metal fuse
US10541143B2 (en) Self-aligned build-up of topographic features
EP4141919A1 (fr) Isolation grille à grille pour une architecture de transistors empilés via une structure de diélectrique déposée de manière sélective
WO2019152026A1 (fr) Terminaux de dispositif asymétriques pour interconnexion 3d d'un dispositif empilé
US11776898B2 (en) Sidewall interconnect metallization structures for integrated circuit devices
EP4135019A1 (fr) Isolation des grilles pour l'architecture de transistors empilés par l'intermédiaire d'une structure de dépôt non sélectif de diélectriques
CN115799319A (zh) 用于n金属氧化物半导体(mos)性能调谐的晶体管结构中的栅极端盖和边界放置
EP4020527A1 (fr) Structures d'interconnexion auto-alignées et leurs procédés de fabrication
US11063151B2 (en) Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures
US20230067715A1 (en) Integrated circuit device and method for fabricating the same
US20220199544A1 (en) Cap structure for interconnect dielectrics and methods of fabrication

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18903908

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 18903908

Country of ref document: EP

Kind code of ref document: A1