WO2019132961A1 - Ensembles microélectroniques - Google Patents

Ensembles microélectroniques Download PDF

Info

Publication number
WO2019132961A1
WO2019132961A1 PCT/US2017/068906 US2017068906W WO2019132961A1 WO 2019132961 A1 WO2019132961 A1 WO 2019132961A1 US 2017068906 W US2017068906 W US 2017068906W WO 2019132961 A1 WO2019132961 A1 WO 2019132961A1
Authority
WO
WIPO (PCT)
Prior art keywords
die
double
sided
layer
dies
Prior art date
Application number
PCT/US2017/068906
Other languages
English (en)
Inventor
Shawna M. Liff
Adel A. ELSHERBINI
Johanna M. SWAN
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to PCT/US2017/068906 priority Critical patent/WO2019132961A1/fr
Publication of WO2019132961A1 publication Critical patent/WO2019132961A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14634Assemblies, i.e. Hybrid structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • H01L23/49844Geometry or layout for devices being provided for in H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/1469Assemblies, i.e. hybrid integration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16237Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92224Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress

Definitions

  • Integrated circuit devices e.g., dies
  • Integrated circuit devices are typically coupled together to integrate features or functionality and to facilitate connections to other components, such as circuit boards.
  • current techniques for coupling integrated circuit devices are limited by manufacturing, device size, thermal considerations, and interconnect congestion, which may impact costs and implementations.
  • FIG. 1 is a side, cross-sectional view of an example microelectronic assembly, in accordance with various embodiments.
  • FIGS. 2A-2D are side, cross-sectional views of example dies that may be included in a microelectronic assembly, in accordance with various embodiments.
  • FIG. 3 is a bottom view of an example die that may be included in a microelectronic assembly, in accordance with various embodiments.
  • FIGS. 4A-4E are side, cross-sectional views of various stages in an example process for manufacturing the microelectronic assembly of FIG. 1, in accordance with various embodiments.
  • FIG. 5 is a side, cross-sectional view of another example microelectronic assembly, in accordance with various embodiments.
  • FIGS. 6A-6G are side, cross-sectional views of various stages in an example process for manufacturing the microelectronic assembly of FIG. 5, in accordance with various embodiments.
  • FIG. 7 is a side, cross-sectional view of another example microelectronic assembly, in accordance with various embodiments.
  • FIG. 8 is a side, cross-sectional view of another microelectronic assembly, in accordance with various embodiments.
  • FIG. 9 is a side, cross-sectional view of another microelectronic assembly, in accordance with various embodiments.
  • FIG. 10 is a top view of another microelectronic assembly, in accordance with various embodiments.
  • FIGS. 11A-11D are side, cross-sectional views of various stages in an example process for manufacturing the microelectronic assembly of FIG. 9, in accordance with various embodiments.
  • FIG. 12 is a top view of a wafer and dies that may include a microelectronic assembly, in accordance with any of the embodiments disclosed herein.
  • FIG. 13 is a cross-sectional side view of an integrated circuit (1C) device that may be included in a microelectronic assembly, in accordance with any of the embodiments disclosed herein.
  • FIG. 14 is a cross-sectional side view of one example type of a double-sided 1C device that may be included in a microelectronic assembly, in accordance with any of the embodiments disclosed herein.
  • FIG. 15 is a cross-sectional side view of an 1C device assembly that may include a
  • microelectronic assembly in accordance with any of the embodiments disclosed herein.
  • FIG. 16 is a block diagram of an example electrical device that may include a microelectronic assembly, in accordance with any of the embodiments disclosed herein.
  • a microelectronic assembly may include a first die comprising a first face and a second face; and a second die, the second die comprising a first face and a second face, wherein the second die further comprises a plurality of first conductive contacts at the first face and a plurality of second conductive contacts at the second face, and the second die is between first- level interconnect contacts of the microelectronic assembly and the first die.
  • a microelectronic assembly may include a backside illuminated image sensor comprising a pixel array layer and a logic layer; and a double-sided die coupled to the logic layer by interconnects, wherein the logic layer is between the double-sided die and the pixel array layer.
  • a multi-die integrated circuit (1C) package is challenging due to the increasingly small size of such dies, thermal constraints, and power delivery constraints, among others.
  • Various ones of the embodiments disclosed herein may help achieve reliable attachment of multiple 1C dies at a lower cost, with improved power efficiency, with higher bandwidth, and/or with greater design flexibility, relative to conventional approaches.
  • Various ones of the microelectronic assemblies disclosed herein may exhibit better power delivery and signal speed while reducing the size of the package relative to conventional approaches.
  • the microelectronic assemblies disclosed herein may be particularly advantageous for small and low-profile applications in computers, tablets, industrial robots, server architectures, consumer electronics (e.g., wearable devices), and/or any other devices that may include heterogeneous technology integration.
  • the phrase “A and/or B” means (A), (B), or (A and B).
  • the phrase “A, B, and/or C” means (A), (B), (C), (A and B), (A and C), (B and C), or (A, B, and C).
  • the drawings are not necessarily to scale. Although many of the drawings illustrate rectilinear structures with flat walls and right-angle corners, this is simply for ease of illustration, and actual devices made using these techniques will exhibit rounded corners, surface roughness, and other features.
  • the phrase “between X and Y” represents a range that includes X and Y.
  • the phrase “FIG. 4" may be used to refer to the collection of drawings of FIGS. 4A-4E
  • the phrase “FIG. 6” may be used to refer to the collection of drawings of FIGS. 6A-6G, etc. although certain elements may be referred to in the singular herein, such elements may include multiple sub-elements.
  • an insulating material may include one or more insulating materials.
  • a "conductive contact” may refer to a portion of conductive material (e.g., metal) serving as an electrical interface between different components; conductive contacts may be recessed in, flush with, or extending away from a surface of a component, and may take any suitable form (e.g., a conductive pad, socket, bump, or pillar, or portion of a conductive line or via).
  • conductive material e.g., metal
  • conductive contacts may be recessed in, flush with, or extending away from a surface of a component, and may take any suitable form (e.g., a conductive pad, socket, bump, or pillar, or portion of a conductive line or via).
  • FIG. 1 is a side, cross-sectional view of a microelectronic assembly 100, in accordance with various embodiments. A number of elements are illustrated in FIG. 1 as included in the
  • microelectronic assembly 100 but a number of these elements may not be present in a
  • FIG. 1 illustrates a number of elements that are omitted from subsequent drawings for ease of illustration, but may be included in any of the microelectronic assemblies disclosed herein. Examples of such elements include the second-level interconnects 162 and/or the package substrate 160. Many of the elements of the microelectronic assembly 100 of FIG. 1 are included in other ones of the accompanying figures; the discussion of these elements is not repeated when discussing these figures, and any of these elements may take any of the forms disclosed herein.
  • individual ones of the microelectronic assemblies disclosed herein may serve as a system-in-package (SiP) in which multiple dies 102 and double-sided dies 130 having different functionality are included.
  • SiP system-in-package
  • the microelectronic assembly 100 may be referred to as a SiP.
  • the microelectronic assembly 100 may include a double-sided die 130-1 coupled to a die 102 at a first face 104 of the die 102 and at a first face 132-1 of the double-sided die 130-1 by die-to-die (DTD) interconnects 140-1.
  • the first face 104 of die 102 may include a set of conductive contacts 118-1 and the first face 132-1 of the double-sided die 130-1 may include a set of conductive contacts 136-1.
  • the conductive contacts 118-1 at the first face 104 of die 102 may be electrically and mechanically coupled to the conductive contacts 136-1 at the first face 132-1 of the double sided die 130-1 by DTD interconnects 140-1.
  • the first face 104 of die 102 may also include conductive contacts 116 to electrically couple the die 102 to one or more interconnect structures 114 of a routing layer, such as a redistribution layer (RDL) 112 shown in the embodiment of FIG. 1.
  • the double-sided die 130-1 may also include conductive contacts 138-1 at a second face 134-1 of the double-sided die 130-1.
  • the conductive contacts 138-1 at the second face 134-1 of the die 130-1 may electrically couple the die double-sided 130-1 to one or more interconnect structures 114 of the redistribution layer 112.
  • die 102 may also be a double-sided die.
  • a double-sided die is a die that has interconnect layers (e.g., a metallization stack) on both sides (e.g., a "top" side and an opposing "bottom” side) of a device layer (which can potentially include multiple device layers) of the die.
  • interconnect layers e.g., a metallization stack
  • a device layer which can potentially include multiple device layers
  • a device layer (which can potentially include multiple device layers) may be sandwiched by two metallization stacks providing conductive pathways between the device layer and the conductive contacts at the faces of the die, or by a metallization stack providing conductive pathways between the device layer and the conductive contacts at one face of the die and a semiconductor substrate with TSVs providing conductive pathways between the device layer and the conductive contacts at the other face of the die.
  • a die may be double-sided in the sense that circuitry for the double-sided die may have interconnect layers and associated conductive contacts on both sides of the device layer (or layers).
  • the redistribution layer 112 may include an insulating material (e.g., a dielectric material formed in multiple layers, as known in the art) and one or more conductive pathways, referred to herein as interconnect structures 114, through the dielectric material (e.g., including conductive traces and/or conductive vias).
  • an insulating material e.g., a dielectric material formed in multiple layers, as known in the art
  • interconnect structures 114 e.g., including conductive traces and/or conductive vias
  • the insulating material of the redistribution layer may be composed of dielectric materials, bismaleimide triazine (BT) resin, polyimide materials, epoxy materials (e.g., glass reinforced epoxy matrix materials, epoxy build-up films, or the like), mold materials, oxide-based materials (e.g., silicon dioxide or spin on oxide), or low-k and ultra low-k dielectric (e.g., carbon-doped dielectrics, fluorine-doped dielectrics, porous dielectrics, and organic polymeric dielectrics).
  • the redistribution layer 112, via interconnect structures 114 may provide for the ability to fan-out or fan-in composite to package interconnects (e.g., first-level interconnects 142).
  • interconnects providing electrical connectivity between die 102 and package substrate 160 that may lie inside the X-Y area of die 102 may be considered fan-in interconnects.
  • interconnects providing electrical connectivity between double-sided die 130-1 and package substrate 160 that may lie outside the X-Y area of double-sided die 130-1 may be considered fan-out interconnects.
  • Interconnect structures 114 of the redistribution layer 112 may extend between or among any dies 102/130 and conductive contacts 120 of the redistribution layer 112.
  • Conductive contacts 120 of the redistribution layer 112 may be electrically and mechanically coupled to conductive contacts (not shown) of the package substrate 160 by first-level interconnects 142.
  • Any of the conductive contacts disclosed herein e.g., the conductive contacts 116, 118-1, 118-2, 118-3, 136-1, 136-2, 136-3, 138-1, 138-2, 138-3, and/or 120
  • one or more of the interconnect structures 114 of the redistribution layer 112 may extend between one or more conductive contacts 116 at the first face 104 of the die 102 and one or more conductive contacts 120 of the redistribution layer 112 to provide electrical interconnection between the die 102 and the conductive contacts.
  • one or more of the interconnect structures 114 of the redistribution layer 112 may extend between a conductive contact at the second face of a die coupled to die 102, such as a conductive contact 138- 1 at a second face 134-1 of double-sided die 130-1, and one or more conductive contacts 120 of the redistribution layer 112 to provide electrical interconnection among the conductive contacts.
  • one or more interconnect structures 114 of the redistribution layer 112 may electrically interconnect two or more conductive contacts 116 at the first face 104 of the die 102 and one or more conductive contacts 120 of the redistribution layer 112 to provide electrical interconnection among the conductive contacts.
  • one or more interconnect structures 114 of the redistribution layer 112 may electrically interconnect two or more conductive contacts at the second face of a die (e.g., conductive contacts 138-3 at the second face 134-3 of double-sided die 130-3) coupled to die 102 and one or more conductive contacts 120 of the redistribution layer 112 to provide electrical interconnections among the conductive contacts.
  • one or more interconnect structures 114 of the redistribution layer 112 may electrically interconnect one or more conductive contacts 116 at the first face 104 of the die 102 and one or more conductive contacts at the second face of one or more dies coupled to die 102.
  • the dies 102/130 may include circuitry, which may include one or more device layers including active or passive circuitry (e.g., transistors, diodes, resistors, inductors, capacitors, among others) and one or more interconnect layers (e.g., as discussed below with reference to FIGS. 13-14). In various embodiments, one or more interconnect layers may be present on one or both sides of circuitry for dies 102/130 (e.g., as discussed below with reference to FIGS. 13-14). In some embodiments, the double-sided die 130-1 may be the source and/or destination of signals communicated between the double-sided die 130-1 and other double-sided dies 130 and/or die 102 included in the microelectronic assembly 100.
  • active or passive circuitry e.g., transistors, diodes, resistors, inductors, capacitors, among others
  • interconnect layers e.g., as discussed below with reference to FIGS. 13-14.
  • one or more interconnect layers may be present on one or both sides of circuit
  • interconnect layers for a die may include conductive pathways to route power, ground, and/or signals between different ones of the double-sided dies 130 and die 102, between die 102 and one or more conductive contacts 120 of the redistribution layer 112, and/or between different ones of double-sided dies 130 and one or more conductive contacts 120 of the
  • the double-sided die 130-1 may couple directly to power and/or ground lines in the redistribution layer 112. By allowing the double-sided die 130-1 to couple directly to power and/or ground lines in the redistribution layer 112, such power and/or ground lines need not be routed through the die 102, allowing the die 130-1 to be made smaller or to include more active circuitry or signal pathways.
  • the larger interconnect structures 114 of the redistribution layer 112 can, in some embodiments, provide direct power delivery to all components (e.g., double-sided dies 130) coupled to the die 102 rather than routing power and/or ground through die 102.
  • FIG. 1 illustrates a specific number and arrangement of interconnect structures 114 in the redistribution layer 112, these are simply illustrative and any suitable number and
  • interconnect structures 114 disclosed herein may be formed of any appropriate conductive material, such as copper, silver, nickel, gold, aluminum, or other metals or alloys, for example.
  • the dies 102/130 may include an insulating material (e.g., a dielectric material formed in multiple layers, or semiconductor material, as known in the art) and multiple conductive pathways formed through the insulating material.
  • the insulating material of a die 102/130 may include a dielectric material, such as BT resin, polyimide materials, glass reinforced epoxy matrix materials, oxide-based materials (e.g., silicon dioxide or spin on oxide), or low-k and ultra low-k dielectric (e.g., carbon-doped dielectrics, fluorine-doped dielectrics, porous dielectrics, and organic polymeric dielectrics).
  • one or more of the dies 102/130 may include a dielectric build-up film, such as epoxy or polyimide based dielectric build-up film.
  • the active material of dies 102/130 may be a semiconductor material, such as silicon, germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. Further active materials classified as group ll-VI, lll-V, or IV may also be used as the active substrate materials of dies 102/130.
  • dies 102/130 may also include a die substrate on one, both, or no sides of circuitry for a given die.
  • die 102 may include circuitry 110 and a die substrate 108 extending from the circuitry 110 to a second face 106 of the die 102.
  • the die substrate 108 may be a crystalline substrate formed using a bulk silicon or silicon-on-insulator (SOI) substructure, silicon carbide, etc. Other substrate materials may be used, as desired depending on design and/or implementation.
  • SOI silicon-on-insulator
  • thru-semiconductor vias which may include a conductive material via, such as a metal via, isolated from the surrounding substrate by a barrier material, such as oxide, may be included in the die substrate for a die (e.g., on one or both sides of the die) through which power, ground, and/or signals may be transmitted between a die and one or more other dies, package substrates (e.g., printed circuit boards), interposers, combinations thereof, or the like that may be interconnected with the die.
  • TSVs thru-semiconductor vias
  • the microelectronic assembly 100 of FIG. 1 may also include a double-sided die 130-2.
  • the double-sided die 130-2 may be electrically and mechanically coupled to die 102 by DTD
  • the first face 104 of die 102 may include a set of conductive contacts 118-2 and the first face 132-2 of the double-sided die 130-2 may include a set of conductive contacts 136-2.
  • the conductive contacts 118-2 at the first face 104 of die 102 may be electrically and mechanically coupled to the conductive contacts 136-2 at the first face 132-2 of the double sided die 130-2 by DTD interconnects 140-2.
  • the double-sided die 130-2 may also include conductive contacts 138-2 at a second face 134-2 of the double-sided die 130-2.
  • the conductive contacts 138-2 at the second face 134-2 of the die 130-2 may electrically couple the double-sided die 130-2 to one or more interconnect structures 114 of the redistribution layer 112.
  • the microelectronic assembly 100 of FIG. 1 may also include a double-sided die 130-3.
  • the double-sided die 130-3 may be electrically and mechanically coupled to die 102 by DTD
  • the first face 104 of die 102 may include a set of conductive contacts 118-3 and the first face 132-3 of the double-sided die 130-3 may include a set of conductive contacts 136-3.
  • the conductive contacts 118-3 at the first face 104 of die 102 may be electrically and mechanically coupled to the conductive contacts 136-3 at the first face 132-3 of the double sided die 130-3 by DTD interconnects 140-3.
  • the double-sided die 130-3 may also include conductive contacts 138-3 at a second face 134-3 of the double-sided die 130-3.
  • the conductive contacts 138-3 at the second face 134-3 of the die 130-3 may electrically couple the double-sided die 130-3 to one or more interconnect structures 114 of the redistribution layer 112.
  • die 102 may be referred to as a base, larger die and double-sided dies 130 may be referred to as smaller dies (in the sense that die 102 may have a larger X-Y area than the X-Y areas of each of individual ones of double-sided dies 130-1/130-2/130-3).
  • die 102 may be a single die or may be a composite die or monolithic 1C (sometimes referred to as a "3D 1C", “3D stack”, “3D monolithic 1C", combinations thereof, or the like).
  • the base, larger die 102 may include "coarser" conductive contacts 116 coupled to interconnect structures 114 of the redistribution layer 112 and "finer" conductive contacts 118 coupled to smaller double-sided dies 130.
  • the die 102 of the microelectronic assembly 100 may be a single-sided die (in the sense that the die 102 only has conductive contacts 116/118 on a single surface) and may be a mixed pitch die (in the sense that the die 102 has sets of die-to-routing layer conductive contacts 116 and DTD conductive contacts 118 with different pitch).
  • die 102 may accommodate mixed pitch DTD conductive contacts for different individual ones of double-sided dies 130-1, 130-2, and 130-3. Even further, die 102 may accommodate mixed pitch DTD conductive contacts for an individual one of the smaller dies, such as conductive contacts 136-1 of double-sided die 130-1.
  • dies 130 may be double-sided dies in the sense that circuitry for the double sided dies 130 have interconnect layers and conductive contacts on both sides of device layer (or layers).
  • Individual ones of double-sided dies 130-1, 130-2, 130-3, or any other double-sided dies discussed herein, may, in various embodiments, have same or different pitches on either side of the dies (e.g., conductive contacts 136-2 at the first face 132-2 of double-sided die 130-2 may have a different pitch than conductive contacts 138-2 at the second face 134-2 of double-sided die 130-2).
  • FIGS. 2A-2D the embodiment of FIG. 1 includes base die 102 as a single-sided die, in other embodiments, base die 102 may also be a double-sided die.
  • the pitch of coarser pitch conductive contacts may range between 40 microns and 200 microns. In general, coarser pitches are better for power delivery than finer pitches. In various embodiments, the pitch of finer pitch conductive contacts (e.g., conductive contacts 118 of double-sided dies 130) may range between 0.8 microns and 55 microns. In general, finer pitches are better for high bandwidth signaling than coarser pitches. In some embodiments, an underfill material 150 may extend between different ones of double-sided dies 130 and die 102 around associated DTD interconnects 140.
  • the underfill material 150 may be an insulating material, such as an appropriate epoxy material or carbon-doped or spin-on-dielectric or oxide.
  • the underfill material 150 may be an epoxy flux that assists with coupling the double-sided dies 130-1/130-2/130-3 to the die 102 when forming the DTD interconnects 140-1/140-2/140-3, and then polymerizes and
  • the underfill material 150 may be selected to have a coefficient of thermal expansion (CTE) that may mitigate or minimize the stress between the dies 102/130 arising from uneven thermal expansion in the microelectronic assembly 100.
  • CTE of the underfill material 150 may have a value that may be larger than the CTE of the die 102 (e.g., the CTE of the dielectric material of the die 102) and a CTE of the double-sided dies 130 if the modulus of the dies is low.
  • the microelectronic assembly 100 of FIG. 1 may also include a package substrate 160.
  • the microelectronic assembly 100 may be coupled to the package substrate 160 by first-level interconnects 142.
  • conductive contacts 120 of the redistribution layer 112 which may also be referred to as first-level interconnect contacts of the microelectronic assembly 100, may be electrically and mechanically coupled to conductive contacts (not shown) of the package substrate 160 by the first-level interconnects 142 using any suitable technique.
  • first-level interconnects 142 may be used (e.g., pins in a pin grid array arrangement, lands in a land grid array arrangement, wirebond, or copper pillar with solder cap, solder or non-solder).
  • the package substrate 160 may be coupled to a circuit board (not shown) by second- level interconnects 162 using any suitable technique.
  • solder balls e.g., for a ball grid array arrangement
  • any suitable second-level interconnects 162 may be used (e.g., solder, non-solder, pins in a pin grid array arrangement, lands in a land grid array arrangement, wirebond, or copper pillar with solder cap).
  • the package substrate 160 may include an insulating material (e.g., a dielectric material formed in multiple layers, as known in the art) and one or more conductive pathways through the dielectric material (e.g., including conductive traces and/or conductive vias, as shown).
  • the insulating material of the package substrate 160 may be a dielectric material, such as an organic dielectric material, a fire retardant grade 4 material (FR-4), BT resin, polyimide materials, glass reinforced epoxy matrix materials, or low-k and ultra low-k dielectric (e.g., carbon- doped dielectrics, fluorine-doped dielectrics, porous dielectrics, and organic polymeric dielectrics).
  • the package substrate 160 when the package substrate 160 is formed using standard printed circuit board (PCB) processes, the package substrate 160 may include FR-4, and the conductive pathways in the package substrate 160 may be formed by patterned sheets of copper separated by build-up layers of the FR- 4.
  • the conductive pathways in the package substrate 160 may be bordered by liner materials, such as adhesion liners and/or barrier liners, as suitable.
  • the DTD interconnects 140 disclosed herein may take any suitable form.
  • the DTD interconnects 140 may have a finer pitch than the connections to interconnect structures 114 of the redistribution layer 112 in a microelectronic assembly.
  • the dies 102/130 on either side of a set of DTD interconnects 140 may be unpackaged dies, and/or the DTD interconnects 140 may include small conductive bumps or pillars (e.g., copper bumps or pillars) attached to conductive contacts by solder.
  • a set of DTD interconnects 140 may include solder.
  • DTD interconnects 140 that include solder may include any appropriate solder material, such as any of the materials discussed above.
  • a set of DTD interconnects 140 may include an anisotropic conductive material, such as any of the materials discussed above.
  • the DTD interconnects 140 may be used as data transfer lanes, while
  • interconnections to interconnect structures 114 of the redistribution layer 112 may be used for power and ground lines, among others.
  • DTD interconnects 140 in a microelectronic assembly 100 may be metal-to-metal interconnects such as copper-to-copper interconnects, plated interconnects (e.g., copper, nickel, and/or gold capped pillar or pad with solder such as Sn, SnAg, Snln) or any other known metallurgy.
  • metal-to-metal interconnects such as copper-to-copper interconnects, plated interconnects (e.g., copper, nickel, and/or gold capped pillar or pad with solder such as Sn, SnAg, Snln) or any other known metallurgy.
  • the conductive contacts on either side may be bonded together without the use of intervening solder or an anisotropic conductive material.
  • Metal-to-metal interconnect techniques may include direct bonding or hybrid bonding, sometimes referred to as diffusion bonding.
  • a first die or wafer (if die are redistributed) having a pristine, planar, and active surface may be placed, typically at room temperature, on a second die or wafer also having a pristine, planar, and active surface (e.g., to perform die-to-wafer bonding, die-to-die bonding, or wafer-to-wafer bonding).
  • a force is applied to the dies (in batch) and/or wafers to form a van der Waals bond between the dies and/or wafers.
  • the bonded dies and/or wafers are then annealed at a high temperature (e.g., typically 150° Celsius (C) or higher) to form permanent bonds between the conductive contacts and between dielectric surfaces.
  • a dielectric material e.g., silicon oxide, silicon nitride, or silicon carbide, among others
  • conductive contacts may be bonded together under elevated pressure and/or temperature (e.g., thermal compression bonding, typically performed at temperatures greater than 150° C and greater than 20 megapascals (MPa), which may vary depending on bump pitch, materials, etc.).
  • MPa megapascals
  • a spin-on-dielectric material may be patterned around the conductive to fill any void spaces during bonding.
  • Metal-to-metal interconnects may be capable of reliably conducting a higher current than other types of interconnects; for example, some solder interconnects may form brittle intermetallic compounds when current flows, and the maximum current provided through such interconnects may be constrained to mitigate mechanical failure.
  • some or all of the DTD interconnects 140 in a microelectronic assembly 100 may be solder interconnects that include a solder with a higher melting point than a solder included in some or all of the first-level interconnects 142.
  • solder interconnects 140 in a microelectronic assembly 100 are formed before the first-level interconnects 142 are formed (e.g., as discussed below with reference to FIGS.
  • solder-based DTD interconnects 140 may use a higher temperature solder (e.g., with a melting point above 200° C), while the first-level interconnects 142 may use a lower temperature solder (e.g., with a melting point below 200° C).
  • a higher-temperature solder may include tin; tin and gold; or tin, silver, and copper (e.g., 96.5 % tin, 3% silver, and 0.5% copper).
  • a lower-temperature solder may include tin and bismuth (e.g., eutectic tin bismuth) or tin, silver, and bismuth.
  • a lower-temperature solder may include indium, indium and tin, or gallium.
  • the interconnect may be designed to convert in its entirety such that the subsequent first level reflow may not impact this interconnect even if the formulations are identical.
  • the DTD interconnects 140 may vary in distance 180 ranging from the sub-ten microns to the low tens of microns.
  • the distance 180 may extend between the first face 104 of the die 102 and any first face 132 of any of individual ones of double-sided dies 130. Distances 180 between die 102 and individual ones of double-sided dies 130 may be different among the double-sided dies 130.
  • the distance 180 may range between 1.5 microns and 10 microns or less.
  • solder interconnects are used, the distance 180 may range between 4 microns and 40 microns.
  • interconnecting dies using DTD interconnects 140 may provide various advantages as compared to interconnecting dies using other interconnect techniques such as side-by-side interconnects.
  • parasitics e.g., parasitic capacitances or parasitic resistances
  • long interconnects degrade operating performance of interconnected dies more than short interconnects through one or more of: reducing signaling bandwidth between dies, inducing insertion loss, inducing cross-talk interference between or among signals
  • interconnects are typically routed down from one die, through a substrate, over, and back up to another die, which may create a long transmission line that may cause parasitics to be induced among the interconnects.
  • DTD interconnects 140 may provide one or more advantages in comparison to other interconnect techniques including, but not limited to, providing shorter interconnect distances, which may reduce parasitics for interconnected dies.
  • the elements of the microelectronic assembly 100 and/or other microelectronic assemblies disclosed herein may have any suitable dimensions.
  • individual ones of double-sided dies 130 may range in thickness 182 from 10 microns to 75 microns.
  • ultrathin dies may range in thickness from 10 microns to 30 microns.
  • the microelectronic assembly 100 may include individual ones of double-sided dies 130 having a same or different thickness, as discussed in further detail herein.
  • the base die 102 may range in thickness between 50 and 780 microns.
  • the redistribution layer 112 may range in thickness 184 between 15 microns and 100 microns and may depend on the thicknesses of the double-sided dies 130.
  • the microelectronic assembly 100 and/or other microelectronic assemblies disclosed herein may, in some embodiments, advantageously provide for incorporating mixed node (e.g., different process technologies such as 10 nanometer (nm), 14 nm, 28 nm, etc.) and/or heterogeneous technology integration (e.g., GaN versus radio frequency (RF) complementary metal- oxide-semiconductor (CMOS) versus SOI versus SiGe) into a composite die, packaged solution.
  • mixed node e.g., different process technologies such as 10 nanometer (nm), 14 nm, 28 nm, etc.
  • heterogeneous technology integration e.g., GaN versus radio frequency (RF) complementary metal- oxide-semiconductor (CMOS) versus SOI versus SiGe
  • CMOS complementary metal-oxide-semiconductor
  • minimum feature length scale for different process node technologies (e.g., 7 nm vs 28 nm) and different types of devices (e.g., very low power may use one type of transistors, very high power may use another type of transistors, etc.).
  • a technology node may refer to the minimum features size associated with a semiconductor process flow (e.g., transistor gate length and leakage or product attributes, etc.) formed using a particular semiconductor type, process, feature size, etc. Even further, some technology nodes may be better suited for analog devices, some for digital devices, some for optical devices, and so on.
  • an integrated device manufacturer typically selects the best technology node that suits a particular product or performance and, as a result, sub-optimizes the device types that are not best suited for the particular technology node.
  • microelectronic assembly 100 and/or other microelectronic assemblies disclosed herein may advantageously provide for integrating mixed nodes and/or heterogeneous technologies into a composite die, packaged solution, such as a composite die that may include double-sided dies 130 coupled to die 102 and the redistribution layer 112 providing fan- in and/or fan-out interconnect structures 114 to interconnect to a package substrate (e.g., package substrate 160).
  • a composite die may include double-sided dies 130 coupled to die 102 and the redistribution layer 112 providing fan- in and/or fan-out interconnect structures 114 to interconnect to a package substrate (e.g., package substrate 160).
  • microelectronic assembly 100 may advantageously provide for increased flexibility for integrating mixed nodes and/or heterogeneous technologies in which: a minimum area may be needed per integrated circuit function (e.g., the best process for low power RF may be used, the best process for digital static random access memory (SRAM) circuit shrink may be used, etc.); fine pitch interconnects may be used in high bandwidth areas (e.g., for DTD interconnects) to ease routing congestion issues; and/or direct power delivery may be provided with reduced power penalties (e.g., by using power and/or ground layers within the redistribution layer 112, as opposed to routing power and/or ground through die 102).
  • a minimum area may be needed per integrated circuit function (e.g., the best process for low power RF may be used, the best process for digital static random access memory (SRAM) circuit shrink may be used, etc.); fine pitch interconnects may be used in high bandwidth areas (e.g., for DTD interconnects) to ease routing congestion issues; and/or direct power delivery may be
  • microelectronic assembly 100 and/or other microelectronic assemblies disclosed herein may include improved thermal spreading for dies 130.
  • the base die 102 may be a thermal spreader for the small dies 130 leveraging the interconnect structures 114 as well.
  • the small dies 130 may be ultrathin dies
  • CTE matching between the base die 102 and the ultrathin dies may improve the robustness of the ultrathin dies.
  • the dies 102/130 included in a microelectronic assembly 100 may have any suitable structure.
  • FIGS. 2A-2D illustrate example ones of dies 200 that may be included in a microelectronic assembly 100.
  • the dies 200 illustrated in FIGS. 2A-2D may include a die substrate 202, one or more device layers 204, and/or one or more metallization stacks 206; these elements are discussed in further detail below with reference to FIGS 18-19.
  • FIG. 2A is a side, cross-sectional view of an example die 200-1, in accordance with various embodiments.
  • example die 200-1 may be die 102 of the embodiment of FIG. 1.
  • the die 200-1 may include a die substrate 202, one or more device layers 204, and a metallization stack 206.
  • the metallization stack 206 may be between conductive contacts 222 and the device layer 204, and the device layer 204 may be between the die substrate 202 and the metallization stack 206.
  • Conductive pathways through the metallization stack 206 may conductively couple devices (e.g., transistors) in the device layer 204 and the conductive contacts 222.
  • devices e.g., transistors
  • FIG. 2A the structure of the die 200-1 represented in FIG. 2A may be the structure of any suitable ones of the single-sided dies disclosed herein.
  • FIG. 2B is a side, cross-sectional view of an example die 200-2, in accordance with various embodiments.
  • the example die 200-2 may be any of the double-sided dies 130 of the embodiment of FIG. 1.
  • the die 200-2 may include a die substrate 202, one or more device layers 204, and a metallization stack 206.
  • the metallization stack 206 may be between conductive contacts 222 and the device layer 204
  • the device layer 204 may be between the die substrate 202 and the metallization stack 206
  • the die substrate 202 may be between the device layer 204 and the conductive contacts 224.
  • One or more TSVs 223 may extend through the die substrate 202.
  • FIG. 2C is a side, cross-sectional view of an example die 200-3, in accordance with various embodiments.
  • the example die 200-3 may be any of the double-sided dies 130 of the embodiment of FIG. 1.
  • the die 200-3 may include a die substrate 202, one or more device layers 204, and a metallization stack 206.
  • the metallization stack 206 may be between the conductive contacts 224 and the device layer 204
  • the device layer 204 may be between the die substrate 202 and the metallization stack 206
  • the die substrate 202 may be between the device layer 204 and the conductive contacts 222.
  • One or more TSVs 223 may extend through the die substrate 202.
  • Conductive pathways through the metallization stack 206 may conductively couple devices in the device layer 204 and the conductive contacts 224, while the TSVs 223 may conductively couple devices in the device layer 204 and the conductive contacts 222.
  • the structure of the die 200-2 represented in FIG. 2B may be the structure of any suitable ones of the double-sided dies disclosed herein.
  • FIG. 2D is a side, cross-sectional view of an example die 200-4, in accordance with various embodiments.
  • the example die 200-4 may be any of the double-sided dies 130 of the embodiment of FIG. 1.
  • the die 200-4 may include a first metallization stack 206-1, one or more device layers 204, and a second metallization stack 206-2.
  • the first metallization stack 206-1 may be between the conductive contacts 222 and the device layer 204
  • the device layer 204 may be between the first metallization stack 206-1 and the second metallization stack 204-2
  • the second metallization stack 206-2 may be between the device layer 204 and the conductive contacts 224.
  • Conductive pathways through the first metallization stack 206-1 may conductively couple devices in the device layer 204 and the conductive contacts 222
  • the conductive pathways through the second metallization stack 206-2 may conductively couple devices in the device layer 204 and the conductive contacts 224.
  • the device layer 204 may first be fabricated on a die substrate 202 (e.g., as discussed below for FIG.
  • one metallization stack 206 may be formed on the device layer 204 (e.g., as discussed below for FIG. 13), then the bulk of the die substrate 202 may be removed and the second metallization stack 206-2 formed on the other side of the device layer 204.
  • the dies discussed herein may have structures other than those depicted in FIGS. 2A-2D.
  • a double-sided die 130 may have a structure similar to that depicted in FIG. 2D, and further including a die substrate (and TSVs therein) between the first metallization stack and the conductive contacts.
  • microelectronic assembly 100 and/or other microelectronic assemblies disclosed herein may be realized through integrating double-sided dies into microelectronic assemblies. For example, transistor density may be reduced for dies having TSVs because there are "restricted zones" in the device layers that surround TSVs in which transistors cannot be placed. Whereas for dies having no TSVs conductive pathways through metallization stacks can "land" on different layers within the device layers of a die without effecting transistor density of the device layer of the die. Thus, embodiments of microelectronic assembly 100 and/or other microelectronic assemblies disclosed herein may facilitate new 3D monolithic integration approaches that may provide more freedom for integrating mixed nodes and/or heterogeneous technologies having less perforation of device layers.
  • FIG 3 is a bottom view of an example die 400 that may be included in microelectronic assemblies discussed herein, in accordance with various embodiments.
  • die 400 may be a larger, base die to which a number of smaller dies (not shown) may be coupled.
  • Die 400 may include a number of "landing zones" 410 that include DTD conductive contacts 404 arranged in a particular footprint (e.g., a pattern or arrangement of conductive contacts) that facilitates coupling smaller dies to the base die 400 at the landing zones 410.
  • a particular footprint e.g., a pattern or arrangement of conductive contacts
  • FIG. 3 illustrates six (6) landing zones 410-1/410-2/410-3/410- 4/410-5/410-6 to accommodate coupling six dies to die 400, it is to be understood that any number of one or more dies may be coupled to a base die according to embodiments disclosed herein depending on size, design, implementation, thermal, and/or any other relevant considerations.
  • die 400 can include "coarser" pitch conductive contacts 402 having a pitch Pi to interconnect die 400 to a package substrate (e.g., package substrate 160 of FIG. 1). Die 400 can further include "finer" pitch conductive contacts 404 to interconnect smaller dies (not shown) to die 400 at landing zones 410.
  • a first landing zone 410-1 may include first conductive contacts arranged in a particular footprint having a pitch P 2 , which may be a finer pitch than pitch Pi.
  • a second landing zone 410-2 may include second conductive contacts 404-2 arranged in a particular footprint.
  • a third landing zone 410-3 may include third conductive contacts 404-3 arranged in a particular footprint.
  • a fourth landing zone 410-4 may include fourth conductive contacts 404-4 arranged in a particular footprint.
  • a fifth landing zone 410- 5 may include fifth conductive contacts 404-5.
  • a sixth landing zone 410-6 may include sixth conductive contacts 404-6 arranged in a particular footprint.
  • the sixth landing zone 410-6 may include mixed pitch conductive contacts having pitches P 2 and P 3 , which may be different pitches.
  • the fifth landing zone 410-5 may also include mixed pitch conductive contacts having a different footprint than the footprint of the sixth landing zone.
  • a landing zone can correspond to the X-Y dimensions of a particular die.
  • fourth landing zone 410-4 may have X-Y dimensions corresponding to the X-Y dimensions of the particular die to be coupled to die 400 at the fourth conductive contacts 404-4.
  • die 400 may have an X-Y area that is larger than the X-Y area of individual ones of dies to be coupled at the landing zones 410.
  • FIGS. 4A-4E are side, cross-sectional views of various stages in an example process for manufacturing the microelectronic assembly of FIG. 1, in accordance with various embodiments.
  • FIGS. 4A-4E are side, cross-sectional views of various stages in an example process for manufacturing the microelectronic assembly of FIG. 1, in accordance with various embodiments.
  • FIGS. 4A-4E are side, cross-sectional views of various stages in an example process for manufacturing the microelectronic assembly of FIG. 1, in accordance with various embodiments.
  • FIGS. 4A-4E are side, cross-sectional views of various stages in an example process for manufacturing the microelectronic assembly of FIG. 1, in accordance with various embodiments.
  • FIGS. 4A-4E are side, cross-sectional views of various stages in an example process for manufacturing the microelectronic assembly of FIG. 1, in accordance with various embodiments.
  • FIGS. 4A-4E are side, cross-sectional views of various stages in an example process for manufacturing the microelectr
  • DTD interconnects 140 may be non-solder interconnects (e.g., metal-to-metal interconnects or anisotropic conductive material interconnects).
  • dies 102/130 may first be assembled into a "composite die," and then the composite die may be coupled to the package substrate 160.
  • a composite die may refer to a semiconductor structure in which multiple dies may be coupled together and assembled such that the assembly can be treated as a single die.
  • the assembly may have a planar surface with conductive contacts for first-level interconnects. This approach may allow for tighter tolerances in the formation of DTD interconnects 140, and may be particularly desirable for integrating relatively small dies into a composite die assembly.
  • FIG. 4A illustrates an assembly 500 including the die 102.
  • the die 102 is "upside down" in the sense that conductive contacts 116 and 118 at the first face 104 of die 102 are facing up.
  • the die 102 in the assembly 500 may be included in a wafer (not shown) that includes multiple copies of the die 102, while in other embodiments, the die 102 may be singulated from other dies 102 before inclusion in the assembly 500.
  • FIG. 4B illustrates an assembly 502 subsequent to coupling dies 130-1, 130-2, and 130-3 to die 102.
  • conductive contacts 136-1 at the first face 132-1 of die 130-1 may be coupled to conductive contacts 118-1 at the first face 104 of die 102 (e.g., via DTD interconnects 140-1).
  • Conductive contacts 136-2 at the first face 132-2 of die 130-2 may be coupled to conductive contacts 118-2 at the first face 104 of die 102 (e.g., via DTD interconnects 140-2).
  • Conductive contacts 136-3 at the first face 132-3 of die 130-3 may be coupled to conductive contacts 118-1 at the first face 104 of die 102 (e.g., via DTD interconnects 140-3).
  • Any suitable technique may be used to form the DTD interconnects 140 of the assembly 502, such as metal-to-metal attachment techniques, solder techniques, or anisotropic conductive material techniques.
  • DTD interconnects 140 may be formed using die-to-die or die-to-wafer bonding techniques. For example, when the assembly 500 includes a wafer of multiple ones of the dies 102, the dies 130 may be attached to the die 102 using one or more die-to-wafer bonding operations.
  • dies 130-1/130-2/130-3 may be re-distributed on a carrier using an adhesive and DTD interconnects 140 may be formed using wafer-to-wafer bonding techniques.
  • Individual ones of dies 130 may include a die substrate 139 extending from the second face 134 of the dies 130.
  • the die substrate 139-1/139-2/139-3 may range in thickness from 10 microns to 780 microns.
  • Underfill material 150 may be applied between individual ones of dies 130-1/130-2/130-3 and die 102 using any suitable technique.
  • FIG. 4C illustrates an assembly 504 subsequent to removing the die substrates 139 from individual ones of dies 130. Any suitable technique may be used to remove the die substrates including, but not limited to, chemical mechanical polishing (CMP), grinding, etching, debonding, or peeling, among others.
  • CMP chemical mechanical polishing
  • FIG. 4D illustrates an assembly 506 subsequent to forming the redistribution layer 112 including interconnect structures 114 extending between the conductive contacts 116 at the first face 104 of die 102 and the conductive contacts 120 of the redistribution layer 112 and extending between conductive contacts 138 at the second face 134 of individual ones of dies 130 and the conductive contacts 120 of the redistribution layer 112.
  • any suitable technique may be used to form the redistribution layer 112 including, but not limited to building up interconnect structures 114 by laminating or spinning on a dielectric material, and creating conductive vias and lines by laser drilling, lithography, and plating to provide DTD interconnects, fan-in interconnects, and/or fan-out interconnects among dies 102/130 and/or between dies 102/130 and conductive contacts 120 of the redistribution layer.
  • the assembly 506 may be referred to as a composite die.
  • FIG. 4E illustrates an assembly 508 subsequent to "flipping" the assembly 506 of FIG. 4D and coupling the assembly to the package substrate 160 using the first-level interconnects 142.
  • the first- level interconnects may take any of the forms disclosed herein (e.g., solder interconnects or anisotropic conductive material interconnects), and any suitable techniques may be used to form the first-level interconnects (e.g., a mass reflow process or a thermal compression bonding process).
  • the assembly 508 may take the form the microelectronic assembly 100 of FIG. 1.
  • FIG. 5 is a side, cross-sectional view of a microelectronic assembly 100 sharing a number of elements with FIG. 1 but including a first insulating layer 170, a second insulating layer 178, and a double-sided die 130-4.
  • interconnect structures 172 may be included in the first insulating layer 170 and the second insulating layer 178 to provide electrical interconnections among the dies 102/130, between the dies 102/130 and the RDL 112, or any combination thereof similar to interconnect structures 114 of the RDL 112.
  • interconnect structures 172 may be formed vertically or laterally (e.g., formed as conductive lines or vias). In some embodiments, interconnect structures 172 may be included in the first insulating layer 170 to electrically interconnect sets of conductive contacts 118 at the first face 104 of die 102 and sets of conductive contacts 136 at the first face 132 of individual ones of double sided dies 130 (e.g., via interconnects 141). In some embodiments, interconnect structures 172 may be included in the first insulating layer to interconnect various ones of double-sided dies 130 (e.g., to interconnect double-sided dies 130-4 and 130-3, as shown in the embodiment of FIG. 5).
  • Interconnect structures 172 may also be included in the second insulating layer 178 to provide electrical interconnections horizontally or vertically as discussed herein.
  • double-sided die 130-4 may have a thickness 182-4 that is different than the thickness 182-3 of double-sided die 130-3.
  • the second insulating layer 178 may be formed to a thickness 188 to account for topology differences (e.g., different distances of the second face 134 of each die 130 from the first insulating layer 170 due to die thickness differences) among individual ones of double-sided dies 130 coupled to the die 102 via the first insulating layer 170.
  • the first insulating layer 170 and the second insulating layer 178 may be composed of dielectric materials, mold materials, epoxy materials (e.g., glass reinforced epoxy matrix materials, epoxy build-up films, or the like), polyimide materials, or oxide-based materials (e.g., silicon dioxide or spin on oxide).
  • the first insulating layer 170 may range in thickness 186 from 1 micron to 40 microns.
  • finer pitch conductive contacts may be associated with thinner insulating layers being formed for a
  • the thickness 188 of the second insulating layer 178 may vary depending on the thickness of dies 130 included in the microelectronic assembly. At a minimum, the thickness 188 of the second insulating layer 178 may be at least as thick as the distance from the surface of the first insulating layer for the thickest double-sided die 130 plus its interconnect distance that may be coupled to the first insulating layer 170.
  • FIGS. 6A-6G are side, cross-sectional views of various stages in an example process for manufacturing the microelectronic assembly 100 of FIG. 5, in accordance with various embodiments.
  • FIG. 6A illustrates an assembly 700 including the die 102.
  • the die 102 is "upside down" in the sense that conductive contacts 116 and 118 at the first face 104 of die 102 are facing up.
  • die 102 may be an individual one of multiple dies of a wafer.
  • the wafer may be composed of a semiconductor material of which die substrate 108 is composed and on which circuitry 110 may be formed.
  • FIG. 6B illustrates an assembly 702 subsequent to forming the first insulating layer 170 including interconnect structures 172 on the first face 104 of the die 102.
  • the interconnect structures 172 may take the form of any of the embodiments disclosed herein, and may be formed using any suitable technique.
  • the first insulating layer 170 may take the form of any of the embodiments disclosed herein, and may be formed using any suitable technique.
  • FIG. 6C illustrates an assembly 704 subsequent to coupling dies 130-2, 130-3, and 130-4 to die 102.
  • conductive contacts 136-4 at the first face 132-4 of the die 130-4 may be coupled to corresponding interconnect structures 172 of the first insulating layer 170 (e.g., via interconnects 141-4).
  • Conductive contacts 136-2 at the first face 132-2 of the die 130-2 may be coupled to corresponding interconnect structures 172 of the first insulating layer 170 (e.g., via interconnects 141-2).
  • Conductive contacts 136-3 at the first face 132-3 of the die 130-3 may be coupled to corresponding interconnect structures 172 of the first insulating layer 170 (e.g., via DTD interconnects 141-3).
  • any suitable technique as discussed herein may be used to form the interconnects 141 of the assembly 704, such as metal-to-metal attachment techniques, solder techniques, or anisotropic conductive material techniques.
  • Underfill material 150 may be applied between individual ones of dies 130-2/130-3/130-4 and the first insulating layer 170 using any suitable technique.
  • FIG. 6D illustrates an assembly 706 subsequent to removing the die substrates 139 from individual ones of dies 130. Any suitable technique may be used to remove the die substrates as disclosed herein. Depending on the size of the dies and the manufacturing equipment, thin dies 130 maybe placed directly on the substrates without the need for the carrier die/substrate.
  • FIG. 6E illustrates an assembly 708 subsequent to forming the second insulating layer 178 including interconnect structures 172 over the first insulating layer and over double-sided dies 130.
  • the second insulating layer 178 may take the form of any of the embodiments disclosed herein, and may be formed using any suitable technique.
  • the interconnect structures 172 may be encapsulated in insulating material by laminating or spinning on the insulating material and an optional planarizing process may be performed on the insulating material (e.g., if needed to reduce the height of the second insulating layer 178 to be equal to the desired thickness 188 of the second insulating layer 178).
  • FIG. 6F illustrates an assembly 710 subsequent to forming the redistribution layer 112 including interconnect structures 114 and conductive contacts 120 on the interconnect structures 172 of the second insulating layer 178. Any suitable technique may be used to form the
  • redistribution layer 112 as discussed herein.
  • FIG. 6G illustrates an assembly 712 subsequent to "flipping" the assembly 710 of FIG. 6F and coupling the assembly to the package substrate 160 using first-level interconnects 142.
  • the first- level interconnects may take any of the forms disclosed herein (e.g., solder interconnects or anisotropic conductive material interconnects), and any suitable techniques may be used to form the first-level interconnects (e.g., a mass reflow process or a thermal compression bonding process).
  • the assembly 712 may take the form of the microelectronic assembly 100 of FIG. 5.
  • FIG. 7 is a side, cross-sectional view of a microelectronic assembly 100 sharing a number of elements with FIGS. 1 and 5 but further including a third insulating layer 179 between the first insulating layer 170 and the second insulating layer 178 and a double-sided die 130-5 electrically interconnected to the die 102.
  • interconnect structures 172 may be included in the third insulating layer 179 to provide electrical interconnections as discussed herein (e.g., to electrically interconnect the set of conductive contacts 118-5 at the first face 104 of die 102 and to the set of conductive contacts 136-5 at the first face 132-5 of double sided die 130-5 via interconnects 141-5).
  • the third insulating layer 179 may have a thickness 190, which may range between 1 micron and 40 microns.
  • dies 130 can be electrically coupled to die 102 and/or to each other on two distinct planes for the embodiment of FIG. 7. Any suitable techniques may be used to manufacture the microelectronic assembly 100 of FIG. 7 in which another insulating layer (e.g., third insulating layer 179) and any combination of vertical and/or lateral interconnect structures 172 may be formed therein to provide electrical interconnections as discussed herein.
  • microelectronic assemblies disclosed herein may be used for any suitable application.
  • a microelectronic assembly 100 and/or 1000 may be used to provide an ultra-high density and high bandwidth interconnect for field programmable gate array (FPGA) transceivers and lll-V amplifiers.
  • FPGA field programmable gate array
  • Such applications may be particularly suitable for military electronics, 5G wireless communications, WiGig communications, and/or millimeter wave communications.
  • the microelectronic assemblies disclosed herein may allow "blocks", sometimes referred to as Intellectual Property blocks "IP blocks,” of different kinds of functional circuits to be distributed into different ones of the dies discussed herein, instead of having all of the circuits included in a single large die, per some conventional approaches.
  • IP blocks Intellectual Property blocks
  • a single large die would include all of these different circuits to achieve high bandwidth, low loss communication between the circuits, and some or all of these circuits may be selectively disabled to adjust the capabilities of the large die.
  • the DTD interconnects of the microelectronic assemblies discussed herein may allow high bandwidth, low loss communication between different ones of the dies discussed herein, different circuits may be distributed into different dies, reducing the total cost of manufacture, improving yield, and increasing design flexibility by allowing different dies (e.g., dies formed using different fabrication technologies) to be readily swapped to achieve different functionality.
  • the die 102 in a microelectronic assembly 100 may be a processing device (e.g., a central processing unit, a graphics processing unit, a FPGA, a modem, an applications processor, etc.), and the die 130-1 may include high bandwidth memory, transceiver circuitry, and/or input/output circuitry (e.g., Double Data Rate transfer circuitry, Peripheral Component Interconnect Express circuitry, etc.).
  • a processing device e.g., a central processing unit, a graphics processing unit, a FPGA, a modem, an applications processor, etc.
  • the die 130-1 may include high bandwidth memory, transceiver circuitry, and/or input/output circuitry (e.g., Double Data Rate transfer circuitry, Peripheral Component Interconnect Express circuitry, etc.).
  • the die 102 in a microelectronic assembly 100 may be a cache memory (e.g., a third level cache memory), and one or more dies 130 may be processing devices (e.g., a central processing unit, a graphics processing unit, a FPGA, a modem, an applications processor, etc.) that share the cache memory of the die 102.
  • a cache memory e.g., a third level cache memory
  • processing devices e.g., a central processing unit, a graphics processing unit, a FPGA, a modem, an applications processor, etc.
  • a microelectronic assembly may include image sensor devices (e.g., front-side illuminated (FSI) image sensors and/or backside illuminated (BSI) image sensors including pixels, sensor circuitry, memory, etc.) for image sensor applications such as still and/or live digital image and/or video cameras, or the like that may be integrated into cell phones, wearables, drones, etc. to capture images for storage, processing, or the like.
  • image sensor devices e.g., front-side illuminated (FSI) image sensors and/or backside illuminated (BSI) image sensors including pixels, sensor circuitry, memory, etc.
  • image sensor applications such as still and/or live digital image and/or video cameras, or the like that may be integrated into cell phones, wearables, drones, etc. to capture images for storage, processing, or the like.
  • Digital image and/or video cameras can include millions of pixels, such as 12 megapixels (MP) or more, which can generate large amounts of raw image data during operation.
  • Raw image data is typically stored in memory and
  • microelectronic assemblies as discussed herein may provide for reducing power consumption and/or parasitics for electronic devices including image sensors. Further, in some embodiments, microelectronic assemblies as discussed herein may provide for control of individual ones of pixels for an image sensor.
  • FIG. 8 is a side, cross-sectional view of a microelectronic assembly 1000 including an image sensor 1050, a first double-sided integrated circuit logic layer 1010-1, and a second double-sided integrated circuit logic layer 1010-2.
  • the image sensor 1050 may be composed of devices or pixel arrays 1064 (e.g., photodiodes with color filters and microlenses) for an integrated device with a pixel array layer 1060 and a pixel sensor circuitry layer 1070 in which the pixel array layer 1060 is coupled to the pixel sensor circuitry layer 1070. Any suitable solder or non-solder metal-to-metal or hybrid bonding technique may be used to interconnect the layers 1060/1070. In some embodiments 1064 (e.g., photodiodes with color filters and microlenses) for an integrated device with a pixel array layer 1060 and a pixel sensor circuitry layer 1070 in which the pixel array layer 1060 is coupled to the pixel sensor circuitry layer 1070. Any suitable solder or
  • the layers 1060/1070 may be dies or wafers oxide bonded together using any suitable technique. TSVs or circuitry built-up on layer 1060 may be used to interconnect the two layers 1060/1070 in some embodiments.
  • the pixel array layer 1060 may have a first face 1067 and an opposing second face 1068 and the pixel sensor circuitry layer 1070 may have a first face 1071 and an opposing second face 1072.
  • the interconnected layers 1060/1070 may be a composite integrated circuit assembly 1080; however, in other embodiments they may not be a composite integrated circuit assembly.
  • Individual pixels 1064 of image sensor 1050 may include various devices to facilitate capturing optical inputs (e.g., light, illustrated as the dashed-line arrow in FIG. 8).
  • image sensor 1050 may be a BSI image sensor including a number of pixels 1064.
  • a pixel 1064 may include an array of lenses 1063, color filters 1062, photodiodes 1061.
  • reflectors e.g., metallization at a side of the photodiodes opposite a light receiving surface 1065.
  • the pixel 1064 may include photodiodes 1061 included in the pixel array layer 1060.
  • the pixel array layer 1060 may be composed of a semiconductor material that is sensitive to light, such as silicon.
  • Color filters 1062 may be arranged above the photodiodes 1061 at the second face 1068 of the pixel array layer 1060 and lenses (sometimes referred to as microlenses) 1063 may be arranged above the color filters 1062.
  • Each pixel 1064 may be configured to detect optical inputs (e.g., light) for different colors (e.g., Red, Green, Blue, White, etc.); thus, each pixel may include a number of color filters in order to detect different colors.
  • Three color filters, A, B, and C are illustrated for the embodiment of FIG. 8.
  • Each photodiode 1061 of each pixel 1064 may be electrically connected to sensor circuitry (e.g., capacitors, amplifiers, switches, etc.) within the subsequent pixel sensor circuitry layer 1070 via pixel electrodes 1074 at the second face 1072 of the pixel sensor circuitry layer 1070.
  • sensor circuitry e.g., capacitors, amplifiers, switches, etc.
  • light received by photodiodes 1061 at the light receiving surface 1065 may be transformed to electrical output signals signaled to sensor circuitry of the pixel sensor circuitry layer 1070 via the pixel electrodes 1074.
  • the sensor circuitry may "capture" the output signals as raw image data.
  • captured light may be sampled or otherwise averaged over periods of time, which may occur over millisecond ranges (e.g., 10 milliseconds, 20 milliseconds, etc.) for live view cameras.
  • Raw image data from the sensor circuitry of the layer 1070 may be output to the double-sided integrated circuit logic layer 1010-1, which may, in some embodiments, include logic (e.g., memory) to store the raw image data.
  • the pixel sensor circuitry layer 1070 may be coupled to a double-sided integrated circuit logic layer 1010-1 by DTD interconnects 1002-1.
  • the double-sided integrated circuit logic layer 1010-1 may have a first face 1011 and an opposing second face 1012.
  • the first face 1071 of the pixel sensor circuitry layer 1070 may include conductive contacts 1073 and the second face 1012 of the double-sided integrated circuit logic layer 1010-1 may include conductive contacts 1014.
  • the conductive contacts 1073 at the first of the pixel sensor circuitry layer 1070 may be electrically and mechanically coupled to conductive contacts 1014 at the second face of the double-sided die 1010 by DTD interconnects 1002-1 using any suitable techniques.
  • Non-solder metal-to-metal (e.g., direct or hybrid bonded) interconnects 1002-1 are illustrated for the embodiment of FIG. 8;
  • solder or non-solder interconnects may be used to couple the pixel sensor circuitry layer 1070 to die 1010-1.
  • the conductive contacts 1073 and the conductive contacts 1014 may have a pitch 1003 between 0.8 microns and 10 microns.
  • the pitch 1003 may facilitate per-pixel level operations (e.g., raw image data storage, control, drive, etc.) for individual ones of pixels 1064 of image sensors 1050.
  • the pixel sensor circuitry layer 1070 can be coupled to the double sided integrated circuit logic layer 1010-1 using TSVs 1083-1 and 1083-2 to provide electrical interconnections between circuitry of the pixel sensor circuitry layer 1070 and circuitry of the first double-sided integrated circuit logic layer 1010-1.
  • TSV 1083-1 and TSV 1083-2 can be formed using any suitable techniques (e.g., laser drilling or plasma etching and plating, etc.) subsequent to interconnecting layer 1060 and layer 1070 (e.g., via any suitable wafer bonding technique) but prior to finishing the stack with microlenses and color filters.
  • TSV 1083-1 may extend from the second face 1068 of the pixel array layer 1060 to device layers (not shown) of the pixel sensor circuitry layer 1070 and TSV 1083-2 may extend from the second face 1068 of the pixel array layer 1060 to the first face 1071 of the pixel sensor circuitry layer 1070 or, alternatively, to at least one conductive contact 1075 at the first face 1071 of the pixel sensor circuitry layer 1070.
  • TSVs 1083-1/1083-2 may be electrically connected by an interconnect structure 1084 (e.g., a metal line) at the second face of pixel array layer 1060.
  • the conductive contact(s) 1075 at the first face 1071 of the pixel sensor circuitry layer 1070 may be electrically and mechanically coupled to conductive contacts 1014 at the second face 1012 of the first double-sided integrated circuit logic layer 1010-1 using any suitable techniques.
  • the first double-sided integrated circuit logic layer 1010-1 may be interconnected to the second double-sided integrated circuit logic layer 1010-2 by DTD interconnects 1002-2.
  • Second double-sided integrated circuit logic layer 1010-2 may have a first face 1021 and an opposing second face 1022.
  • the first face 1011 of the first double-sided integrated circuit logic layer 1010-1 may include conductive contacts 1013 and the second face 1022 of the second double-sided integrated circuit logic layer may include conductive contacts 1024.
  • the conductive contacts 1013 at the first face 1011 of the first double-sided integrated circuit logic layer 1010-1 may be electrically and mechanically coupled to the conductive contacts 1024 at the second face 1022 of the second double-sided integrated circuit logic layer 1010-2 by DTD interconnects 1002-2.
  • Non-solder metal- to-metal (e.g., direct or hybrid bonded) DTD interconnects 1002-2 are illustrated for the embodiment of FIG. 8; however, it is to be understood that any solder or non-solder (e.g., metal-to- metal interconnects or anisotropic conductive material interconnects) interconnects may be used to couple the pixel sensor circuitry layer 1070 to the double-sided integrated circuit logic layer 1010-1.
  • solder or non-solder e.g., metal-to- metal interconnects or anisotropic conductive material interconnects
  • the microelectronic assembly 1000 may further include a redistribution layer 1030 including conductive contacts 1031, which may also be referred to as first-level interconnect contacts of the microelectronic assembly, to fan-in or fan-out interconnections between the microelectronic assembly 1000 and a package substrate.
  • the redistribution layer 1030 may include features as discussed herein for redistribution layer 112.
  • the first double-sided integrated circuit logic layer 1010-1, the second double-sided integrated circuit logic layer 1010-2, and the redistribution layer 1030 interconnected together may form a composite integrated circuit assembly 1040; however, in other embodiments, they may not form a composite integrated circuit assembly.
  • the first double-sided integrated circuit logic layer 1010-1 may be memory (such as high bandwidth memory or the like) to store raw image data output from sensor circuitry of the pixel sensor circuitry layer 1070.
  • the second double-sided integrated circuit logic layer 1010-2 may include a compression processing device, a Mobile Industry Processor Interface (MIPI), a machine learning processing device or a neural network processing device (e.g., for object find applications and/or algorithms), a graphics processing unit (GPU), an FPGA, combinations thereof, or the like.
  • the second double-sided integrated circuit logic layer 1010-2 may include timers, controllers, wake-up and/or other power management circuitry and/or devices.
  • microelectronic assembly 1000 and/or other microelectronic assemblies discussed herein may provide an advantageous approach for mixed node and/or heterogeneous technology integration into a stacked image sensor solution; in particular, dies formed using different manufacturing technologies and/or processes may be combined in the microelectronic assembly 1000.
  • microelectronic assembly 1000 and/or other microelectronic assemblies discussed herein may facilitate per-pixel level operations (e.g., raw image data storage, control, drive etc.) for pixels 1064.
  • microelectronic assembly 1000 and other microelectronic assemblies discussed herein may provide for optimizing node and/or size per function, lowering overall system power consumption, and/or providing faster responsivity for a stacked image sensor solution.
  • a stacked image sensor solution may provide for the ability to accelerate image processing without additional power losses being incurred to transmit image data across a circuit board or interposer.
  • FIG. 9 is a side, cross-sectional view of another example microelectronic assembly 1100 in which dies having smaller X-Y areas may be integrated into lower layers of the microelectronic assembly 1100, in accordance with various embodiments.
  • the microelectronic assembly 1100 may include a first composite die 1180 coupled to a second composite die 1140.
  • the first composite die 1180 may have a first face 1181 and a second face 1182 and may include layers 1060/1070 (FIG. 8) coupled together and singulated to form an image sensor.
  • layers 1060/1070, image sensors, etc. are not illustrated in the embodiment of FIG. 9 for the sake of clarity; however, it is to be understood that features of layers 1060/1070 and image sensors therein may be included for the composite die 1180, as discussed for various embodiments herein.
  • the second composite die 1140 may have a first face 1141 and a second face 1142 and may include double-sided dies 1110-2/1110-3/1110-4 coupled to double-sided die 1110-1, and redistribution layer 1030 and the double-sided die 1110-1.
  • double-sided die 1110-1 may be memory (e.g., a logic layer) and double-sided dies 1110-2/1110-3/1110-4 may be processing devices configured to perform compression, neural network processing, machine learning processing, or any other processing on raw image data stored in the memory.
  • double-sided dies 1110-2/1110-3/1110-4 may include other circuitry as discussed herein such as additional memory, timers, controllers, wake-up and/or other power management circuitry and/or devices, combinations thereof or the like. In some embodiments, double-sided dies 1110-2/1110-3/1110-4 may be a combination of devices discussed herein to perform various processing and/or other operations on image data.
  • double-sided die 1110-1 may include circuitry 1116 and a die substrate 1117 extending from the circuitry 1116 to the second face 1142 of the composite die 1140.
  • the circuitry 1116 may include interconnect layers on both sides of a device layer.
  • the double-sided die 1110-1 may include may include sets of conductive contacts 1113 at the bottom side of the circuitry arranged in various footprints for electrically and mechanically coupling double-sided dies 1110-2/1110-3/1110-4 to double-sided die 1110-1.
  • the double-sided die 1110-1 may further include conductive contacts 1114 at the bottom side of the circuitry 1116 for electrically coupling double sided die 1110-1 to interconnect structures 1132 of the redistribution layer 1130.
  • the double-sided die 1110-1 may further include conductive contacts 1115 at the top side of the circuitry 1116.
  • the die substrate 1117 may include TSVs 1118 extending between the second face 1142 of the composite die 1140 and the conductive contacts 1115 at the top side of the circuitry 1116.
  • Conductive contacts 1173 at the first face 1181 of the first composite die 1180 may be electrically and mechanically coupled to the TSVs 1118 by interconnects 1106.
  • Any suitable technique may be used to form the interconnects 1106 including but not limited to solder techniques or non-solder techniques (e.g., metal-to-metal interconnects or anisotropic conductive material interconnects).
  • the double-sided dies 1110-2/1110-3/1110-4 may be electrically and mechanically coupled to double-sided die 1110-1 between at least a portion of conductive contacts 1131 of the redistribution layer 1130.
  • the conductive contacts 1131 may also be referred to as first-level interconnect contacts.
  • the microelectronic assembly 1100 may be electrically and mechanically coupled to the package substrate 1190 by first-level interconnects 1134.
  • the microelectronic assembly may be electrically and mechanically coupled to a PCB by second-level interconnects 1191 using any suitable technique.
  • Conductive contacts 1123-2 at the first face 1121-2 of double-sided die 1110-2 may be electrically and mechanically coupled to conductive contacts 1113-2 at the first face 1111 of the double-sided die 1110-1 by DTD interconnects 1104-2.
  • Conductive contacts 1124-2 at the second face 1122-2 of the double-sided die 1110-2 may be electrically coupled to one or more interconnect structures of 1132 of the redistribution layer 1130.
  • Conductive contacts 1123-3 at the first face 1121-3 of double-sided die 1110-3 may be electrically and mechanically coupled to conductive contacts 1113-3 at the first face 1111 of the double-sided die 1110-1 by DTD interconnects 1104-3.
  • Conductive contacts 1124-3 at the second face 1122-3 of the double-sided die 1110-3 may be electrically coupled to one or more interconnect structures of 1132 of the redistribution layer 1130.
  • Conductive contacts 1123-4 at the first face 1121-4 of double-sided die 1110-4 may be electrically and mechanically coupled to conductive contacts 1113-4 at the first face 1111 of the double-sided die 1110-1 by DTD interconnects 1104-4.
  • Conductive contacts 1124-4 at the second face 1122-4 of the double-sided die 1110-4 may be electrically coupled to one or more interconnect structures of 1132 of the redistribution layer 1130.
  • an underfill material 1105 as discussed herein, may extend between different ones of double-sided dies 1110-2/1110- 3/1110-4 and double-sided integrated circuit logic layer 1010-1 around associated DTD
  • the X-Y area of a top die in a microelectronic assembly 1100 may be less than or equal to an X-Y area of a middle die, which may be less than or equal to subsequent dies included in the microelectronic assembly.
  • FIG. 10 is a top view of an example microelectronic assembly 1100 in which dies having larger X-Y areas may be integrated into lower layers of the microelectronic assembly 1000, in accordance with various embodiments. For the embodiment of FIG.
  • an image sensor may have an X-Y area ranging between 3 millimeters by 5 millimeters to 24 millimeters by 36 millimeters and may have a thickness between 3 microns and 10 microns.
  • pixels of an image sensor may by arranged in squares have an X-Y area ranging between 0.9 microns by 0.9 microns to 3 microns by 3 microns.
  • die 1260 having the smallest X-Y area, Ai, may be included at a top layer 1107 of the microelectronic assembly 1100; die 1270 and double-sided die 1210-1, each having an X-Y area, A2, between the X-Y area, Ai, of die 1260 and the X-Y area, A3, of double sided die 1210-2, may be included at middle layers 1108 of the microelectronic assembly 1100; and double-sided die 1210-2, having the largest X-Y area, A3, may be included at a bottom layer 1109 of the microelectronic assembly 1100.
  • FIG. 10 illustrates an example microelectronic assembly 1100 in which dies having larger X-Y areas may be integrated into lower layers of the microelectronic assembly 1100
  • dies having smaller X-Y areas may be integrated into lower layers of a microelectronic assembly (e.g., as illustrated in the microelectronic assembly 1000 of the embodiment of FIG. 9).
  • FIGS. 11A-11D are side, cross-sectional views of various stages in an example process for manufacturing the microelectronic assembly of FIG. 9, in accordance with various embodiments.
  • FIG. 11A illustrates an assembly 1600 including a composite die 1140 secured to a carrier 1680.
  • the composite die 1140 of the assembly 1600 may be manufactured as discussed above with reference to FIGS. 4A-4D, in some embodiments; the assembly 1600 is subsequent to "flipping" the composite die 1140 and securing the composite die 1140 to the carrier 1680.
  • the composite die 1140 may be secured to the carrier 1680 using any suitable technique, such as a removable adhesive.
  • the carrier 1680 may include any suitable material for providing mechanical stability during subsequent manufacturing operations.
  • FIG. 11B illustrates an assembly 1602 subsequent to forming TSVs 1118 in the die substrate 1117 to electrically connect to conductive contacts 1115 at the top side of circuitry 1116.
  • the TSVs 1118 could be a TSV mid process in which the TSVs 1118 are within the die substrate 1117, which is ground back to reveal the TSVs 1118.
  • the TSVs 1118 may extend between the conductive contacts 1115 at the top side of the circuitry 1116 and the second face 1142 of the composite die 1140. Any suitable technique may be used to form the TSVs 1118 of the assembly 1602 (e.g., laser drilling or plasma etching, plating, and optional planarizing).
  • FIG. 11C illustrates an assembly 1604 subsequent to coupling composite die 1180 to composite die 1140.
  • Conductive contacts 1173 at the first face 1181 may be electrically and mechanically coupled to TSVs 1118 at the second face 1142 of the composite die 1140 by interconnects 1106.
  • Any suitable technique may be used to form interconnects 1106 of the assembly 1604 such as solder techniques or non-solder techniques (e.g., metal-to-metal attachment techniques or anisotropic conductive material techniques).
  • interconnects 1106 may be formed using die-to-die, die-to-wafer, or wafer-to-wafer bonding techniques.
  • FIG. 11D illustrates an assembly 1606 subsequent to removing the carrier 1680 from the assembly 1604 and coupling the assembly to package substrate 1090 by first-level interconnects 1034.
  • Any suitable techniques may be used to form the first-level interconnects 1034 (e.g., a mass reflow process or laser heated reflow bonding).
  • the assembly 1606 may take the form of the microelectronic assembly 1100 of FIG. 9.
  • FIGS. 12-16 illustrate various examples of apparatuses that may include, or be included in, any of the microelectronic assemblies 100/1000/1100 disclosed herein.
  • FIG. 12 is a top view of a wafer 1700 and dies 1702 that may be included in any of the microelectronic assemblies 100/1000/1100 disclosed herein (e.g., as any suitable ones of the dies disclosed herein).
  • the wafer 1700 may be composed of semiconductor material and may include one or more dies 1702 having 1C structures formed on a surface of the wafer 1700. In some instances, a wafer may also be referred to as a layer, as discussed for various embodiments described herein.
  • Each of the dies 1702 may be a repeating unit of a semiconductor product that includes any suitable 1C.
  • the wafer 1700 may undergo a singulation process in which the dies 1702 are separated from one another to provide discrete "chips" of the semiconductor product.
  • the die 1702 may be any of the dies disclosed herein.
  • the die 1702 may include one or more transistors (e.g., some of the transistors 1840 of FIG. 13, discussed below), supporting circuitry to route electrical signals to the transistors, passive components (e.g., signal traces, resistors, capacitors, or inductors), and/or any other 1C components.
  • the wafer 1700 or the die 1702 may include a memory device (e.g., a random access memory (RAM) device, such as a static RAM (SRAM) device, a magnetic RAM (MRAM) device, a resistive RAM (RRAM) device, a conductive-bridging RAM (CBRAM) device, etc.), a logic device (e.g., an AND, OR, NAND, or NOR gate), or any other suitable circuit element. Multiple ones of these devices may be combined on a single die 1702. For example, a memory array formed by multiple memory devices may be formed on a same die 1702 as a processing device (e.g., the processing device 2102 of FIG.
  • a memory device e.g., a static RAM (SRAM) device, a magnetic RAM (MRAM) device, a resistive RAM (RRAM) device, a conductive-bridging RAM (CBRAM) device, etc.
  • a logic device e.g., an AND, OR, NAND, or NOR gate
  • microelectronic assemblies 100/1000/1100 disclosed herein may be manufactured using a die-to- wafer assembly technique in which some dies are attached to a wafer 1700 that include others of the dies, and the wafer 1700 is subsequently singulated.
  • FIG. 13 is a cross-sectional side view of an example 1C device 1800 that may be included in any of the microelectronic assemblies 100/1000/1100 disclosed herein (e.g., in any of the dies disclosed herein).
  • One or more of the 1C devices 1800 may be included in one or more dies 1702 (FIG. 12).
  • the 1C device 1800 may be formed on a die substrate 1802 (e.g., the wafer 1700 of FIG.
  • the die substrate 1802 may be a semiconductor substrate composed of semiconductor material systems including, for example, n- type or p-type materials systems (or a combination of both).
  • the die substrate 1802 may include, for example, a crystalline substrate formed using a bulk silicon a SOI substructure, etc. as discussed herein.
  • the die substrate 1802 may be formed using alternative materials, which may or may not be combined with silicon, that include but are not limited to germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide.
  • the die substrate 1802 may be part of a singulated die (e.g., the dies 1702 of FIG. 12) or a wafer (e.g., the wafer 1700 of FIG. 12).
  • the 1C device 1800 may include one or more device layers 1804 disposed on the die substrate 1802.
  • the device layer 1804 may include features of one or more transistors 1840 (e.g., metal oxide semiconductor field-effect transistors (MOSFETs)) formed on the die substrate 1802 and/or any other active and/or passive circuitry as may be desired by a device manufacturer.
  • the device layer 1804 may include, for example, one or more source and/or drain (S/D) regions 1820, a gate 1822 to control current flow in the transistors 1840 between the S/D regions 1820, and one or more S/D contacts 1824 to route electrical signals to/from the S/D regions 1820.
  • the transistors 1840 may include additional features not depicted for the sake of clarity, such as device isolation regions, gate contacts, and the like.
  • the transistors 1840 are not limited to the type and
  • Non-planar transistors may include FinFET transistors, such as double-gate transistors or tri-gate transistors, and wrap around or all-around gate transistors, such as nanoribbon and nanowire transistors.
  • Each transistor 1840 may include a gate 1822 formed of at least two layers, a gate dielectric and a gate electrode.
  • the gate dielectric may include one layer or a stack of layers.
  • the one or more layers may include silicon oxide, silicon dioxide, silicon carbide, and/or a high-k dielectric material.
  • the high-k dielectric material may include elements such as hafnium, silicon, oxygen, titanium, tantalum, lanthanum, aluminum, zirconium, barium, strontium, yttrium, lead, scandium, niobium, and zinc.
  • high-k materials that may be used in the gate dielectric include, but are not limited to, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.
  • an annealing process may be carried out on the gate dielectric to improve its quality when a high-k material is used.
  • the gate electrode may be formed on the gate dielectric and may include at least one p-type work function metal or n-type work function metal, depending on whether the transistor 1840 is to be a p-type metal oxide semiconductor (PMOS) or an n-type metal oxide semiconductor (NMOS) transistor.
  • the gate electrode may consist of a stack of two or more metal layers, where one or more metal layers are work function metal layers and at least one metal layer is a fill metal layer. Further metal layers may be included for other purposes, such as a barrier layer.
  • metals that may be used for the gate electrode include, but are not limited to, ruthenium, palladium, platinum, cobalt, nickel, conductive metal oxides (e.g., ruthenium oxide), and any of the metals discussed below with reference to an NMOS transistor (e.g., for work function tuning).
  • metals that may be used for the gate electrode include, but are not limited to, hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, carbides of these metals (e.g., hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide), and any of the metals discussed above with reference to a PMOS transistor (e.g., for work function tuning).
  • the gate electrode when viewed as a cross-section of the transistor 1840 along the source-channel-drain direction, may consist of a U-shaped structure that includes a bottom portion substantially parallel to the surface of the die substrate and two sidewall portions that are substantially perpendicular to the top surface of the die substrate.
  • at least one of the metal layers that form the gate electrode may simply be a planar layer that is substantially parallel to the top surface of the die substrate and does not include sidewall portions substantially perpendicular to the top surface of the die substrate.
  • the gate electrode may consist of a combination of U-shaped structures and planar, non-U-shaped structures.
  • the gate electrode may consist of one or more U-shaped metal layers formed atop one or more planar, non-U-shaped layers.
  • a pair of sidewall spacers may be formed on opposing sides of the gate stack to bracket the gate stack.
  • the sidewall spacers may be formed from materials such as silicon nitride, silicon oxide, silicon carbide, silicon nitride doped with carbon, and silicon oxynitride. Processes for forming sidewall spacers are well known in the art and generally include deposition and etching process steps. In some embodiments, a plurality of spacer pairs may be used; for instance, two pairs, three pairs, or four pairs of sidewall spacers may be formed on opposing sides of the gate stack.
  • the S/D regions 1820 may be formed within the die substrate 1802 adjacent to the gate 1822 of each transistor 1840.
  • the S/D regions 1820 may be formed using an implantation/diffusion process or an etching/deposition process, for example.
  • dopants such as boron, aluminum, antimony, phosphorous, or arsenic may be ion-implanted into the die substrate 1802 to form the S/D regions 1820.
  • An annealing process that activates the dopants and causes them to diffuse farther into the die substrate 1802 may follow the ion-implantation process.
  • the die substrate 1802 may first be etched to form recesses at the locations of the S/D regions 1820.
  • the S/D regions 1820 may be fabricated using a silicon alloy such as silicon germanium or silicon carbide.
  • the epitaxially deposited silicon alloy may be doped in situ with dopants such as boron, arsenic, or phosphorous.
  • the S/D regions 1820 may be formed using one or more alternate semiconductor materials such as germanium or a group lll-V material or alloy.
  • one or more layers of metal and/or metal alloys may be used to form the S/D regions 1820.
  • Electrical signals such as power and/or input/output (I/O) signals, may be routed to and/or from the devices (e.g., transistors 1840) of the device layer 1804 through one or more interconnect layers disposed on the device layer 1804 (illustrated in FIG. 13 as interconnect layers 1806, 1808, and 1810).
  • interconnect layers 1806, 1808, and 1810 electrically conductive features of the device layer 1804 (e.g., the gate 1822 and the S/D contacts 1824) may be electrically coupled with the interconnect structures 1828 of the interconnect layers 1806-1810.
  • the one or more interconnect layers 1806-1810 may form a metallization stack (also referred to as an "ILD stack") 1819 of the 1C device 1800.
  • the interconnect structures 1828 may be arranged within the interconnect layers 1806-1810 to route electrical signals according to a wide variety of designs. In particular, the arrangement is not limited to the particular configuration of interconnect structures 1828 depicted in FIG. 13.
  • interconnect layers 1806-1810 Although a particular number of interconnect layers 1806-1810 is depicted in FIG. 13, embodiments of the present disclosure include 1C devices having more or fewer interconnect layers than depicted.
  • the interconnect structures 1828 may include lines 1828a and/or vias 1828b filled with an electrically conductive material such as a metal.
  • the lines 1828a may be arranged to route electrical signals in a direction of a plane that is substantially parallel with a surface of the die substrate 1802 upon which the device layer 1804 is formed.
  • the lines 1828a may route electrical signals in a direction in and out of the page from the perspective of FIG. 13.
  • the vias 1828b may be arranged to route electrical signals in a direction of a plane that is substantially perpendicular to the surface of the die substrate 1802 upon which the device layer 1804 is formed.
  • the vias 1828b may electrically couple lines 1828a of different interconnect layers 1806-1810 together.
  • the interconnect layers 1806-1810 may include a dielectric material 1826 disposed between the interconnect structures 1828, as shown in FIG. 13.
  • the dielectric material 1826 disposed between the interconnect structures 1828 in different ones of the interconnect layers 1806-1810 may have different compositions; in other embodiments, the composition of the dielectric material 1826 between different interconnect layers 1806-1810 may be the same.
  • a first interconnect layer 1806 (referred to as Metal 1 or “Ml”) may be formed directly on the device layer 1804.
  • the first interconnect layer 1806 may include lines 1828a and/or vias 1828b, as shown.
  • the lines 1828a of the first interconnect layer 1806 may be coupled with contacts (e.g., the S/D contacts 1824) of the device layer 1804.
  • a second interconnect layer 1808 (referred to as Metal 2 or "M2") may be formed directly on the first interconnect layer 1806.
  • the second interconnect layer 1808 may include vias 1828b to couple the lines 1828a of the second interconnect layer 1808 with the lines 1828a of the first interconnect layer 1806.
  • the lines 1828a and the vias 1828b are structurally delineated with a line within each interconnect layer (e.g., within the second interconnect layer 1808) for the sake of clarity, the lines 1828a and the vias 1828b may be structurally and/or materially contiguous (e.g., simultaneously filled during a dual-damascene process) in some embodiments.
  • a third interconnect layer 1810 (referred to as Metal 3 or "M3") (and additional interconnect layers, as desired) may be formed in succession on the second interconnect layer 1808 according to similar techniques and configurations described in connection with the second interconnect layer 1808 or the first interconnect layer 1806.
  • the interconnect layers that are "higher up” in the metallization stack 1819 in the 1C device 1800 may be thicker.
  • the 1C device 1800 may include a solder resist material 1834 (e.g., polyimide or similar material) and one or more conductive contacts 1836 formed on the interconnect layers 1806-1810.
  • a solder resist material 1834 e.g., polyimide or similar material
  • conductive contacts 1836 formed on the interconnect layers 1806-1810.
  • the conductive contacts 1836 are illustrated as taking the form of bond pads.
  • the conductive contacts 1836 may be electrically coupled with the interconnect structures 1828 and configured to route the electrical signals of the transistor(s) 1840 to other external devices.
  • solder bonds may be formed on the one or more conductive contacts 1836 to mechanically and/or electrically couple a chip including the 1C device 1800 with another component (e.g., a circuit board).
  • the 1C device 1800 may include additional or alternate structures to route the electrical signals from the interconnect layers 1806-1810; for example, the conductive contacts 1836 may include other analogous features (e.g., posts) that route the electrical signals to external components.
  • the conductive contacts 1836 may serve as the conductive contacts for any of the dies discussed herein, as appropriate.
  • the 1C device 1800 may include another metallization stack (not shown) on the opposite side of the device layer(s)
  • This metallization stack may include multiple interconnect layers as discussed above with reference to the interconnect layers 1806-1810, to provide conductive pathways (e.g., including conductive lines and vias) between the device layer(s) 1804 and additional conductive contacts (not shown) on the opposite side of the 1C device 1800 from the conductive contacts 1836. These additional conductive contacts may serve as the conductive contacts 136 or 138, as appropriate.
  • the 1C device 1800 may include one or more TSVs through the die substrate 1802; these TSVs may make contact with the device layer(s) 1804, and may provide conductive pathways between the device layer(s) 1804 and additional conductive contacts (not shown) on the opposite side of the 1C device 1800 from the conductive contacts 1836. These additional conductive contacts may serve as the conductive contacts for any of the double-sided dies discussed herein, as appropriate. Example details of one example type of a double-sided 1C device are discussed in further detail in FIG. 14.
  • FIG. 14 is a side, cross-sectional view of one example type of a double-sided 1C device 1900 that may be included in any of the microelectronic assemblies 100/1000/1100 disclosed herein (e.g., in any of the double-sided dies disclosed herein).
  • One or more of the double-sided 1C devices 1900 may be included in one or more dies 1702 (FIG. 12).
  • the double-sided 1C device 1900 may be composed of semiconductor material systems including, for example, n-type or p-type materials systems (or a combination of both).
  • the 1C device may be composed of alternative materials, which may or may not be combined with silicon, that include but are not limited to germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. Further materials classified as group ll-VI, lll-V, or IV may also be used to form the double-sided 1C device 1900.
  • the double-sided 1C device 1900 may include one or more device layers 1904.
  • the device layers 1904 may include features of one or more transistors (e.g., as discussed in FIG. 13) and/or any other active and/or passive circuitry as may be desired by a device manufacturer.
  • Electrical signals such as power and/or input/output (I/O) signals, may be routed to and/or from the devices of the device layers 1904 through one or more interconnect layers disposed on opposing sides of the device layers 1904 (illustrated in FIG. 14 as first interconnect layers 1906, 1908, and 1910 on a first side 1901 of the device layers and second interconnect layers 1956, 1958, and 1960 on an opposing second side 1902 of the device layers 1904).
  • electrically conductive features of the device layers 1904 may be electrically coupled with the first interconnect structures 1928 of the first interconnect layers 1906-1910 and/or with the second interconnect structures 1978 of the second interconnect layers 1956-1960.
  • the one or more first interconnect layers 1906-1910 may form a first metallization stack (e.g., an ILD stack) 1919 and the one or more second interconnect layers 1956-1960 may form a second metallization stack 1969 of the double sided 1C device 1900.
  • a first metallization stack e.g., an ILD stack
  • the one or more second interconnect layers 1956-1960 may form a second metallization stack 1969 of the double sided 1C device 1900.
  • the first interconnect structures 1928 may be arranged within the first interconnect layers 1906-1910 and the second interconnect structures 1978 may be arranged within the second interconnect layers 1956-1960 to route electrical signals according to a wide variety of designs (in particular, the arrangement is not limited to the particular configuration of the first interconnect structures 1928 and the second interconnect structures 1978 depicted in FIG. 14). Although a particular number of first interconnect layers 1906-1910 and a particular number of second interconnect layers 1956-1960 are depicted in FIG. 14, embodiments of the present disclosure include 1C devices having more or fewer first and/or second interconnect layers than depicted. Further, the particular number of first interconnect layers and second interconnect layers on opposing sides of the device layers 1904 may be the same or different from each other.
  • the first interconnect structures 1928 and/or the second interconnect structures 1978 may include lines and/or vias as discussed herein filled with an electrically conductive material such as a metal.
  • the first interconnect layers 1906-1910 may include a first dielectric material 1926 disposed between the first interconnect structures 1928, as shown in FIG. 14.
  • the first dielectric material 1926 disposed between the first interconnect structures 1928 in different ones of the first interconnect layers 1906-1910 may have different compositions; in other embodiments, the composition of the first dielectric material 1926 between different first interconnect layers 1906-1910 may be the same.
  • the second interconnect layers 1956-1960 may include a second dielectric material 1976 disposed between the second interconnect structures 1978, as shown in FIG. 14.
  • the second dielectric material 1976 disposed between the second interconnect structures 1978 in different ones of the second interconnect layers 1956-1960 may have different compositions; in other embodiments, the composition of the second dielectric material 1976 between different second interconnect layers 1956-1960 may be the same. In some embodiments, the composition of the first dielectric material 1926 and the second dielectric material 1976 may be different; in other embodiments, the composition of the first dielectric material 1926 and the second dielectric material 1976 may be the same.
  • the first interconnect layers 1906-1910 and the second interconnect layers 1956-1960 may be formed using any techniques as discussed herein (e.g., composed of M1-M3 layers, etc.).
  • the double-sided 1C device 1900 may include a first solder resist material 1934 (e.g., polyimide or similar material) and one or more first conductive contacts 1936 formed on the first interconnect layers 1906-1910.
  • the double-sided 1C device 1900 may include a second solder resist material 1984 (e.g., polyimide or similar material) and one or more second conductive contacts 1986 formed on the second interconnect layers 1956-1960.
  • the composition of the first solder resist material 1934 and the second solder resist material 1984 may be the same; in other embodiments, the composition of the first solder resist material 1934 and the second solder resist material 1984 may be different.
  • the first conductive contacts 1936 and the second conductive contacts 1986 are illustrated as taking the form of bond pads.
  • the first conductive contacts 1936 may be electrically coupled with the first interconnect structures 1928 and the second conductive contacts 1986 may be electrically coupled with the second interconnect structures 1978.
  • the double-sided 1C device 1900 may include additional or alternate structures to route the electrical signals from the first interconnect layers 1906-1910 and/or the second interconnect layers 1956-1960; for example, the first conductive contacts 1936 and/or the second conductive contacts 1986 may include other analogous features (e.g., posts) that route the electrical signals to external components.
  • the conductive contacts 1936 and/or 1986 may serve as the conductive contacts for any of the double sided dies discussed herein, as appropriate.
  • FIG. 15 is a cross-sectional side view of an 1C device assembly 2000 that may include any of the microelectronic assemblies 100/1000/1100 disclosed herein.
  • the 1C device assembly 2000 may be a microelectronic assembly 100/1000/1100.
  • the 1C device assembly 2000 includes a number of components disposed on a circuit board 2002 (which may be, e.g., a motherboard).
  • the 1C device assembly 2000 includes components disposed on a first face 2040 of the circuit board 2002 and an opposing second face 2042 of the circuit board 2002; generally, components may be disposed on one or both faces 2040 and 2042.
  • Any of the 1C packages discussed below with reference to the 1C device assembly 2000 may take the form of any suitable ones of the embodiments of the microelectronic assemblies 100/1000/1100 disclosed herein.
  • the circuit board 2002 may be a PCB including multiple metal layers separated from one another by layers of dielectric material and interconnected by electrically conductive vias. Any one or more of the metal layers may be formed in a desired circuit pattern to route electrical signals (optionally in conjunction with other metal layers) between the components coupled to the circuit board 2002.
  • the circuit board 2002 may be a non-PCB substrate.
  • the 1C device assembly 2000 illustrated in FIG. 15 includes a package-on-interposer structure 2036 coupled to the first face 2040 of the circuit board 2002 by coupling components 2016.
  • the coupling components 2016 may electrically and mechanically couple the package-on-interposer structure 2036 to the circuit board 2002, and may include solder balls (as shown in FIG. 15), male and female portions of a socket, an adhesive, an underfill material, and/or any other suitable electrical and/or mechanical coupling structure.
  • the package-on-interposer structure 2036 may include an 1C package 2020 coupled to an interposer 2004 by coupling components 2018.
  • the coupling components 2018 may take any suitable form for the application, such as the forms discussed above with reference to the coupling components 2016. Although a single 1C package 2020 is shown in FIG. 15, multiple 1C packages may be coupled to the interposer 2004; indeed, additional interposers may be coupled to the interposer 2004.
  • the interposer 2004 may provide an intervening substrate used to bridge the circuit board 2002 and the 1C package 2020.
  • the 1C package 2020 may be or include, for example, a die (the die 1702 of FIG. 12), an 1C device (e.g., the 1C device 1800 of FIG. 13 or the double-sided 1C device 1900 of FIG.
  • the interposer 2004 may spread a connection to a wider pitch or reroute a connection to a different connection.
  • the interposer 2004 may couple the 1C package 2020 (e.g., a die) to a set of ball grid array (BGA) conductive contacts of the coupling components 2016 for coupling to the circuit board 2002.
  • BGA ball grid array
  • the 1C package 2020 and the circuit board 2002 are attached to opposing sides of the interposer 2004; in other embodiments, the 1C package 2020 and the circuit board 2002 may be attached to a same side of the interposer 2004.
  • three or more components may be interconnected by way of the interposer 2004.
  • the interposer 2004 may be formed as a PCB, including multiple metal layers separated from one another by layers of dielectric material and interconnected by electrically conductive vias.
  • the interposer 2004 may be formed of an epoxy resin, a fiberglass-reinforced epoxy resin, an epoxy resin with inorganic fillers, a ceramic material, or a polymer material such as polyimide.
  • the interposer 2004 may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium, and other group lll-V and group IV materials.
  • the interposer 2004 may include metal interconnects 2008 and vias 2010, including but not limited to TSVs 2006.
  • the interposer 2004 may further include embedded devices 2014, including both passive and active devices.
  • embedded devices 2014 may include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, electrostatic discharge (ESD) devices, and memory devices. More complex devices such as radio frequency devices, power amplifiers, power management devices, antennas, arrays, sensors, and microelectromechanical systems (MEMS) devices may also be formed on the interposer 2004.
  • the package-on-interposer structure 2036 may take the form of any of the package-on-interposer structures known in the art.
  • the 1C device assembly 2000 may include an 1C package 2024 coupled to the first face 2040 of the circuit board 2002 by coupling components 2022.
  • the coupling components 2022 may take the form of any of the embodiments discussed above with reference to the coupling components 2016, and the 1C package 2024 may take the form of any of the embodiments discussed above with reference to the 1C package 2020.
  • the 1C device assembly 2000 illustrated in FIG. 15 includes a package-on-package structure 2034 coupled to the second face 2042 of the circuit board 2002 by coupling components 2028.
  • the package-on-package structure 2034 may include an 1C package 2026 and an 1C package 2032 coupled together by coupling components 2030 such that the 1C package 2026 is disposed between the circuit board 2002 and the 1C package 2032.
  • the coupling components 2028 and 2030 may take the form of any of the embodiments of the coupling components 2016 discussed above, and the 1C packages 2026 and 2032 may take the form of any of the embodiments of the 1C package 2020 discussed above.
  • the package-on-package structure 2034 may be configured in accordance with any of the package-on-package structures known in the art.
  • FIG. 16 is a block diagram of an example electrical device 2100 that may include one or more of the microelectronic assemblies 100/1000/1100 disclosed herein.
  • any suitable ones of the components of the electrical device 2100 may include one or more of the 1C device assemblies 2000, 1C devices 1800, double-sided 1C devices 1200 or dies 1702 disclosed herein, and may be arranged in any of the microelectronic assemblies 100/1000/1100 disclosed herein.
  • a number of components are illustrated in FIG. 16 as included in the electrical device 2100, but any one or more of these components may be omitted or duplicated, as suitable for the application.
  • some or all of the components included in the electrical device 2100 may be attached to one or more motherboards. In some embodiments, some or all of these components are fabricated onto a single system-on-a-chip (SoC) die.
  • SoC system-on-a-chip
  • the electrical device 2100 may not include one or more of the components illustrated in FIG. 16, but the electrical device 2100 may include interface circuitry for coupling to the one or more components.
  • the electrical device 2100 may not include a display device 2106, but may include display device interface circuitry (e.g., a connector and driver circuitry) to which a display device 2106 may be coupled.
  • the electrical device 2100 may not include an audio input device 2124 or an audio output device 2108, but may include audio input or output device interface circuitry (e.g., connectors and supporting circuitry) to which an audio input device 2124 or audio output device 2108 may be coupled.
  • the electrical device 2100 may include a processing device 2102 (e.g., one or more processing devices).
  • processing device e.g., one or more processing devices.
  • the term "processing device” or “processor” may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • the processing device 2102 may include one or more digital signal processors (DSPs), application-specific integrated circuits (ASICs), central processing units (CPUs), GPUs, cryptoprocessors (specialized processors that execute cryptographic algorithms within hardware), server processors, or any other suitable processing devices.
  • DSPs digital signal processors
  • ASICs application-specific integrated circuits
  • CPUs central processing units
  • GPUs graphics processing units
  • cryptoprocessors specialized processors that execute cryptographic algorithms within hardware
  • server processors or any other suitable processing devices.
  • the electrical device 2100 may include a memory 2104, which may itself include one or more memory devices such as volatile memory (e.g., dynamic random access memory (DRAM)), nonvolatile memory (e.g., read-only memory (ROM)), flash memory, solid state memory, and/or a hard drive.
  • volatile memory e.g., dynamic random access memory (DRAM)
  • nonvolatile memory e.g., read-only memory (ROM)
  • flash memory solid state memory
  • solid state memory solid state memory
  • hard drive e.g., solid state memory, and/or a hard drive.
  • the memory 2104 may include memory that shares a die with the processing device 2102. This memory may be used as cache memory and may include embedded dynamic random access memory (eDRAM) or spin transfer torque magnetic random access memory (STT-M RAM).
  • eDRAM embedded dynamic random access memory
  • STT-M RAM spin transfer torque magnetic random access memory
  • the electrical device 2100 may include a communication chip 2112 (e.g., one or more communication chips).
  • the communication chip 2112 may be configured for managing wireless communications for the transfer of data to and from the electrical device 2100.
  • wireless and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a nonsolid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not.
  • the communication chip 2112 may implement any of a number of wireless standards or protocols, including but not limited to Institute of Electrical and Electronic Engineers (IEEE) standards including Wi-Fi (IEEE 802.11 family), IEEE 802.16 standards (e.g., IEEE 802.16-2005 Amendment), 3rd Generation Partnership Project (3GPP) Long-Term Evolution (LTE), 5G, 5G New Radio, along with any amendments, updates, and/or revisions (e.g., advanced LTE project, ultra-mobile broadband (UM B) project (also referred to as "3GPP2”), etc.).
  • IEEE Institute of Electrical and Electronic Engineers
  • 3GPP 3rd Generation Partnership Project
  • LTE Long-Term Evolution
  • 5G 5G New Radio
  • 3GPP2 ultra-mobile broadband
  • WiMAX Broadband Wireless Access
  • the communication chip 2112 may operate in accordance with a Global System for Mobile Communication (GSM), General Packet Radio Service (GPRS), Universal Mobile Telecommunications System (UMTS), High Speed Packet Access (HSPA), Evolved HSPA (E-HSPA), or LTE network.
  • GSM Global System for Mobile Communication
  • GPRS General Packet Radio Service
  • UMTS Universal Mobile Telecommunications System
  • HSPA High Speed Packet Access
  • E-HSPA Evolved HSPA
  • LTE Long Term Evolution
  • the communication chip 2112 may operate in accordance with Enhanced Data for GSM Evolution (EDGE), GSM EDGE Radio Access Network (GERAN), Universal Terrestrial Radio Access Network (UTRAN), or Evolved UTRAN (E- UTRAN).
  • EDGE Enhanced Data for GSM Evolution
  • GERAN GSM EDGE Radio Access Network
  • UTRAN Universal Terrestrial Radio Access Network
  • E- UTRAN Evolved UTRAN
  • the communication chip 2112 may operate in accordance with Code Division Multiple Access (CDMA), Time Division Multiple Access (TDMA), Digital Enhanced Cordless
  • the communication chip 2112 may operate in accordance with other wireless protocols in other embodiments.
  • the electrical device 2100 may include an antenna 2122 to facilitate wireless communications and/or to receive other wireless communications (such as AM or FM radio transmissions).
  • the communication chip 2112 may manage wired communications, such as electrical, optical, or any other suitable communication protocols (e.g., the Ethernet). As noted above, the communication chip 2112 may include multiple communication chips. For instance, a first communication chip 2112 may be dedicated to shorter-range wireless
  • a second communication chip 2112 may be dedicated to longer-range wireless communications such as global positioning system (GPS), EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO, or others.
  • GPS global positioning system
  • EDGE EDGE
  • GPRS global positioning system
  • CDMA Code Division Multiple Access
  • WiMAX Long Term Evolution
  • LTE Long Term Evolution
  • EV-DO EV-DO
  • a first communication chip 2112 may be dedicated to wireless communications
  • a second communication chip 2112 may be dedicated to wired communications.
  • the electrical device 2100 may include battery/power circuitry 2114.
  • the battery/power circuitry 2114 may include one or more energy storage devices (e.g., batteries or capacitors) and/or circuitry for coupling components of the electrical device 2100 to an energy source separate from the electrical device 2100 (e.g., AC line power).
  • the electrical device 2100 may include a display device 2106 (or corresponding interface circuitry, as discussed above).
  • the display device 2106 may include any visual indicators, such as a heads-up display, a computer monitor, a projector, a touchscreen display, a liquid crystal display (LCD), a light-emitting diode display, or a flat panel display.
  • LCD liquid crystal display
  • the electrical device 2100 may include an audio output device 2108 (or corresponding interface circuitry, as discussed above).
  • the audio output device 2108 may include any device that generates an audible indicator, such as speakers, headsets, or earbuds.
  • the electrical device 2100 may include an audio input device 2124 (or corresponding interface circuitry, as discussed above).
  • the audio input device 2124 may include any device that generates a signal representative of a sound, such as microphones, microphone arrays, or digital instruments (e.g., instruments having a musical instrument digital interface (MIDI) output).
  • MIDI musical instrument digital interface
  • the electrical device 2100 may include a GPS device 2118 (or corresponding interface circuitry, as discussed above).
  • the GPS device 2118 may be in communication with a satellite-based system and may receive a location of the electrical device 2100, as known in the art.
  • the electrical device 2100 may include a other output device 2110 (or corresponding interface circuitry, as discussed above). Examples of the other output device 2110 may include an audio codec, a video codec, a printer, a wired or wireless transmitter for providing information to other devices, or an additional storage device. [0160] The electrical device 2100 may include a other input device 2120 (or corresponding interface circuitry, as discussed above). Examples of the other input device 2120 may include an
  • a gyroscope a compass
  • an image capture device a keyboard
  • a cursor control device such as a mouse
  • a stylus a touchpad
  • a bar code reader a Quick Response (QR) code reader
  • QR Quick Response
  • RFID radio frequency identification
  • the electrical device 2100 may have any desired form factor, such as a hand-held or mobile electrical device (e.g., a cell phone, a smart phone, a mobile internet device, a music player, a tablet computer, a laptop computer, a netbook computer, an ultrabook computer, a personal digital assistant (PDA), an ultra-mobile personal computer, etc.), a desktop electrical device, a server or other networked computing component, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a vehicle control unit, a digital camera, a digital video recorder, or a wearable electrical device.
  • the electrical device 2100 may be any other electronic device that processes data.
  • Example 1 is a microelectronic assembly including a backside illuminated image sensor comprising a pixel array layer and a logic layer; and a double-sided die coupled to the logic layer by interconnects, wherein the logic layer is between the double-sided die and the pixel array layer.
  • Example 2 may include the subject matter of Example 1 and may further specify that the double-sided die comprises a plurality of conductive contacts having a pitch between 0.8 microns and 10 microns.
  • Example 3 may include the subject matter of Example 1 and may further specify that the double-sided die has an X-Y area that is larger than an X-Y area of the pixel array layer.
  • Example 4 may include the subject matter of Example 1 and may further specify that the double-sided die is memory.
  • Example 5 may include the subject matter of Example 1 and may further specify that the double-sided die includes power management circuitry.
  • Example 6 may include the subject matter of Example 1 and may further specify that the double-sided die includes a timer.
  • Example 7 may include the subject matter of Example 1 and may further specify that the double-sided die includes at least one of: a neural network device and a machine learning device.
  • Example 8 may include the subject matter of Example 1 and may further specify that the double-sided die is an individual one of a plurality of double-sided dies.
  • Example 9 may include the subject matter of Example 8 and may further specify that an individual one of the plurality of double-sided dies has an X-Y area that is smaller than an X-Y area of the pixel array layer.
  • Example 10 may include the subject matter of any of Examples 1-9 and may further specify that the double-sided die includes a die substrate, a metallization stack, and a device layer between the die substrate and the metallization stack, and wherein the die substrate is between a package substrate and the device layer.
  • Example 11 may include the subject matter of any of Examples 1-9 and may further specify that the double-sided die includes a die substrate, a metallization stack, and a device layer between the die substrate and the metallization stack, and wherein the device layer is between a package substrate and the die substrate.
  • Example 12 may include the subject matter of any of Examples 1-9 and may further specify that the double-sided die includes a first metallization stack, a second metallization stack, and a device layer between the first metallization stack and the second metallization stack.
  • Example 13 may include the subject matter of any of Examples 1-12 and may further include a redistribution layer (RDL), wherein the RDL comprises one or more interconnect structures and the double-sided die is between the logic layer and at least a portion of the RDL.
  • RDL redistribution layer
  • Example 14 may include the subject matter of Example 13 and may further specify that at least one interconnect structure of the RDL includes a conductive pathway between at least one first conductive contact of logic layer and at least one first-level interconnect contact of the
  • Example 15 may include the subject matter of any of Examples 13-14 and may further specify that at least one interconnect structure of the RDL includes a conductive pathway between at least one conductive contact of the double-sided die and at least one first-level interconnect contact of the microelectronic assembly.
  • Example 16 may include the subject matter of any of Examples 13-15 and may further specify that at least one interconnect structure of the RDL includes a conductive pathway between at least one conductive contact of the logic layer and at least one conductive contact of the double sided die.
  • Example 17 may include the subject matter of any of Examples 13-16 and may further specify that at least one interconnect structure of the RDL includes a conductive pathway between at least conductive contacts of the double-sided die.
  • Example 18 may include the subject matter of any of Examples 13-17 and may further specify that at least one interconnect structure of the RDL includes a conductive pathway between the double-sided die and another double-sided die of the microelectronic assembly.
  • Example 19 may include the subject matter of any of Examples 13-18 and may further specify that the RDL has a thickness between 15 microns and 100 microns.
  • Example 20 may include the subject matter of any of Examples 1-19 and may further specify that the microelectronic assembly is included in a hand-held electrical device.
  • Example 21 is an electronic device including a backside illuminated image sensor comprising a pixel array layer and a logic layer; and a double-sided die coupled to the layer by interconnects; and a package substrate, wherein the double-sided die is between at least a portion of the logic layer of the backside illuminated image sensor and first-level interconnects coupled to the package substrate.
  • Example 22 may include the subject matter of Example 21 and may further specify that the double-sided die comprises a plurality of conductive contacts having a pitch between 0.8 microns and 10 microns.
  • Example 23 may include the subject matter of Example 21 and may further specify that the double-sided die is memory.
  • Example 24 may include the subject matter of Example 21 and may further specify that the double-sided die includes power management circuitry.
  • Example 25 may include the subject matter of Example 21 and may further specify that the double-sided die includes a timer.
  • Example 26 may include the subject matter of Example 21 and may further specify that the double-sided die includes at least one of: a neural network device and a machine learning device.
  • Example 27 may include the subject matter of Example 21 and may further specify that the double-sided die is an individual one of a plurality of double-sided dies.
  • Example 28 may include the subject matter of any of Examples 21-27 and may further specify that the double-sided die includes a die substrate, a metallization stack, and a device layer between the die substrate and the metallization stack, and wherein the die substrate is between the package substrate and the device layer.
  • Example 29 may include the subject matter of any of Examples 21-27 and may further specify that the double-sided die includes a die substrate, a metallization stack, and a device layer between the die substrate and the metallization stack, and wherein the device layer is between the package substrate and the die substrate.
  • Example 30 may include the subject matter of any of Examples 21-27 and may further specify that the double-sided die includes a first metallization stack, a second metallization stack, and a device layer between the first metallization stack and the second metallization stack.
  • Example 31 may include the subject matter of any of Examples 21-30 and may further specify a redistribution layer (RDL), wherein the RDL comprises one or more interconnect structures and the double-sided die is between the logic layer and at least a portion of the RDL
  • RDL redistribution layer
  • Example 32 may include the subject matter of Example 31 and may further specify that at least one interconnect structure of the RDL includes a conductive pathway between at least one first conductive contact of logic layer and at least one first-level interconnect contact of the
  • Example 33 may include the subject matter of any of Example 31-32 and may further specify that at least one interconnect structure of the RDL includes a conductive pathway between at least one conductive contact of the double-sided die and at least one first-level interconnect contact of the microelectronic assembly.
  • Example 34 may include the subject matter of any of Examples 31-32 and may further specify that at least one interconnect structure of the RDL includes a conductive pathway between at least one conductive contact of the logic layer and at least one conductive contact of the double sided die.
  • Example 35 may include the subject matter of any Examples 31-34 and may further specify that at least one interconnect structure of the RDL includes a conductive pathway between at least conductive contacts of the double-sided die.
  • Example 36 may include the subject matter of any of Examples 31-35 and may further specify that at least one interconnect structure of the RDL includes a conductive pathway between the double-sided die and another double-sided die of the microelectronic assembly.
  • Example 37 may include the subject matter of any of Examples 31-36 and may further specify that the RDL has a thickness between 15 microns and 100 microns.
  • Example 38 may include the subject matter of any of Examples 21-37 and may further specify that the electronic device is a hand-held electronic device.
  • Example 39 is a method of manufacturing a microelectronic assembly including coupling a double-sided die to a die by first interconnects; and coupling a backside illuminated image sensor to the die by second interconnects.
  • Example 40 may include the subject matter of Example 38 and may further specify that the double-sided die comprises a plurality of conductive contacts having a pitch between 0.8 microns and 10 microns.
  • Example 41 may include the subject matter of any of Examples 39-40 and may further specify that the double-sided die includes one of: a die substrate, a metallization stack, and a device layer between the die substrate and the metallization stack, and wherein the die substrate is between a package substrate and the device layer; a die substrate, a metallization stack, and a device layer between the die substrate and the metallization stack, and wherein the device layer is between a package substrate and the die substrate; or a first metallization stack, a second metallization stack, and a device layer between the first metallization stack and the second metallization stack.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

L'invention concerne des ensembles microélectroniques, et des dispositifs et des procédés associés. Par exemple, dans certains modes de réalisation, un ensemble microélectronique peut comprendre un capteur d'image à rétroéclairage comprenant une couche de matrice de pixels et une couche logique ; et une puce double face couplée à la couche logique par des interconnexions, la couche logique étant entre la puce double face et la couche de matrice de pixels.
PCT/US2017/068906 2017-12-29 2017-12-29 Ensembles microélectroniques WO2019132961A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
PCT/US2017/068906 WO2019132961A1 (fr) 2017-12-29 2017-12-29 Ensembles microélectroniques

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2017/068906 WO2019132961A1 (fr) 2017-12-29 2017-12-29 Ensembles microélectroniques

Publications (1)

Publication Number Publication Date
WO2019132961A1 true WO2019132961A1 (fr) 2019-07-04

Family

ID=67064052

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2017/068906 WO2019132961A1 (fr) 2017-12-29 2017-12-29 Ensembles microélectroniques

Country Status (1)

Country Link
WO (1) WO2019132961A1 (fr)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110989525A (zh) * 2019-12-09 2020-04-10 北京航空航天大学 一种基于fpga的产品智能装配生产线监控系统及方法
TWI700780B (zh) * 2019-08-30 2020-08-01 矽品精密工業股份有限公司 電子封裝件及其製法
WO2020211322A1 (fr) 2019-04-15 2020-10-22 Yangtze Memory Technologies Co., Ltd. Dispositifs à semi-conducteur unifiés ayant un dispositif logique programmable et des mémoires hétérogènes et leurs procédés de formation
NL2028961A (en) * 2020-09-18 2022-05-16 Intel Corp Direct bonding in microelectronic assemblies
US11380726B2 (en) 2019-11-01 2022-07-05 Samsung Electronics Co., Ltd. Sensor device
US11469206B2 (en) 2018-06-14 2022-10-11 Intel Corporation Microelectronic assemblies
EP3891807A4 (fr) * 2019-04-15 2022-10-26 Yangtze Memory Technologies Co., Ltd. Dispositifs à semi-conducteur unifiés ayant un dispositif logique programmable et des mémoires hétérogènes et leurs procédés de formation

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130221470A1 (en) * 2012-02-29 2013-08-29 Larry D. Kinsman Multi-chip package for imaging systems
US20160233203A1 (en) * 2014-03-21 2016-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Packages and Methods of Forming the Same
US20170195602A1 (en) * 2005-06-02 2017-07-06 Sony Corporation Semiconductor image sensor module and method of manufacturing the same
US20170221945A1 (en) * 2011-05-12 2017-08-03 DePuy Synthes Products, Inc. System and method for sub-column parallel digitizers for hybrid stacked image sensor using vertical interconnects
US20170221952A1 (en) * 2014-12-09 2017-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor chip sidewall interconnection

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170195602A1 (en) * 2005-06-02 2017-07-06 Sony Corporation Semiconductor image sensor module and method of manufacturing the same
US20170221945A1 (en) * 2011-05-12 2017-08-03 DePuy Synthes Products, Inc. System and method for sub-column parallel digitizers for hybrid stacked image sensor using vertical interconnects
US20130221470A1 (en) * 2012-02-29 2013-08-29 Larry D. Kinsman Multi-chip package for imaging systems
US20160233203A1 (en) * 2014-03-21 2016-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Packages and Methods of Forming the Same
US20170221952A1 (en) * 2014-12-09 2017-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor chip sidewall interconnection

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11469206B2 (en) 2018-06-14 2022-10-11 Intel Corporation Microelectronic assemblies
US11616047B2 (en) 2018-06-14 2023-03-28 Intel Corporation Microelectronic assemblies
WO2020211322A1 (fr) 2019-04-15 2020-10-22 Yangtze Memory Technologies Co., Ltd. Dispositifs à semi-conducteur unifiés ayant un dispositif logique programmable et des mémoires hétérogènes et leurs procédés de formation
EP3891807A4 (fr) * 2019-04-15 2022-10-26 Yangtze Memory Technologies Co., Ltd. Dispositifs à semi-conducteur unifiés ayant un dispositif logique programmable et des mémoires hétérogènes et leurs procédés de formation
TWI700780B (zh) * 2019-08-30 2020-08-01 矽品精密工業股份有限公司 電子封裝件及其製法
US11380726B2 (en) 2019-11-01 2022-07-05 Samsung Electronics Co., Ltd. Sensor device
CN110989525A (zh) * 2019-12-09 2020-04-10 北京航空航天大学 一种基于fpga的产品智能装配生产线监控系统及方法
CN110989525B (zh) * 2019-12-09 2023-06-30 北京航空航天大学 一种基于fpga的产品智能装配生产线监控系统及方法
NL2028961A (en) * 2020-09-18 2022-05-16 Intel Corp Direct bonding in microelectronic assemblies
US11990448B2 (en) 2020-09-18 2024-05-21 Intel Corporation Direct bonding in microelectronic assemblies

Similar Documents

Publication Publication Date Title
US11756943B2 (en) Microelectronic assemblies
US11791277B2 (en) Microelectronic assemblies
US11600594B2 (en) Microelectronic assemblies
US20240128255A1 (en) Microelectronic assemblies
WO2019132961A1 (fr) Ensembles microélectroniques
US20200395300A1 (en) Substrateless double-sided embedded multi-die interconnect bridge
US11721649B2 (en) Microelectronic assemblies
CN116438653A (zh) 具有直接接合的微电子组件中的气密密封结构
EP4016615A1 (fr) Matériau inter-composant dans les ensembles microélectroniques à liaison directe
EP4152366A2 (fr) Ensembles microélectroniques comprenant des interconnexions soudées et non soudées
CN116344502A (zh) 具有图案化的贯穿电介质过孔和再分布层的封装架构
US20230087367A1 (en) Microelectronic assemblies with through die attach film connections
US20230086691A1 (en) Microelectronic assemblies including bridges
US20230197543A1 (en) Microelectronic assemblies with adaptive multi-layer encapsulation materials
US20240079335A1 (en) Three-dimensional integration of dies in an integrated circuit device
US20230197679A1 (en) Microelectronic assemblies including interconnects with different solder materials
EP4016607A1 (fr) Matériau inter-composant dans les ensembles microélectroniques à liaison directe
WO2019132962A1 (fr) Structures thermiques pour ensembles microélectroniques

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17936699

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 17936699

Country of ref document: EP

Kind code of ref document: A1