WO2018139746A1 - Procédé de préparation d'un matériau de formation de motif quadruple de haute qualité par alliage d'hétéroéléments - Google Patents

Procédé de préparation d'un matériau de formation de motif quadruple de haute qualité par alliage d'hétéroéléments Download PDF

Info

Publication number
WO2018139746A1
WO2018139746A1 PCT/KR2017/013109 KR2017013109W WO2018139746A1 WO 2018139746 A1 WO2018139746 A1 WO 2018139746A1 KR 2017013109 W KR2017013109 W KR 2017013109W WO 2018139746 A1 WO2018139746 A1 WO 2018139746A1
Authority
WO
WIPO (PCT)
Prior art keywords
ald
patterned material
hetero
high quality
preparation
Prior art date
Application number
PCT/KR2017/013109
Other languages
English (en)
Korean (ko)
Inventor
이한보람
Original Assignee
인천대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인천대학교 산학협력단 filed Critical 인천대학교 산학협력단
Publication of WO2018139746A1 publication Critical patent/WO2018139746A1/fr

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02156Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing at least one rare earth element, e.g. silicate of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition

Definitions

  • the present invention relates to a method for producing a high quality quadruple patterning material through heterogeneous alloying, and more specifically, yttrium oxide (Y 2 O 3 ) to titanium oxide (TiO 2 ) using a supercycle atomic layer deposition method (Supercycle ALD).
  • the present invention relates to a method of providing a high quality quadruple patterning material by solving a problem of increasing surface roughness by a subsequent heat treatment process of an existing titanium oxide (TiO 2 ) thin film by doping into an alloy.
  • Multiple patterning refers to a technique developed for photolithography to increase feature density. For example, in double patterning, the lithography process is increased so that the expected number of features is doubled. Specifically, two exposures and two etching processes are performed.
  • multi-patterning including an exposure process is used to fabricate a highly integrated semiconductor device.
  • the conventional double patterning has reached a limit in implementing fine patterns.
  • TiO 2 has a higher film density than SiO 2 and is being studied as a potential quadruple patterning material.
  • TiO 2 has a big problem that the surface roughness of the thin film increases due to crystallization in a subsequent heat treatment process after the thin film is formed.
  • the present invention is to solve the problems of the prior art as described above, the conventional titanium oxide (TiO 2 ) to solve the problem of increasing the surface roughness of the thin film by a subsequent heat treatment process to provide a high quality quadruple patterning material. It is a technical task to provide a new way of doing this.
  • the present invention provides a method for producing a multi-patterning (particularly for quadruple patterning) material using a supercycle ALD, Atomic layer deposition (ALD) on a substrate ) Repeating the deposition of the titanium oxide (TiO 2 ) thin film 1 to 1000 times, and depositing the yttrium oxide (Y 2 O 3 ) thin film using atomic layer deposition (ALD). Repeating 1 to 1000 times as one supercycle, and doping the yttrium oxide (Y 2 O 3 ) to titanium oxide (TiO 2 ) to perform one or more cycles one or more times to form an alloyed thin film.
  • ALD Atomic layer deposition
  • the present invention forms a alloyed thin film by doping yttrium oxide (Y 2 O 3 ) to titanium oxide (TiO 2 ) using supercycle atomic layer deposition (Supercycle ALD), thereby following the conventional titanium oxide (TiO 2 ) thin film. It is possible to provide a high quality quadruple patterning material in which the problem of increasing the surface roughness by the heat treatment process is solved.
  • the present invention overcomes the limitations of the existing exposure process to enable the micropattern implementation of the semiconductor device to enable the production of super-integrated semiconductor devices.
  • the present invention has a wide applicability over all semiconductor fields, and has an advantage that it can be widely applied to an environment requiring a fine pattern such as not only a semiconductor device but also a memory field.
  • 1 is a view schematically showing a process of quadruple patterning.
  • FIG. 2 is a view schematically illustrating a process element of a method of manufacturing a material for multipatterning using a supercycle ALD according to the present invention.
  • Figure 3 shows the surface roughness changes before and after the subsequent heat treatment of the pure titanium oxide (TiO 2 ) thin film formed by atomic layer deposition and the yttrium-doped titanium oxide (TiO 2 ) thin film according to the present invention. AFM image.
  • Atomic layer deposition on the substrate by using a (Atomic layer deposition ALD) titanium oxide (TiO 2) repeated 1 to 1,000 times the step of depositing a thin film, and also the atomic layer deposition method; using (Atomic layer deposition ALD) yttrium Repeating the deposition of the oxide (Y 2 O 3 ) thin film 1 to 1000 times as one supercycle,
  • yttrium oxide Y 2 O 3
  • TiO 2 titanium oxide
  • the one supercycle is composed of one yttrium oxide (Y 2 O 3 ) ALD process and sixteen titanium oxide (TiO 2 ) ALD processes, that is, yttrium oxide (Y 2 O 3 ) ALD process and that the titanium oxide (TiO 2) ALD process performed at a ratio of 1:16 being preferred.
  • the time for performing one yttrium oxide (Y 2 O 3 ) ALD process is not particularly limited.
  • the second to 17th ALD processes are performed. Either (eg, the last ALD process) may be carried out in a yttrium oxide (Y 2 O 3 ) ALD process.
  • any substrate capable of maintaining its unique characteristics while being resistant to adverse effects such as morphology change by the atomic layer deposition process may be used.
  • a silicon (Si) substrate for example, a silicon (Si) substrate, a silica (SiO 2 ) substrate, a platinum (Pt) substrate, or the like may be used depending on the application, and a silicon (Si) substrate is suitable for a semiconductor device.
  • Si silicon
  • SiO 2 silica
  • Pt platinum
  • Y 2 O 3 which is a material doped with titanium oxide (TiO 2 )
  • TiO 2 titanium oxide
  • the thermal stability, heat resistance and durability are excellent in themselves.
  • the yttrium oxide (Y 2 O 3) ALD as the yttrium precursor of step is that which can be applied to the ALD method, for example, an organic metal, depending on the type of the ligand, (Ligand) coupled to yttrium metal atom (Metal organic), metal halides (Metal halide) and the like, preferably bis-isopropylcyclopentadienyl-di-isopropylacetamidinate-yttrium (Yerba: Y (iPrCp) 2 (N-iPr-amd)) is used. do.
  • an organic metal depending on the type of the ligand, (Ligand) coupled to yttrium metal atom (Metal organic), metal halides (Metal halide) and the like, preferably bis-isopropylcyclopentadienyl-di-isopropylacetamidinate-yttrium (Yerba: Y (iPrC
  • the yttrium oxide (Y 2 O 3) ALD unit of the process can be ongoing with the purging during the yttrium precursor adsorption for 8 seconds, 10 seconds, the reaction gas inlet for three seconds, and 10 second purge for the net
  • the execution time of each step constituting the unit process can be appropriately adjusted as necessary.
  • the titanium precursor of the titanium oxide (TiO 2 ) ALD process may be applied to the ALD method, for example, depending on the type of functional group bonded to the titanium metal atom (metal organic, metal halide) And the like, and preferably titanium tetraisopropoxide (TTIP: Ti (OC 3 H 7 ) 4 ) is used.
  • TTIP titanium tetraisopropoxide
  • the unit process of the titanium oxide (TiO 2 ) ALD may be performed in the order of titanium precursor adsorption for 2 seconds, purging for 5 seconds, injection of reaction gas for 3 seconds, and purging for 5 seconds,
  • the execution time of each step constituting the unit process can be appropriately adjusted as necessary.
  • Reaction gases for reaction with the metal precursor adsorbed in the yttrium oxide (Y 2 O 3 ) ALD process and titanium oxide (TiO 2 ) ALD process are ozone (O 3 ), oxygen (O 2 ), oxygen (O 2 ) Plasma or water vapor (H 2 O) or the like can be used, preferably ozone (O 3 ) is used.
  • Supercycle atomic layer deposition method can be carried out by maintaining the temperature of the substrate at 200 °C ⁇ 400 °C, for example, yttrium oxide (Y 2 O 3 ) ALD process and the conditions of 200 °C Titanium oxide (TiO 2 ) ALD process may be performed respectively.
  • Y 2 O 3 yttrium oxide
  • TiO 2 Titanium oxide
  • one supercycle may be performed 1 to 1000 times to obtain a multi-patterned material thin film having a desired thickness.
  • the number of supercycle runs is performed so that the thickness of the alloying thin film to be finally formed is about 15 to 18 nm. Adjust it.
  • the yttrium oxide (Y 2 O 3 ) ALD process and titanium oxide (TiO 2 ) ALD process constituting the supercycle ALD process of the present invention respectively,
  • the native oxide formed on the substrate is removed, and then heated to a predetermined temperature (for example, 200 °C),
  • a purging gas eg, inert gas
  • Step may be performed by repeating sequentially.
  • the multi-patterned material thin film prepared in accordance with the present invention is subjected to a subsequent heat treatment process, the inventors of the present invention when the subsequent heat treatment for 1 hour at 400 °C, the surface roughness of the multi-patterned material thin film does not increase, but rather reduced It was confirmed specifically through the experiment.
  • yttrium oxide (Y 2 O 3 ) and titanium oxide (TiO 2 ) were deposited at 200 ° C. using an ALD process cycle of 1:16 as one supercycle.
  • Titanium oxide (TiO 2 ) ALD process was performed as follows:
  • the native oxide film of the silicon substrate Si (100) p-type was removed, and then heated to 200 ° C.
  • TTIP a titanium (Ti) precursor vaporized over the heated substrate
  • the carrier gas (Ar) was administered with the carrier gas for 2 seconds.
  • the flow rate of the carrier gas was maintained at 50 sccm.
  • the excess precursor except for the titanium precursor physically or chemically adsorbed on the silicon substrate was removed by supplying argon purging gas at a flow rate of 50 sccm for 5 seconds.
  • ozone (O 3 ) was administered on the substrate to which the titanium precursor was adsorbed for 3 seconds to oxidize the adsorbed titanium precursor to grow a titanium oxide (TiO 2 ) thin film.
  • This process was defined as one cycle and repeated 16 times to form a thin film.
  • Yttrium oxide (Y 2 O 3 ) ALD process was performed as follows:
  • Yerba a yttrium (Y) precursor vaporized onto a silicon substrate heated to 200 ° C.
  • the carrier gas Ar
  • the flow rate of the carrier gas was maintained at 50 sccm.
  • the excess precursor except for the yttrium precursor physically or chemically adsorbed on the silicon substrate was removed by supplying argon purging gas at a flow rate of 50 sccm for 10 seconds.
  • ozone (O 3 ) was administered on the substrate to which the yttrium precursor was adsorbed for 3 seconds to oxidize the adsorbed yttrium precursor to grow a yttrium oxide (Y 2 O 3 ) thin film.
  • This process was defined as one cycle and carried out once to form a thin film.
  • one supercycle process including one yttrium oxide (Y 2 O 3 ) ALD unit process and sixteen titanium oxide (TiO 2 ) ALD unit processes was repeated to finally form a thin film having a thickness of 15 to 18 nm. .
  • the formed thin film was subsequently heat treated at 400 ° C. for 1 hour using a furnace.
  • the surface roughness (R q ) of the titanium oxide (TiO 2 ) thin film and the yttrium-doped titanium oxide (TiO 2 ) thin film formed by atomic layer deposition was compared by AFM measurement.
  • the surface roughness increased from 1.98 kPa to 2.95 kPa after the heat treatment.
  • the surface roughness decreased by 20.3% from 1.92 ⁇ to 1.53 ⁇ after heat treatment.
  • the present invention enables the production of super-integrated semiconductor devices by overcoming the limitations of the existing exposure process to enable the micro-patterns of semiconductor devices, and has wide applicability in all semiconductor fields. If the environment requires a fine pattern, it can be applied widely.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

La présente invention concerne un procédé de préparation d'un matériau de formation de motif quadruple de haute qualité par l'alliage d'hétéroéléments et, plus spécifiquement, un procédé capable de produire un matériau de formation de motif quadruple de haute qualité en résolvant le problème d'une augmentation de la rugosité de surface, provoquée par un processus de traitement thermique ultérieur d'un film mince d'oxyde de titane (TiO2) classique, en utilisant un dépôt de couche atomique (ALD) à supercycle de manière à doper de l'oxyde d'yttrium (Y2O3) dans l'oxyde de titane (TiO2) et allier celui-ci.
PCT/KR2017/013109 2017-01-25 2017-11-17 Procédé de préparation d'un matériau de formation de motif quadruple de haute qualité par alliage d'hétéroéléments WO2018139746A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170011978A KR101900181B1 (ko) 2017-01-25 2017-01-25 이종원소 합금화를 통한 고품질 사중패터닝 물질의 제조방법
KR10-2017-0011978 2017-01-25

Publications (1)

Publication Number Publication Date
WO2018139746A1 true WO2018139746A1 (fr) 2018-08-02

Family

ID=62978639

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2017/013109 WO2018139746A1 (fr) 2017-01-25 2017-11-17 Procédé de préparation d'un matériau de formation de motif quadruple de haute qualité par alliage d'hétéroéléments

Country Status (2)

Country Link
KR (1) KR101900181B1 (fr)
WO (1) WO2018139746A1 (fr)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102666160B1 (ko) * 2022-09-16 2024-05-13 에스케이트리켐 주식회사 이트륨 또는 스칸듐 함유 박막 형성용 전구체, 이를 이용한 이트륨 또는 스칸듐 함유 박막 형성 방법 및 상기 이트륨 또는 스칸듐 함유 박막을 포함하는 반도체 소자.

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030040196A1 (en) * 2001-08-27 2003-02-27 Lim Jung Wook Method of forming insulation layer in semiconductor devices for controlling the composition and the doping concentration
US20110203085A1 (en) * 2009-06-30 2011-08-25 Intermolecular, Inc. Titanium-based high-k dielectric films
KR20120012319A (ko) * 2010-07-30 2012-02-09 영남대학교 산학협력단 원자층 증착법에 의한 박막 형성 방법, 이를 포함하는 반도체 소자의 배선 및 그 제조 방법
KR20120075397A (ko) * 2010-12-28 2012-07-06 에이에스엠 저펜 가부시기가이샤 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법
KR20150053253A (ko) * 2013-11-07 2015-05-15 노벨러스 시스템즈, 인코포레이티드 진보된 패터닝을 위한 소프트 랜딩 나노적층물들

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013089727A1 (fr) 2011-12-15 2013-06-20 Intel Corporation Procédés pour le traçage de motifs doubles, tripes et quadruples à exposition simple autoaligné

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030040196A1 (en) * 2001-08-27 2003-02-27 Lim Jung Wook Method of forming insulation layer in semiconductor devices for controlling the composition and the doping concentration
US20110203085A1 (en) * 2009-06-30 2011-08-25 Intermolecular, Inc. Titanium-based high-k dielectric films
KR20120012319A (ko) * 2010-07-30 2012-02-09 영남대학교 산학협력단 원자층 증착법에 의한 박막 형성 방법, 이를 포함하는 반도체 소자의 배선 및 그 제조 방법
KR20120075397A (ko) * 2010-12-28 2012-07-06 에이에스엠 저펜 가부시기가이샤 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법
KR20150053253A (ko) * 2013-11-07 2015-05-15 노벨러스 시스템즈, 인코포레이티드 진보된 패터닝을 위한 소프트 랜딩 나노적층물들

Also Published As

Publication number Publication date
KR101900181B1 (ko) 2018-09-18
KR20180087665A (ko) 2018-08-02

Similar Documents

Publication Publication Date Title
JP2019186562A5 (fr)
US20200203157A1 (en) Method for preparing multiplayer structure
WO2012018210A2 (fr) Procédé permettant de déposer un film mince de manière cyclique
WO2015030297A1 (fr) Couches minces organiques/inorganiques hybrides et leur procédé de fabrication
WO2021096326A1 (fr) Procédé de formation de film mince à l'aide d'un matériau de protection de surface
WO2018066884A1 (fr) Procédé de préparation de membrane composite
WO2019103500A1 (fr) Composition pour déposer un film mince contenant du silicium et procédé de production d'un film mince contenant du silicium l'utilisant
WO2022010201A1 (fr) Procédé de production de pellicule destinée à une lithographie extrême ultraviolet
WO2018070785A1 (fr) Composition de masque dur à base de carbone à haute résistance à la gravure et procédé de formation de motifs l'utilisant
WO2013089463A1 (fr) Procédé de dépôt de carbure de silicium et tranche épitaxiale de carbure de silicium
WO2021194032A1 (fr) Pellicule composite en graphène-métal contenant du graphène et son procédé de fabrication
WO2018139746A1 (fr) Procédé de préparation d'un matériau de formation de motif quadruple de haute qualité par alliage d'hétéroéléments
WO2020209527A1 (fr) Composition de masque dur très épais en carbone déposé par centrifugation et procédé de formation de motifs l'utilisant
WO2021206357A1 (fr) Procédé de production d'un catalyseur métallique sur lequel est déposé un film inorganique au moyen d'un procédé ald, et catalyseur métallique ayant une activité améliorée en fonction de celui-ci
JP7086758B2 (ja) パターン形成方法および半導体装置の製造方法
WO2022055103A1 (fr) Procédé de formation d'un film mince à sélectivité de région utilisant un agent de sélection
WO2017082695A2 (fr) Film mince de métal-carbure-oxyde comprenant du carbone, un oxyde et du métal, et procédé de fabrication associé
WO2023191403A1 (fr) Masque perforé à film mince à base de carbone et son procédé de fabrication
WO2021141324A1 (fr) Procédé de formation de film mince à l'aide d'un matériau de protection de surface
WO2017188546A1 (fr) Procédé de dépôt de film mince
WO2017222350A1 (fr) Module de gaz pour appareil de dépôt de couche atomique, appareil de dépôt de couche atomique, et procédé de dépôt de couche atomique au moyen de ceux-ci
WO2014073892A1 (fr) Procédé de fabrication de couche mince contenant du silicium
WO2021137595A1 (fr) Procédé de formation d'un film de matériau à l'aide d'un matériau de protection de surface
WO2021154025A1 (fr) Dispositif de traitement de substrat et procédé de traitement de substrat
WO2021096155A1 (fr) Modificateur de surface de substrat pour dépôt de couche atomique et dépôt en phase vapeur, et procédé de modification de surface de substrat faisant appel à ce dernier

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17894000

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 17894000

Country of ref document: EP

Kind code of ref document: A1