WO2018125092A1 - Pas serré par formation d'éléments d'espacement itératifs - Google Patents

Pas serré par formation d'éléments d'espacement itératifs Download PDF

Info

Publication number
WO2018125092A1
WO2018125092A1 PCT/US2016/068938 US2016068938W WO2018125092A1 WO 2018125092 A1 WO2018125092 A1 WO 2018125092A1 US 2016068938 W US2016068938 W US 2016068938W WO 2018125092 A1 WO2018125092 A1 WO 2018125092A1
Authority
WO
WIPO (PCT)
Prior art keywords
spacer
spacers
features
implementations
layer
Prior art date
Application number
PCT/US2016/068938
Other languages
English (en)
Inventor
Richard E. Schenker
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to PCT/US2016/068938 priority Critical patent/WO2018125092A1/fr
Publication of WO2018125092A1 publication Critical patent/WO2018125092A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing

Definitions

  • Integrated circuits use interconnect structures to electrically couple devices (e.g., transistors, light-emitting diodes, passive components, etc.) of an integrated circuit or to send and receive signals to the devices of the IC.
  • devices e.g., transistors, light-emitting diodes, passive components, etc.
  • Conductive materials such as copper or copper alloys may be used for interconnection lines or traces to electrically couple devices to other devices of the IC.
  • Figure 1 illustrates a fabrication process including first operations for a interconnect structure by iterative spacer formation, according to implementations.
  • Figure 2 illustrates a fabrication process including second operations for a
  • interconnect structure by iterative spacer formation, according to implementations.
  • Figure 3 illustrates a fabrication process including third operations for a interconnect structure by iterative spacer formation, according to implementations.
  • Figure 4 illustrates a fabrication process including fourth operations for a interconnect structure by iterative spacer formation, according to implementations.
  • Figure 5 illustrates a fabrication process including fifth operations for a interconnect structure by iterative spacer formation, according to implementations.
  • Figure 6 illustrates a fabrication process including sixth operations for a interconnect structure by iterative spacer formation, according to implementations.
  • Figure 7 illustrates a fabrication process including seventh operations for a interconnect structure by iterative spacer formation, according to implementations.
  • Figure 8 illustrates a fabrication process including eighth operations for a interconnect structure by iterative spacer formation, according to implementations.
  • Figure 9 illustrates a fabrication process including ninth operations for a interconnect structure by iterative spacer formation, according to implementations.
  • Figure 10 is a flow diagram of a fabrication process for a interconnect structure, according to implementations.
  • Figure 11 illustrates an interposer, according to implementations.
  • Figure 12 is a computing device built in accordance with implementation of the present disclosure.
  • a feature may be an element or physical structure of an integrated circuit, such as a transistor channel, via, plug, etc., where the feature size of the element or physical structure is controllable within a tolerance.
  • Feature size may be a physical measurement (e.g., width, length, etc.) of a feature.
  • a manufacturing process such as a semiconductor manufacturing process, may control feature size.
  • manufacturing sub-micron features is to properly align and connect the thousands to billions of features on multiple levels of an IC given manufacturing variation across processes and across a single process.
  • Pitch may refer to the sum of the feature size of a feature and the distance between the feature and another adjacent feature.
  • Manufacturing interconnect structures e.g., including interconnect lines, vias, plugs, etc.
  • edges placement margins become smaller.
  • misalignment of features such as vias of an interconnect structure, become a greater concern. If a via is misaligned and contacts a wrong metal feature, performance of the IC may be degraded or the IC may fail.
  • the present disclosure addresses the above-mentioned and other deficiencies by forming an interconnect structure by iteratively depositing spacers with at least three different materials.
  • the at least three different materials may be alternatingly deposited in a repeating patters.
  • the different materials may have different etch properties.
  • the different etch properties of the materials allows for greater edge placement margin by allowing a spacer of a particular material to be selectively removed without removing adjacent spacers of different materials.
  • the area created by the removal of the spacer may be used to form a feature, such as a via, plug, or other feature of an interconnect structure.
  • aspects of the present disclosure describe processes and features of an interconnect structure. It may be noted that aspects of the present disclosure may be applied to features, components, layers, etc. of an IC other than an interconnect structure. For example, processes described herein may be applied for form transistor features (e.g., gate, source, drain, or fin) of a transistor (e.g., bipolar junction transistors (BJT), field effect transistors (FET), such as metal-oxide- semiconductor FET, Fin FET, multiple-gate FET (MuFET) etc.). In other examples, the processes described herein may form features of diodes, light-emitting diodes (LED), or memory cells, among others.
  • transistor features e.g., gate, source, drain, or fin
  • FET field effect transistors
  • the processes described herein may form features of diodes, light-emitting diodes (LED), or memory cells, among others.
  • a wafer includes multiple integrated circuit die. In one
  • an integrated circuit die includes a device layer and an interconnect structure.
  • the interconnect structure includes a via to electrically couple with the device layer to a conductive layer.
  • the interconnect structure includes first features having a repeating pattern of feature sizes. The first features are disposed between a respective one of multiple second features. Each of the first features has a narrower width than the second features.
  • the feature size of the repeating pattern of feature sizes has a tolerance of less than or equal to 2 nm.
  • the first features have features sizes in a range of 1 nanometer (nm) to 10 nm.
  • the second features have feature sizes have features sizes greater than 10 nm.
  • the IC die includes a conductive layer of the interconnect structure to electrically couple with the device layer through the via.
  • the first features includes multiple spacers of a dielectric material.
  • the multiple spacers of the dielectric material have approximately a same feature size having a tolerance of less than or equal to 2 nm. .
  • a wafer includes multiple integrated circuit die.
  • the integrated circuit die includes a device layer and an interconnect structure.
  • the interconnect structure includes a via to electrically couple with the device layer to a conductive layer.
  • the interconnect structure includes first features having a repeating pattern of feature sizes. The first features are disposed between a respective one of multiple second features. Each of the first features has a narrower width than the second features.
  • a method of fabricating an interconnect structure of an integrated circuit includes forming a hardmask layer above a substrate. The method also includes forming multiple spacers above the hardmask layer by iteratively depositing spacers of at least three different materials. At least three different materials are alternatingly deposited in a repeating pattern. The method includes forming a via of the interconnect structure in an area formed by a selective etch of a spacer of multiple spacers.
  • the method includes etching the spacer having one of the at least three different materials selectively to form the area for the via without removing adjacent spacers having a material different from the etched spacer.
  • the multiple spacers have a repeating pattern including a first spacer of a first material, a second spacer of a second material adjacent the first spacer, a third spacer of a third material adjacent the second spacer, and a fourth spacer of the second material adjacent the third spacer.
  • forming the via of the interconnect structure includes etching the third spacer of the multiple spacers.
  • the third spacer is selectively etched without removing the first spacer, the second spacer, or the fourth spacer based on different etch properties of the first material, the second material, and the third material.
  • the method also includes forming the via of the interconnect structure in the area formed by the etch of the third spacer of the multiple spacers.
  • the method includes forming a first backbone structure and a second backbone structure.
  • the multiple spacers are formed between the first backbone structure and the second backbone structure.
  • the method includes subsequent to forming the multiple spacers, removing the first backbone structure and the second backbone structure. The method also includes forming additional spacers of the plurality of spacers in an area formed by removal of the first backbone structure and the second backbone structure. [0030] In implementations, the method of forming a plurality of spacers above the hardmask layer includes depositing the plurality of spacers using atomic layer deposition (ALD). In implementations, the method of forming a plurality of spacers above the hardmask layer includes depositing a first material between a first backbone structure and a second backbone structure.
  • ALD atomic layer deposition
  • the method includes etching the first material to form a first spacer and depositing a second material adjacent the first spacer.
  • the method includes etching the second material to form a second spacer adjacent the first spacer and depositing a third material adjacent the second spacer.
  • the method includes etching the third material to form a third spacer and depositing the second material adjacent the third spacer.
  • the method includes etching the second material adjacent the third spacer to form a fourth spacer.
  • At least three different materials are dielectric materials with different etch properties.
  • the plurality of spacers have feature sizes in a range of 1 nanometer (nm) to 10 nm.
  • FIGS 1-9 illustrate a fabrication process for a interconnect structure by iterative spacer formation, according to implementations.
  • Fabrication processes 100 through 900 include interconnect structure 110 at various stages of the fabrication process, according to one exemplary implementation. It may be noted that fabrication processes 100-900 are shown for purposes of illustration, rather than limitation. Fabrication processes 100-900 may be performed in any order, include any number of processes, and include additional, the same, or fewer processes. It may also be noted that for purposes of illustration, rather than limitation, materials are described for the various layers or structures illustrated in fabrication processes 100-900. Other materials, other than or in addition to the materials described with respect to Figures 1-9, may also be used in other implementations.
  • interconnect structure 110 may be part of an integrated circuit die diced from a wafer or be part of a wafer. It may also be noted that the orientation of interconnect structure 110 relative other layers is shown for purposes of illustration, rather than limitation. For example, interconnect structure 110 may be below device layer 118. It may also be noted that a layer (e.g., transfer layer 112, hardmask layer 114, etc.), described herein, may include one or more layers. In implementations, each layer may include the same or different materials as other layers.
  • process 100 shows interconnect structure 110 above device layer 118, according to implementations.
  • Device layer 118 may include one or more devices (D1-D4).
  • devices D1-D4 may be any component, active or passive, such as transistors, diodes, LEDs, capacitors, etc.
  • Devices D1-D4 may be part of a substrate or above a substrate (not shown).
  • the substrate may be a variety of materials, including, but not limited to, Silicon, Gallium Nitride (GaN), Germanium, Sapphire, or Silicon Carbide such as 3C-Silicon Carbide (3C-SiC).
  • the substrate may be silicon on insulator (SOI).
  • the crystallographic orientation of a substantially monocrystalline substrate may be any of (100), (111), or (110). Other crystallographic orientations are also possible.
  • the crystallographic orientations of the substrate may be offcut.
  • the substrate is (100) silicon with crystalline substrate surface region having cubic crystallinity.
  • the semiconductor surface may be miscut, or offcut, for example 2-10° toward [110].
  • substrate is (111) silicon with crystalline substrate surface region having hexagonal crystallinity.
  • Interconnect structure 110 of process 100 includes transfer layer 112, hardmask layer 114, and backbone 116. It may be noted that interconnect structure 110 may include the same, more, or fewer features or layers or levels in other implementations. It may be noted that backbone 116 may refer to backbone 116A and/or backbone 116B, while reference to backbone 116A may refer only backbone 116A, unless otherwise specified.
  • Transfer layer 112 may be deposited or grown above a substrate or device layer 118. Transfer layer 112 may be used to electrically separate an interconnect layer above from a device or interconnect layer below. Transfer layer 112 may be referred to as inter layer dielectric or ILD. Transfer layer materials may include one or more of Silicon Dioxide or Cadmium Oxide (CdO), among others.
  • CdO Cadmium Oxide
  • Hardmask layer 114 (also referred to as a "hard mask” or “protective layer” herein) may be formed, deposited, or grown above transfer layer 112. In one exemplary
  • hardmask layer 114 may be Silicon Nitride (Si 3 N 4 ).
  • a hard mask layer, such as hardmask layer 114, may be a variety of materials including one or more of Silicon Oxide (Si0 2 ) or Silicon Nitride (Si 3 N 4 ).
  • hardmask layer 114 is a dielectric material.
  • Representative dielectric materials may include, but are not limited to, various Oxides, Nitrides and Carbides, for example, Silicon Oxide, Titanium Oxide, Hafnium Oxide, Aluminum Oxide, Oxynitride, Zirconium Oxide, Hafnium Silicate, Lanthanum Oxide, Silicon Nitride, Boron Nitride, Amorphous Carbon, Silicon Carbide, Amorphous Silicon, or other similar dielectric materials.
  • hardmask layer 114 is deposited, for example, by a plasma deposition process, to a thickness to serve as a mask to transfer layer 112 (e.g., to protect from undesired modification of the underlying layer from energy used in a subsequent process, such as subsequent mask registration).
  • a representative thickness of hardmask layer 114 is on the order of 30 angstroms (A) + 20 A.
  • a representative thickness of hardmask layer 114 is on the order of two to five nanometers (nm). In some implementations, the thickness of hardmask layer 114 may be 5 nm to 15 nm.
  • Process 100 illustrates the formation of backbone 116A and backbone 116B above hardmask layer 114.
  • Backbone 116 may also be referred to as "backbone structure” or “mandrel” or “mandrel structure,” herein.
  • a backbone material may be deposited or grown above the hardmask layer 114 as a conformal layer.
  • Backbone materials include, but are not limited to Polysilicon, Amorphous Silicon, Amorphous Carbon, Silicon Nitride and Germanium.
  • Backbone 116 may offer structural support or scaffolding to create multiple spacers of different material, as described below.
  • a layer of backbone material may be deposited above hardmask layer 114.
  • a photoresist material may be patterned to define one or more trenches (e.g., trench 120) within the layer of backbone material.
  • the photoresist material may form a pattern over the layer of backbone material that may in turn, be used to form a pattern within the backbone material for the opening of trenches to form backbone 116A and backbone 116B, as illustrated in Figure 1.
  • the backbones 116 may be formed using lithography (e.g., 193 nanometer (nm) or extreme ultraviolet lithography (EUV)). Removal of remaining resist or an anti-reflection layer may be performed using ash or wet cleans, for example.
  • the height of backbone 116 may be related to the number of spacers that are to be created using the backbone 116 as a template feature. For instance, for each spacer formed adjacent (to at least one side), an etch process used to form the spacer may remover some portion of backbone 116. With formation of each subsequent spacer, the height of backbone 116 may decrease.
  • a backbone used as a template feature for hundreds of spacers may be taller (at least after formation) than a backbone used as a template feature for tens of spacers (assuming the spacers in both cases are the same relative width).
  • the backbone 116 may be greater than 50 nm in height after formation.
  • process 200 shows the formation of spacers 220A on backbones 116, according to implementations.
  • Spacers 220A are formed using the same spacer material illustrated as material A.
  • the spacer material may be any material.
  • the spacer material may be a dielectric material. Examples of dielectric materials are described at least with respect to hardmask layer 114, above.
  • the spacer material may be a metal, or oxide or nitride of a metal.
  • Titanium Oxide or Titanium Nitride may be used as a spacer material.
  • the spacer material may be Zirconium Oxide (ZrN), Zirconium Nitride (ZrN), Hafnium Oxide (HfO), Hafnium Nitride (HfN), or Aluminum Oxide (AlOx).
  • the spacers 220A may be formed by atomic layer depositions (ALD).
  • ALD may be used to control the deposit of materials at the atomic level by depositing a single layer of atoms at a time.
  • ALD may deposit spacers 220A with a feature size (e.g., width 221) in the range of 1 nm to 10 nm with a tolerance of less than or equal to 2 nm. It may be noted that spacers wider than 10 nm may be formed using ALD or other processing technique.
  • the hardmask layer 114 lay be etched (e.g., anisotropic etch) to remove any superfluous spacer material from hardmask layer 114 and prepare hardmask layer 114 for subsequent spacer formation. It may be appreciated that other or additional techniques may be implemented to form spacers, such as spacers 220A. In one implementation, spacers 220A may be formed using selective growth techniques or directed self-assembly (DSA), for example.
  • DSA directed self-assembly
  • process 300 illustrates the iterative deposition of spacers using at least three different materials, according to an implementation.
  • the spacer material of each of spacers 220A, 320B, and 320C may be one or more of the materials described with respect to Figure 2.
  • the use of a letter with spacer numbers e.g., spacers 220A, 320B, and 320C
  • spacers 220A use material A
  • spacers 320B use material B
  • spacers 320C use material C.
  • material A, B, and C are different materials from one another having different etch properties.
  • forming spacers using different three materials is provided for purposed of illustration, rather than limitation. In other implementations, any number of spacer materials may be used. For example, forming spacers with four or more spacer materials may be implemented.
  • the different spacer materials may have different etch properties.
  • Etch properties may refer to a property (e.g., etch rate) or response of a material to a particular etch process.
  • different etch properties may refer to the etch rate of the target material compared to the etch rate of other materials exposed to an etch process having a high ratio (e.g., high etch selectivity).
  • etch selectivity may from 3 to 1 rates, to 1000 to 1 rates.
  • spacers with different etch properties may be exposed to an etch process to remove a spacer with one etch property without removing spacers having different etch properties (at least not enough to materially affect the remaining spacers).
  • spacers of different materials with different etch properties allows for the removal of a particular spacer without removing neighboring spacers with different etch properties.
  • features with tight pitch e.g. 40 nm or below
  • the additional margin for error granted by the use of spacers of different materials allows for the manufacture of an IC with smaller features sizes and greater reliability.
  • spacers 220A, 320B, and 320C having different materials are alternately deposited using repeating patterns.
  • the pattern may include the repetition of the following group of spacers: material A of spacer may be deposited, followed by material B of spacers 320B, followed by material C of spacers 320C, followed by material B of spacers 320B. It may be noted that any pattern using three or more materials may be implemented.
  • multiple spacers are formed on both sides of backbone 116.
  • the spacers having different materials may be formed using multiple processes.
  • spacers 220A are formed on both sides of backbone 116, followed by the formation of spacers 320B on both sides of backbone 116, and so forth.
  • one or more of spacers 220A, 320B, and 320C may have a feature size in the range of 1 nm to 10 nm with a tolerance of less than or equal to 2 nm.
  • all the spacers 220A may have the same features size (e.g.
  • all the spacers 320B may have the same features sizes within a tolerance, and all the spacers 320C have the same feature sizes within a tolerance.
  • the spacers 230A, 320B, and 320C may have the same or different feature sizes.
  • process 400 illustrates the iterative deposition of additional spacers using at least three different materials, according to an implementation.
  • the pattern of spacers with different material is repeated.
  • the number of spacers between backbone 116 may be from 6 to hundreds, depending on the application. It may be noted (and as illustrated) that with each successive etch of a spacer, the height of the backbone 116 is reduce and a subsequently deposited spacer is shorter than previously deposited spacers.
  • process 500 illustrates the removal of backbone 116, according to an implementation.
  • the removal of backbone 116 facilitates additional area for feature generation.
  • backbone 116 may be selectively etched leaving spacers 220A, 320B, and 320C.
  • backbone 116 not be removed.
  • backbone 116 uses a backbone material with different etch properties than spacers 220A, 320B, and 320C.
  • process 600 illustrates forming additional spacers in an area created by the removal of backbone 116.
  • the area formed by the removal of backbone 116 may be used to form spacers with narrower feature sizes (e.g., Inm-lOnm width) or spacers with larger feature sizes, respectively (e.g., greater than 10 nm shown by width 621). As illustrated, the spacers with narrower features sizes are buffered by spacers with larger feature sizes, respectively.
  • the additional spacers may be formed similarly as described above.
  • process 700 illustrates a planarization process to smooth the surface of the interconnect structure 110.
  • the planarization process may include any planarization process, such as chemical-mechanical planarization (CMP).
  • CMP chemical-mechanical planarization
  • process 800 illustrates formation of vias 822 and other features by the selective removal of spacers of a particular type of material, according to an implementation.
  • a via such as via 822, may be made of a conductive material and connect components on one plane to components on a different plane.
  • spacers 220A of material A may be selectively removed without removing spacers 320B and 320C made from a different material than spacers 220A.
  • the area created by the removal of the spacers 220A may be filled with any material, such as a conductive material (e.g., material D).
  • Conductive material may be any material capable of conduction including metals, such as Copper, Aluminum, Tungsten, or metal alloys.
  • vias 822 made of a conductive material may be made to a plane below (or above) interconnect structure 110.
  • the areas formed by the selective removal of spacers 220A may be filled with a conductive material without forming a via (e.g., feature 830).
  • feature 830 may be a vertical interconnect line of interconnect structure 110 to connect interconnect structure 110 to layers above (e.g., other interconnect layers of interconnect structure 110).
  • feature 830 may be used to connect to a conductive layer (not shown) (e.g., metal layer) above interconnect structure 110.
  • feature 830 may be used to connect layers above interconnect structure 110 to a conductive layer (not shown) embedding in interconnect structure 110.
  • a hardmask material e.g., dielectric material as described above
  • material E e.g., material E
  • a dielectric material (e.g., material E) of hardmask 821 may be selected to be different than the adjacent material of spacers 320B and 320C (e.g., and have different etch properties) to facilitate connecting a via or other feature from a layer above interconnect structure 110 with greater edge placement margin, as described above.
  • material E of hardmask 821 may be the same material as spacers 320B (e.g., material B) or spacers 320C (e.g., material C).
  • process 900 illustrates an integrated circuit including a device layer 118 and an interconnect structure 110.
  • interconnect structure 110 may connect device layer 118 to a conductive layer (e.g., horizontal metal layer including interconnect lines) (not shown) located above interconnect structure 110 or to additional layers (not shown) of interconnect structure 110.
  • a conductive layer e.g., horizontal metal layer including interconnect lines
  • spacers 320C of material C are selectively removed without removing spacers 320B and hardmask 821 made from different materials than spacers 320C.
  • the area created by the removal of the spacers 320C may be filled with any material, such as a conductive material (e.g., material F).
  • material F may be the same conductive material as material D.
  • material F may be a different conductive material than material D.
  • vias 922 made of a conductive material (material F) may be made to a plane below the interconnect structure 110 to connect to devices of device layer 118.
  • the areas formed by the selective removal of spacers 320C may be filled with a conductive material (material F) without forming a via (e.g., feature 950).
  • a hardmask material (material G) for hardmask 921 may be used to cap the conductive material (e.g., material F).
  • a dielectric material (material G) of hardmask 821 may be selected to be different than the adjacent material of spacers 320B and hardmask 821 (material E) (e.g., and have different etch properties) to facilitate connecting a via or other feature to a layer above with greater edge placement margin, as described above.
  • material F of hardmask 921 may be the same material as spacers 320B (e.g., material B) or hardmask 821(e.g., material E).
  • areas formed by the removal of spacers 320C may be filled with different materials from one another.
  • a non-conductive (or low-conducting) material may be used to form a plug, such as plugs 923.
  • An example of non- conductive materials includes Silicon Nitride or Silicon Dioxide, among others.
  • Pitch 924 illustrates example of a tight pitch, in accordance with implementations.
  • the pitch 924 may be in the range of 1 nm to 20 nm with a tolerance of +2 nm. Tolerance herein may refer to plus or minus (+) a given value, unless otherwise described.
  • narrower features such as feature 945
  • the narrower features such as feature 945
  • Figure 10 is a flow diagram of a fabrication process for forming a interconnect structure, according to an implementation. It may be noted that elements of Figures 1-9 may be described below to help illustrate method 1000. Method 1000 may be performed as one or more operations. It may be noted that method 1000 may be performed in any order and may include the same, more, or fewer operations. It may be noted that method 1000 may be performed by one or more pieces of semiconductor fabrication equipment or fabrication tools.
  • Method 1000 begins at operation 1005 by forming a hardmask layer above a substrate.
  • a first backbone structure and a second backbone structure are formed.
  • multiple spacers are formed above the hardmask layer by iteratively depositing spacers of at least three different materials. At least three different materials are alternatingly deposited in a repeating pattern to form the spacers.
  • the first backbone structure and the second backbone structure are removed.
  • additional spacers are formed in an area formed by removal of the first backbone structure and the second backbone structure.
  • a spacer having one of the at least three different materials is selectively etched to form the area for the via without removing adjacent spacers having a material different than the etched spacer.
  • a via of the interconnect structure is formed in an area formed by a selective etch of a spacer of the plurality of spacers.
  • FIG 11 illustrates an interposer, according to implementations.
  • the interposer 1100 may be an intervening substrate used to bridge a first substrate 1102 to a second substrate 1104.
  • the first substrate 1102 may be, for instance, an integrated circuit die, including interconnect structure 110.
  • the second substrate 1104 may be, for instance, a memory module, a computer motherboard, backplane, or another integrated circuit die.
  • first substrate 1102 may be an integrated circuit die described with respect to Figure 1-9.
  • the purpose of an interposer 1100 is to spread a connection to a wider pitch or to reroute a connection to a different connection.
  • an interposer 1100 may couple an integrated circuit die to a ball grid array (BGA) 1106 that can subsequently be coupled to the second substrate 1104.
  • BGA ball grid array
  • the first and second substrates 1102/1104 are attached to opposing sides of the interposer 1100.
  • the first and second substrates 1102/1104 are attached to the same side of the interposer 1100.
  • three or more substrates are interconnected by way of the interposer 1100.
  • the interposer 1100 may be formed of an epoxy resin, a fiberglass-reinforced epoxy resin, a ceramic material, or a polymer material such as polyimide. In further,
  • the interposer may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium, and other group III-V and group IV materials.
  • the interposer may include metal interconnects 1108 and vias 1110, including but not limited to through- silicon vias (TSVs) 1112.
  • the interposer 1100 may further include embedded devices 1114, including both passive and active devices.
  • Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, and electrostatic discharge (ESD) devices.
  • More complex devices such as radio-frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and MEMS devices may also be formed on the interposer 1100.
  • apparatuses or processes disclosed herein may be used in the fabrication of interposer 1100.
  • Figure 12 is a computing device built in accordance with implementations of the present disclosure.
  • the computing device 1200 may include a number of components.
  • the components are attached to one or more motherboards.
  • some or all of these components are fabricated onto a single system-on-a- chip (SoC) die, such as an SoC used for mobile devices.
  • SoC system-on-a- chip
  • the components in the computing device 1200 include, but are not limited to, an integrated circuit die 1202 and at least one communications logic unit 1208.
  • the components in the computing device 1200 include, but are not limited to, an integrated circuit die 1202 and at least one communications logic unit 1208.
  • the components in the computing device 1200 include, but are not limited to, an integrated circuit die 1202 and at least one communications logic unit 1208.
  • the components in the computing device 1200 include, but are not limited to, an integrated circuit die 1202 and at least one communications logic unit 1208.
  • the communications logic unit 1208 such as an integrated circuit die 1202
  • the communications logic unit 1208 such as
  • the integrated circuit die 1202 may include a CPU 1204 as well as on-die memory 1206, often used as cache memory that can be provided by technologies such as embedded DRAM (eDRAM), SRAM, or spin-transfer torque memory (STT-MRAM). It may be noted that in implementations integrated circuit die 1202 may include fewer elements (e.g., without processor 1204 and/or on-die memory 1206) or additional elements other than processor 1204 and on-die memory 1206. In one example, integrated circuit die 1202 may include in interconnect structure 110 as described herein. In another example, integrated circuit die 1202 may include some or all the elements described herein, as well as include additional elements.
  • eDRAM embedded DRAM
  • SRAM spin-transfer torque memory
  • Computing device 1200 may include other components that may or may not be physically and electrically coupled to the motherboard or fabricated within an SoC die. These other components include, but are not limited to, volatile memory 1210 (e.g., DRAM), nonvolatile memory 1212 (e.g., ROM or flash memory), a graphics processing unit 1214 (GPU), a digital signal processor 1216, a crypto processor 1242 (e.g., a specialized processor that executes cryptographic algorithms within hardware), a chipset 1220, at least one antenna 1222 (in some implementations two or more antenna may be used), a display or a touchscreen display 1224 (e.g., that may include integrated circuit die 1202) , a touchscreen controller 1226, a battery 1228 or other power source, a power amplifier (not shown), a voltage regulator (not shown), a global positioning system (GPS) device 1227, a compass (not shown), a motion coprocessor or sensors 1232 (that may include an accelerometer, a gyr
  • the computing device 1200 may incorporate further transmission, telecommunication, or radio functionality not already described herein.
  • the computing device 1200 includes a radio that is used to communicate over a distance by modulating and radiating electromagnetic waves in air or space.
  • the computing device 1200 includes a transmitter and a receiver (or a transceiver) that is used to
  • the communications logic unit 1208 enables wireless communications for the transfer of data to and from the computing device 1200.
  • wireless and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some implementations they might not.
  • the communications logic unit 1208 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Infrared (IR), Near Field Communication (NFC), Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond.
  • the computing device 1200 may include a plurality of communications logic units 1208. For instance, a first communications logic unit 1208 may be dedicated to shorter range wireless communications such as Wi-Fi, NFC, and Bluetooth and a second
  • communications logic unit 1208 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • the processor 1204 (also referred to "processing device” herein) of the computing device 1200 includes one or more devices, such as transistors, RF filters, or LEDs, that are formed in accordance with implementations of the present disclosure.
  • the term "processor” or “processing device” may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • Processor 1204 represents one or more general-purpose processing devices such as a microprocessor, a central processing unit, or the like.
  • processor 1204 may be complex instruction set computing (CISC) microprocessor, reduced instruction set computing (RISC) microprocessor, very long instruction word (VLrW) microprocessor, or processor implementing other instruction sets, or processors implementing a combination of instruction sets.
  • processor 1204 may also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like.
  • ASIC application specific integrated circuit
  • FPGA field programmable gate array
  • DSP digital signal processor
  • the communications logic unit 1208 may also include one or more devices, such as transistors, RF filters, or LEDs, that are formed in accordance with implementations of the present disclosure.
  • another component housed within the computing device 1200 may contain one or more devices, such as transistors, RF filters, or LEDs, that are formed in accordance with implementations of the present disclosure.
  • the computing device 1200 may be a laptop computer, a netbook computer, a notebook computer, an ultrabook computer, a smartphone, a dumbphone, a tablet, a tablet/laptop hybrid, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder.
  • the computing device 1200 may be any other electronic device that processes data.
  • one layer disposed above or over or under another layer may be directly in contact with the other layer or may have one or more intervening layers.
  • one layer disposed between two layers may be directly in contact with the two layers or may have one or more intervening layers.
  • a first layer "on" a second layer is in direct contact with that second layer.
  • one feature disposed between two features may be in direct contact with the adjacent features or may have one or more intervening layers.
  • Implementations of the disclosure may be formed or carried out on a substrate, such as a semiconductor substrate.
  • the semiconductor substrate may be a crystalline substrate formed using a bulk silicon or a silicon-on-insulator substructure.
  • the semiconductor substrate may be formed using alternate materials, which may or may not be combined with silicon, that include but are not limited to
  • a plurality of transistors such as metal-oxide- semiconductor field-effect transistors (MOSFET or simply MOS transistors), may be fabricated on the substrate.
  • MOSFET metal-oxide- semiconductor field-effect transistors
  • the MOS transistors may be planar transistors, nonplanar transistors, or a combination of both.
  • Nonplanar transistors include FinFET transistors such as double-gate transistors and tri-gate transistors, and wrap-around or all-around gate transistors such as nanoribbon and nanowire transistors.
  • FinFET transistors such as double-gate transistors and tri-gate transistors
  • wrap-around or all-around gate transistors such as nanoribbon and nanowire transistors.
  • Each MOS transistor includes a gate stack formed of at least two layers, a gate dielectric layer and a gate electrode layer.
  • the gate dielectric layer may include one layer or a stack of layers.
  • the one or more layers may include silicon oxide, silicon dioxide (Si0 2 ) and/or a high-k dielectric material.
  • the high-k dielectric material may include elements such as Hafnium, Silicon, Oxygen, Titanium, Tantalum, Lanthanum, Aluminum, Zirconium, Barium, Strontium, Yttrium, Lead, Scandium, Niobium, and Zinc.
  • high-k materials that may be used in the gate dielectric layer include, but are not limited to, Hafnium Oxide, Hafnium Silicon Oxide, Lanthanum Oxide, Lanthanum Aluminum Oxide, Zirconium Oxide, Zirconium Silicon Oxide, Tantalum Oxide, Titanium Oxide, Barium Strontium Titanium Oxide, Barium Titanium Oxide, Strontium Titanium Oxide, Yttrium Oxide, Aluminum Oxide, Lead Scandium Tantalum Oxide, and Lead Zinc Niobate.
  • an annealing process may be carried out on the gate dielectric layer to improve its quality when a high-k material is used.
  • the gate electrode layer is formed on the gate dielectric layer and may consist of at least one P-type workfunction metal or N-type workfunction metal, depending on whether the transistor is to be a PMOS or an NMOS transistor.
  • the gate electrode layer may consist of a stack of two or more metal layers, where one or more metal layers are workfunction metal layers and at least one metal layer is a fill metal layer. Further metal layers may be included for other purposes, such as a barrier layer.
  • metals that may be used for the gate electrode include, but are not limited to, Ruthenium, Palladium, Platinum, Cobalt, Nickel, and conductive metal oxides, e.g., Ruthenium Oxide.
  • a P-type metal layer will enable the formation of a PMOS gate electrode with a workfunction that is between about 4.9 eV and about 5.2 eV.
  • metals that may be used for the gate electrode include, but are not limited to, Hafnium, Zirconium, Titanium, Tantalum, Aluminum, alloys of these metals, and carbides of these metals such as Hafnium Carbide, Zirconium Carbide, Titanium Carbide, Tantalum Carbide, and Aluminum Carbide.
  • An N-type metal layer will enable the formation of an NMOS gate electrode with a workfunction that is between about 3.9 eV and about 4.2 eV.
  • the gate electrode when viewed as a cross-section of the transistor along the source-channel-drain direction, may consist of a "U"-shaped structure that includes a bottom portion substantially parallel to the surface of the substrate and two sidewall portions that are substantially perpendicular to the top surface of the substrate.
  • at least one of the metal layers that form the gate electrode may simply be a planar layer that is substantially parallel to the top surface of the substrate and does not include sidewall portions substantially perpendicular to the top surface of the substrate.
  • the gate electrode may consist of a combination of U-shaped structures and planar, non-U-shaped structures.
  • the gate electrode may consist of one or more U-shaped metal layers formed atop one or more planar, non-U-shaped layers.
  • a pair of sidewall spacers may be formed on opposing sides of the gate stack that bracket the gate stack.
  • the sidewall spacers may be formed from a material such as Silicon Nitride, Silicon Oxide, Silicon Carbide, Silicon Nitride doped with Carbon, and Silicon Oxynitride. Processes for forming sidewall spacers are well known in the art and generally include deposition and etching process operations. In an alternate implementation, a plurality of spacer pairs may be used, for instance, two pairs, three pairs, or four pairs of sidewall spacers may be formed on opposing sides of the gate stack.
  • source and drain regions are formed within the substrate adjacent to the gate stack of each MOS transistor.
  • the source and drain regions may be formed using either an implantation/diffusion process or an etching/deposition process.
  • dopants such as Boron, Aluminum, Antimony, Phosphorous, or Arsenic may be ion- implanted into the substrate to form the source and drain regions.
  • An annealing process that activates the dopants and causes them to diffuse further into the substrate typically follows the ion implantation process.
  • the substrate may first be etched to form recesses at the locations of the source and drain regions.
  • the source and drain regions may be fabricated using a Silicon alloy such as Silicon Germanium or Silicon Carbide.
  • the epitaxially deposited silicon alloy may be doped in situ with dopants such as Boron, Arsenic, or Phosphorous.
  • the source and drain regions may be formed using one or more alternate semiconductor materials such as germanium or a group III-V material or alloy.
  • one or more layers of metal and/or metal alloys may be used to form the source and drain regions.
  • one or more interlayer dielectrics are deposited over the MOS transistors.
  • the ILD layers may be formed using dielectric materials known for their applicability in integrated circuit structures, such as low-k dielectric materials. Examples of dielectric materials that may be used include, but are not limited to, Silicon Dioxide (Si0 2 ), Carbon doped oxide (CDO), Silicon Nitride, organic polymers such as Perfluorocyclobutane or Polytetrafluoroethylene, Fluorosilicate glass (FSG), and organosilicates such as
  • the ILD layers may include pores or air gaps to further reduce their dielectric constant.
  • example or “exemplary” are used herein to mean serving as an example, instance, or illustration. Any aspect or design described herein as “example' or “exemplary” is not necessarily to be construed as preferred or advantageous over other aspects or designs. Rather, use of the words “example” or “exemplary” is intended to present concepts in a concrete fashion.
  • the term “or” is intended to mean an inclusive “or” rather than an exclusive “or.” That is, unless specified otherwise, or clear from context, "X includes A or B" is intended to mean any of the natural inclusive permutations.

Abstract

Cette invention concerne une puce de circuit intégré comprenant une structure d'interconnexion à pas serré et un procédé de fabrication d'une structure d'interconnexion à pas serré. La puce de circuit intégré comprend une couche de dispositif et une structure d'interconnexion. La structure d'interconnexion comprend un trou d'interconnexion destiné à se coupler électriquement avec la couche de dispositif à une couche conductrice. La structure d'interconnexion comprend une pluralité de premiers éléments ayant un motif répétitif de tailles d'éléments. La pluralité de premiers éléments est disposée entre de seconds éléments respectifs parmi une pluralité de seconds éléments. Chacun de la pluralité de premiers éléments a une largeur inférieure à celle de la pluralité de seconds éléments.
PCT/US2016/068938 2016-12-28 2016-12-28 Pas serré par formation d'éléments d'espacement itératifs WO2018125092A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
PCT/US2016/068938 WO2018125092A1 (fr) 2016-12-28 2016-12-28 Pas serré par formation d'éléments d'espacement itératifs

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2016/068938 WO2018125092A1 (fr) 2016-12-28 2016-12-28 Pas serré par formation d'éléments d'espacement itératifs

Publications (1)

Publication Number Publication Date
WO2018125092A1 true WO2018125092A1 (fr) 2018-07-05

Family

ID=62711111

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2016/068938 WO2018125092A1 (fr) 2016-12-28 2016-12-28 Pas serré par formation d'éléments d'espacement itératifs

Country Status (1)

Country Link
WO (1) WO2018125092A1 (fr)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110227231A1 (en) * 2008-06-04 2011-09-22 Samsung Electronics Co., Ltd. Semiconductor device and methods of manufacturing the same
US20130134486A1 (en) * 2011-11-28 2013-05-30 Globalfoundries Inc. Methods of Patterning Features in a Structure Using Multiple Sidewall Image Transfer Technique
US20150194341A1 (en) * 2007-08-03 2015-07-09 Micron Technology, Inc. Methods of forming semiconductor structures including tight pitch contacts and lines
US20150371852A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
US20160329280A1 (en) * 2011-05-31 2016-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Device-Manufacturing Scheme for Increasing the Density of Metal Patterns in Inter-Layer Dielectrics

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150194341A1 (en) * 2007-08-03 2015-07-09 Micron Technology, Inc. Methods of forming semiconductor structures including tight pitch contacts and lines
US20110227231A1 (en) * 2008-06-04 2011-09-22 Samsung Electronics Co., Ltd. Semiconductor device and methods of manufacturing the same
US20160329280A1 (en) * 2011-05-31 2016-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Device-Manufacturing Scheme for Increasing the Density of Metal Patterns in Inter-Layer Dielectrics
US20130134486A1 (en) * 2011-11-28 2013-05-30 Globalfoundries Inc. Methods of Patterning Features in a Structure Using Multiple Sidewall Image Transfer Technique
US20150371852A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology

Similar Documents

Publication Publication Date Title
US10797139B2 (en) Methods of forming backside self-aligned vias and structures formed thereby
US10672868B2 (en) Methods of forming self aligned spacers for nanowire device structures
US10847656B2 (en) Fabrication of non-planar IGZO devices for improved electrostatics
CN107004713B (zh) 形成具有非对称外形的鳍状物结构的装置和方法
US10943836B2 (en) Gallium nitride NMOS on Si (111) co-integrated with a silicon PMOS
US20200066521A1 (en) Colored self-aligned subtractive patterning
US11107764B2 (en) Group III-V semiconductor fuses and their methods of fabrication
KR102351550B1 (ko) 측벽 라이너를 갖는 핀 구조를 형성하는 장치 및 방법
WO2019066878A1 (fr) Transistors au nitrure de gallium à plaques de champ de source et de drain et leurs procédés de fabrication
US10964800B2 (en) Semiconductor device having fin-end stress-inducing features
US10529827B2 (en) Long channel MOS transistors for low leakage applications on a short channel CMOS chip
WO2019132904A1 (fr) Protection d'électrode de source et d'électrode de drain pour transistors à nanofils
WO2017111958A1 (fr) Transistors ayant des profils à ailettes ultraminces et leurs procédés de fabrication
US10600787B2 (en) Silicon PMOS with gallium nitride NMOS for voltage regulation
US20200075334A1 (en) Colored self-aligned subtractive patterning by asymmetric spacer formation
WO2018125092A1 (fr) Pas serré par formation d'éléments d'espacement itératifs
US20240113116A1 (en) Epitaxial structure and gate metal structures with a planar top surface
US20230097898A1 (en) Transistor structure with a monolayer edge contact
US20200411315A1 (en) Epitaxial layer with substantially parallel sides
CN115527969A (zh) 到自对准栅极触点的倒锥形过孔
CN111149211A (zh) 半导体器件的鳍片中的蚀刻停止区
WO2017111831A1 (fr) Dispositif de commutation empilable

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16925287

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 16925287

Country of ref document: EP

Kind code of ref document: A1