WO2018054470A1 - Micromechanical component - Google Patents

Micromechanical component Download PDF

Info

Publication number
WO2018054470A1
WO2018054470A1 PCT/EP2016/072575 EP2016072575W WO2018054470A1 WO 2018054470 A1 WO2018054470 A1 WO 2018054470A1 EP 2016072575 W EP2016072575 W EP 2016072575W WO 2018054470 A1 WO2018054470 A1 WO 2018054470A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
mems
asic
micromechanical
layer
Prior art date
Application number
PCT/EP2016/072575
Other languages
German (de)
French (fr)
Inventor
Markus Ulm
Johannes Classen
Original Assignee
Robert Bosch Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch Gmbh filed Critical Robert Bosch Gmbh
Priority to PCT/EP2016/072575 priority Critical patent/WO2018054470A1/en
Publication of WO2018054470A1 publication Critical patent/WO2018054470A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00238Joining a substrate with an electronic processing unit and a substrate with a micromechanical structure

Definitions

  • the invention relates to a micromechanical component and to a method for producing a micromechanical component.
  • Micromechanical sensors for measuring, for example, acceleration, rate of rotation, magnetic field and pressure are known and are mass-produced for various applications in the automotive and consumer sectors.
  • a z-acceleration sensor is realized in which the movable mass of two micromechanical layers (first and second MEMS functional layer) is formed and in which both below and above the movable structure capacitive evaluation electrodes are arranged, namely in
  • a movable MEMS structure is arranged on an evaluation ASIC, preferably a CMOS wafer, wherein the uppermost metal layer of the ASIC as a solid
  • evaluation electrodes are provided in the MEMS wafer, as is known, for example, from DE 10 2012 208 032 A1.
  • an integration density in this case a capacitance per area of the components can be increased, which can lead to reduced noise and / or a smaller area requirement for the components.
  • DE 10 2012 208 032 A1 also discloses an arrangement having two micromechanical layers, which are linked to a vertical integration process.
  • the MEMS wafer is produced surface micromechanically and is mechanically and electrically connected to an ASIC by means of a wafer bonding process.
  • the MEMS wafer has three polycrystalline silicon layers (one wiring level and two micromechanical layers), which can be structured largely independently of one another.
  • the MEMS wafer thereby comprises two micromechanical functional layers and one interconnect plane.
  • the two micromechanical functional layers are connected to one another and form a one-piece or integral mass element.
  • through-holes through silicon, TSV
  • DE 10 2009 029 202 A1 discloses a stacked arrangement of micromechanical components comprising a plurality of MEMS layers, in which a first MEMS structure in a functional layer and at least one further MEMS structure are arranged at least partially in at least one further functional layer.
  • Such structures in which also the integration view is increased, can be realized by means of a process which is known from DE 10 2009 000 167 A1.
  • Waferstapel be bonded together, wherein both wafer composites are formed by a MEMS wafer and a CMOS wafer, such as
  • a support is provided, in particular in the form of an ASIC, a MEMS and a cap.
  • the MEMS device is mounted on the carrier via a standoff structure, wherein the cap is disposed over the micromechanical structure of the MEMS device.
  • the object is achieved with a method for producing a micromechanical device, comprising the steps:
  • connection elements Forming electrically conductive connection elements in the MEMS wafer, wherein the connection elements penetrate the at least two fixed or movable structures in the MEMS wafer and are formed as far as the ASIC wafer;
  • MEMS functional layers are provided, which are either electrically and mechanically connected to each other or only mechanically interconnected. This provides the advantageous option of selectively electrically activating the movable structures of the MEMS layers as electrodes.
  • the object is achieved with a
  • Micromechanical component comprising:
  • a MEMS wafer having at least two solid or movable MEMS structures arranged one above another at least in sections, an ASIC wafer, wherein
  • the MEMS wafer is functionally connected to the ASIC wafer, wherein at least one electrically conductive connection element penetrating the two MEMS structures is formed as far as the ASIC wafer, the wafers being capped by means of a cap wafer.
  • a preferred embodiment of the method provides that the formation of the electrical connection elements is carried out by means of introducing at least one layer of conductive material into contact holes.
  • Another preferred embodiment of the method is characterized in that tungsten is used as the conductive material. This results in favorable material and processing properties.
  • a further preferred embodiment of the method provides that a bonding of the ASIC wafer to the MEMS wafer is carried out after the at least partial structuring of the MEMS wafer.
  • the MEMS wafer can first be completely surface micromechanically structured. This allows structuring of bonding steps
  • FIG. 2 shows another conventional micromechanical sensor topology
  • FIG. 3-24 results of individual process steps for producing the micromechanical component according to the invention
  • Fig. 1 shows a result of a conventional standard process for
  • a movable MEMS structure 14 is formed in a monocrystalline MEMS functional layer of a MEMS wafer. It will be appreciated that the moveable MEMS structure 14 is disposed on oxide material stand-off elements on an ASIC wafer 20.
  • a cap wafer 30 seals the arrangement of the MEMS structure 14 on the ASIC wafer 20.
  • FIG. 2 shows a cross section through a further conventional micromechanical component 100 with a MEMS wafer 10 and an ASIC wafer 20.
  • Movable micromechanical MEMS structures 14, 15 are formed in the MEMS wafer 10.
  • RDL distribution layer
  • FIGS. 3 to 25 show results of process steps for producing embodiments of the micromechanical component 10 according to the invention.
  • FIG. 3 shows a simplified cross section through a CMOS wafer, which represents an initial state for an ASIC wafer 20. Recognizable are one Substrate layer 21, a circuit layer 22 and a transistor layer 23. On the ASIC wafer 20, a passivation layer 24 is arranged, for example in the form of a nitride passivation.
  • FIG. 4 shows the cross-section of FIG. 3, wherein the passivation layer 24 has been opened or structured.
  • FIG. 5 it can be seen that an oxide material 40 for spacers has been deposited on the structured passivation layer 24.
  • FIG. 6 shows a structuring of the oxide material 40 in the form of a formation of spacer elements which serve as contact surfaces for subsequent wafer-direct bonding.
  • FIG. 7 shows a cross section through a MEMS wafer 10 with a first substrate layer 11 (preferably a silicon substrate), an insulation layer 12 (preferably an oxide material) disposed thereon and one on the first substrate layer 11 (preferably a silicon substrate), an insulation layer 12 (preferably an oxide material) disposed thereon and one on the first substrate layer 11 (preferably a silicon substrate), an insulation layer 12 (preferably an oxide material) disposed thereon and one on the first substrate layer 11 (preferably a silicon substrate), an insulation layer 12 (preferably an oxide material) disposed thereon and one on the
  • Insulation layer 12 arranged second substrate layer 13 (preferably silicon substrate).
  • the MEMS wafer 10 is thus formed in its basic structure by an SOI wafer.
  • FIG. 8 shows a cross section through the arrangement of FIG. 7, wherein now a first trench of the second substrate layer 13 has been carried out.
  • material of the insulating layer 12 was etched out below the structure of the second substrate layer 13 by means of a gas phase etching step.
  • FIG. 10 indicates that a filling of the opened access holes with a conductive material 16, preferably poly-silicon was performed. Alternatively conceivable is also a metallic backfilling, for example with tungsten. In this way, the first substrate layer 11 can be electrically conductively connected to the second substrate layer 13 in a locally limited manner.
  • FIG. 1 1 indicates that a smooth surface of the second substrate layer 13 is provided for a subsequent wafer bonding by means of a CMP process step (English: chemical mechanical polishing). From the cross-sectional view of FIG. 12, it can be seen that a second trench of the second substrate layer 13 has been implemented, whereby a structure in the first substrate layer 13 has been realized.
  • FIG. 13 shows a cross section of the MEMS wafer 10 after a second gas phase etching step of the insulation layer 12, whereby the insulation layer 12 has been locally released.
  • the second gas phase etching step is preferably timed.
  • FIG. 14 shows the MEMS wafer 10 of FIG. 13 rotated by 180 ° before a wafer bonding with the ASIC wafer 20.
  • FIG. 15 shows a result of wafer bonding of the MEMS wafer 10 to the ASIC wafer 20, preferably in the form of a plasma-activated direct bonding process.
  • FIG. 16 shows a result of loopback and possibly a CMP step of the first substrate layer 11 to target thickness, preferably to about 5 ⁇ m to about 100 ⁇ m.
  • FIG. 17 shows a result of a first trenching of the first substrate layer 11 for applying contact holes 17 in the first substrate layer 11.
  • FIG. 18 shows a patterning of the conductive material 18, as a result of which electrically conductive connections ("conductive posts") through the two
  • Substrate layers 1 1, 13 and an electrically conductive connection to structures of the ASIC wafer 20 are provided.
  • FIG. 20 shows a cross-section through the arrangement of FIG. 19 after trenching of the first substrate layer 11 for a final definition and release of the MEMS structures 14, 15. In this case, also accessible partial regions of the second substrate layer 13 are trimmed.
  • Fig. 21 indicates that MEMS regions are made movable.
  • the figure serves to illustrate that, similar to FIG. 2, movable MEMS structures 14, 15 with a fully differential electrode arrangement, with an upper fixed electrode in the first substrate layer 11 and a lower fixed electrode in an uppermost metallization plane of the ASIC Wafers 20 can be realized.
  • FIG. 22 shows a finished micromechanical component 100, wherein a bonding of a cap wafer 30 to the ASIC wafer 20 is performed. Subsequently, the cap wafer 30 is opened in a bonding pad area and a wire bonding to an external contacting of the micromechanical
  • Device 100 performed. Visible is an external contacting element 50 in the form of a bonding wire.
  • FIG. 23 shows an alternative form of a micromechanical device 100, in which case the cap wafer 30 is bonded to the MEMS wafer 10.
  • Fig. 24 shows a further embodiment of the micromechanical
  • Component 100 with an alternative external electrical contacting by means of plated-through holes 60 in ASIC wafer 20 is also conceivable (not shown) is external electrical contacting via plated-through holes 60 in cap wafer 30.
  • plated-through holes 60 with additional redistribution in the form of a distribution plane (FIG. Engl, redistribution layer, RDL) together with contacting elements 50 in the form of solder balls an electrical contacting of circuit elements of the ASIC wafer 20 can be realized.
  • FIGS. 25 to 31 show an alternative realization of the MEMS wafer 10, in which a surface micromechanical process is carried out for its production.
  • the starting point is a first substrate layer 11, to which an insulation layer 12, preferably an oxide layer, is preferably applied via thermal oxidation.
  • an insulation layer 12 preferably an oxide layer
  • the grown second micromechanical layer is predominantly polycrystalline in this case.
  • Fig. 26 shows an opened insulation layer 12 for forming contact holes.
  • Fig. 27 shows a deposition of a second substrate layer 13 on the
  • Insulation layer 12 either as polycrystalline silicon or epitaxial growth (with a polycrystalline starting layer on the
  • a strong topography of the surface of the second substrate layer 13 is formed. This can optionally be minimized by means of a multiplicity of small contact holes, in which a trench width is smaller than the layer thickness of the second substrate layer 13.
  • Fig. 28 shows a result of a CMP step of the second substrate layer 13 for preparation of a subsequent wafer bonding.
  • the state of the MEMS wafer 10 now substantially corresponds to that of FIG. 11, but here large areas of the second substrate layer 13 are polycrystalline educated.
  • the further process sequence proceeds analogously to FIGS. 12 to 22.
  • FIG. 29 shows a process stage similar to FIG. 20, ie after the second trenching of the first substrate layer 13, in which case the conductive material 18 is structured differently than in the arrangement of FIG. 20.
  • a completely different design of the micromechanical device 100 is realized, namely a capacitive pressure sensor with fully differential
  • FIG. 30 shows that, in addition to the process steps of FIGS. 3 to 20, a gas phase etching step with gaseous HF (hydrogen fluoride) is also carried out.
  • a pressure sensor membrane realized in the second substrate layer 13 and a solid detection electrode arranged above it and realized in the first substrate layer 11 are simultaneously realized.
  • the uppermost metal layer of the ASIC wafer 20 may be used as a counter electrode so that a differential evaluation of the movement of the pressure sensor membrane becomes possible.
  • the distances of the membrane to the upper and lower electrodes are identical (not shown in FIG. 30).
  • Pressure sensor diaphragm is formed.
  • Fig. 31 shows qualitatively a state of the pressure sensor membrane in the deflected state.
  • FIG. 32 shows a basic sequence of a method for producing a micromechanical component 100.
  • a MEMS wafer 10 is provided.
  • step 210 an ASIC wafer 20 is provided.
  • step 220 formation of at least two fixed or movable structures 14, 15, which are arranged one above the other at least in sections, is carried out in the MEMS wafer 10.
  • a connection of the MEMS wafer 10 to the ASIC wafer 20 is performed.
  • step 240 formation of electrically conductive
  • Connecting elements which penetrate at least two fixed or movable structures 14,15 in the MEMS wafer 10 and are formed up to the ASIC wafer 20.
  • a cap wafer 30 is applied to the interconnected wafers 10, 20.
  • the present invention proposes a micromechanical component and a method for its production.
  • the micromechanical component can be used particularly advantageously in order to realize fully differential capacitive electrode arrangements for MEMS elements that can be deflected perpendicular to the chip plane.
  • a fixed bottom electrode is formed by the (preferred) uppermost metal level of the ASIC wafer 20, wherein a solid top electrode is formed in the first substrate layer 11.
  • the movable electrode then lies between the bottom and top electrodes and is formed from regions of the second substrate layer.
  • the MEMS layers can be formed from monocrystalline material using an SOI wafer.
  • SOI wafer As a result, smaller intrinsic stresses are possible, with inhomogeneities in the crystal structure of polycrystalline silicon can lead to intrinsic stresses. This can disadvantageously be noticeable, for example, in slight forward deflections of the sensor structures that are the case in acceleration sensors
  • the layer thicknesses of the MEMS structures are easily scalable, whereby the thicknesses of the first and second substrate layer can be increased more easily than in the case of surface micromechanical methods.
  • micromechanical inertial sensor e.g. usable for an acceleration sensor and / or a rotation rate sensor.

Abstract

The invention relates to a method for the production of a micromechanical component (100), comprising the steps of: - providing a MEMS wafer (10); - providing an ASIC wafer (20); - forming at least two at least partially overlapping stationary or movable structures (14,15) in the MEMS wafer (10); - joining the MEMS wafer (10) and the ASIC wafer (20); - forming electrically conductive connecting elements in the MEMS wafer (10), the connecting elements penetrating the at least two stationary or movable structures (14,15) in the MEMS wafer (10) and being formed to extend to the ASIC wafer (20); and − applying a cap wafer (30) onto the interconnected wafers (10, 20).

Description

Beschreibung Titel  Description title
Mikromechanisches Bauelement  Micromechanical component
Die Erfindung betrifft ein mikromechanisches Bauelement und ein Verfahren zum Herstellen eines mikromechanischen Bauelements. The invention relates to a micromechanical component and to a method for producing a micromechanical component.
Stand der Technik State of the art
Mikromechanische Sensoren zur Messung von beispielsweise Beschleunigung, Drehrate, Magnetfeld und Druck sind bekannt und werden für verschiedene Applikationen im Automobil- und Consumer-Bereich in Massenfertigung hergestellt. Micromechanical sensors for measuring, for example, acceleration, rate of rotation, magnetic field and pressure are known and are mass-produced for various applications in the automotive and consumer sectors.
DE 10 2009 000 167 A1 offenbart einen Inertialsensor mit zwei mikromechanischen Ebenen. Damit können Sensortopologien realisiert werden, die erhebliche Performancesteigerungen, zum Beispiel bezüglich einer DE 10 2009 000 167 A1 discloses an inertial sensor with two micromechanical planes. This can be used to realize sensor topologies that significantly increase performance, for example with respect to a
Offsetstabilität von Beschleunigungssensoren ermöglichen. Dabei wird ein z- Beschleunigungssensor realisiert, bei dem die bewegliche Masse aus zwei mikromechanischen Schichten (erste und zweite MEMS-Funktionsschicht) gebildet wird und bei dem sowohl unterhalb als auch oberhalb der beweglichen Struktur kapazitive Auswerteelektroden angeordnet sind, nämlich in der Allow offset stability of acceleration sensors. In this case, a z-acceleration sensor is realized in which the movable mass of two micromechanical layers (first and second MEMS functional layer) is formed and in which both below and above the movable structure capacitive evaluation electrodes are arranged, namely in
Verdrahtungsschicht auf dem Substratwafer und in der zweiten MEMS- Funktionsschicht. Wiring layer on the substrate wafer and in the second MEMS functional layer.
Mit dieser so genannten volldifferentiellen Elektrodenanordnung kann einerseits ein Kapazitätsbelag (Kapazität/Fläche) erhöht werden und andererseits auch eine gute Robustheit bezüglich Substratverformungen (beispielsweise verursacht durch Montagestress) erzielt werden. Der erstgenannte Aspekt führt zu einem verbesserten Signal-Rausch-Verhältnis, der zweite unter anderem zu einer verbesserten Offsetstabilität des Sensors. With this so-called fully differential electrode arrangement on the one hand a capacitance (capacitance / area) can be increased and on the other hand also a good robustness with respect to substrate deformations (for example caused by assembly stress) can be achieved. The former aspect leads to a improved signal-to-noise ratio, the second among other things to an improved offset stability of the sensor.
Bekannt sind ferner Ansätze, bei denen ein MEMS- und ein Auswerte-ASIC- Wafer über Waferbondverfahren miteinander mechanisch und elektrisch verbunden werden, was als„vertikale Integration" oder„hybride Integration" oder „3D-lntegration" bezeichnet wird und beispielsweise aus US 7 250 353 B2, US 7 442 570 B2 bekannt ist. Dadurch können Sensortopologien für Inertialsensoren mit Bewegungen senkrecht zur Chipebene realisiert werden. Eine bewegliche MEMS-Struktur ist auf einem Auswerte-ASIC angeordnet, bevorzugt einem CMOS-Wafer, wobei die oberste Metalllage des ASICs als eine feste Furthermore, approaches are known in which a MEMS and an evaluation ASIC wafer are joined together mechanically and electrically via wafer bonding processes, which is referred to as "vertical integration" or "hybrid integration" or "3D integration" and, for example, from US Pat 250 353 B2, US Pat. No. 7,442,570 B2, whereby sensor topologies for inertial sensors with movements perpendicular to the chip plane can be realized A movable MEMS structure is arranged on an evaluation ASIC, preferably a CMOS wafer, wherein the uppermost metal layer of the ASIC as a solid
Gegenelektrode fungiert. Counter electrode acts.
Eine Erweiterung der vorgenannten Technologie sieht vor, dass zusätzlich zu Auswerteelektroden im CMOS-Wafer Auswerteelektroden im MEMS-Wafer bereitgestellt werden, wie beispielsweise aus DE 10 2012 208 032 A1 bekannt ist. Dadurch kann eine Integrationsdichte, in diesem Fall eine Kapazität pro Fläche der Bauelemente erhöht werden, was zu reduziertem Rauschen und/oder kleinerem Flächenbedarf für die Bauelemente führen kann. An extension of the aforementioned technology provides that, in addition to evaluation electrodes in the CMOS wafer, evaluation electrodes are provided in the MEMS wafer, as is known, for example, from DE 10 2012 208 032 A1. As a result, an integration density, in this case a capacitance per area of the components can be increased, which can lead to reduced noise and / or a smaller area requirement for the components.
Aus DE 10 2012 208 032 A1 ist auch eine Anordnung mit zwei mikromechanischen Schichten bekannt, die mit einem vertikalen Integrationsprozess verknüpft werden. Der MEMS-Wafer wird dabei oberflächenmikromechanisch hergestellt und wird mittels eines Waferbondverfahrens mit einem ASIC mechanisch und elektrisch verbunden. Der MEMS-Wafer hat dabei außer dem Substrat drei polykristalline Silizium-Schichten (eine Verdrahtungsebene und zwei mikromechanische Schichten), die weitgehend unabhängig voneinander strukturiert werden können. Im Ergebnis umfasst der MEMS-Wafer dadurch zwei mikromechanische Funktionsschichten und eine Leiterbahnebene. Die beiden mikromechanischen Funktionsschichten sind miteinander verbunden und bilden ein einstückiges bzw. integrales Massenelement. Mittels Durchkontaktierungen (engl, through Silicon via, TSV), die im ASIC-Wafer ausgebildet sind, kann von extern eine elektrische Verbindung mit Verdrahtungsebenen des ASIC-Wafers realisiert werden. DE 10 2009 029 202 A1 offenbart eine gestapelte Anordnung von mikromechanischen Bauelementen aus mehreren MEMS-Schichten, bei denen eine erste MEMS-Struktur in einer Funktionsschicht und wenigstens eine weitere MEMS- Struktur zumindest teilweise in wenigstens einer weiteren Funktionsschicht angeordnet sind. Derartige Strukturen, bei denen ebenfalls die Integrationssicht erhöht ist, lassen sich mittels eines Prozesses, der aus DE 10 2009 000 167 A1 bekannt ist, realisieren. DE 10 2012 208 032 A1 also discloses an arrangement having two micromechanical layers, which are linked to a vertical integration process. The MEMS wafer is produced surface micromechanically and is mechanically and electrically connected to an ASIC by means of a wafer bonding process. In addition to the substrate, the MEMS wafer has three polycrystalline silicon layers (one wiring level and two micromechanical layers), which can be structured largely independently of one another. As a result, the MEMS wafer thereby comprises two micromechanical functional layers and one interconnect plane. The two micromechanical functional layers are connected to one another and form a one-piece or integral mass element. By means of through-holes (through silicon, TSV), which are formed in the ASIC wafer, an electrical connection with wiring levels of the ASIC wafer can be realized externally. DE 10 2009 029 202 A1 discloses a stacked arrangement of micromechanical components comprising a plurality of MEMS layers, in which a first MEMS structure in a functional layer and at least one further MEMS structure are arranged at least partially in at least one further functional layer. Such structures, in which also the integration view is increased, can be realized by means of a process which is known from DE 10 2009 000 167 A1.
Weiterhin bekannt sind vertikal integrierte Bauelemente, bei denen zwei Also known are vertically integrated components, in which two
Waferstapel aufeinander gebondet werden, wobei beide Waferverbünde von einem MEMS-Wafer und einem CMOS-Wafer gebildet werden, wie Waferstapel be bonded together, wherein both wafer composites are formed by a MEMS wafer and a CMOS wafer, such as
beispielsweise aus DE 10 2012 206 875 A1 bekannt ist, wobei der MEMS-Wafer zunächst über ein Waferbondverfahren auf den CMOS-Wafer aufgebracht wird und somit insgesamt ein Vierfach-Waferstapel gebildet wird. Auch mit dieser Anordnung kann eine Integrationsdichte der Bauelemente erhöht werden. Die Anordnung kann vorteilhaft sein, wenn der Flächenbedarf für MEMS- Funktionsstrukturen und die elektronische Auswerteschaltung ungefähr gleich groß sind. For example, from DE 10 2012 206 875 A1 is known, wherein the MEMS wafer is first applied to the CMOS wafer via a wafer bonding process and thus a total of a quadruple wafer stack is formed. Even with this arrangement, an integration density of the components can be increased. The arrangement may be advantageous if the area required for MEMS functional structures and the electronic evaluation circuit are approximately equal.
DE 10 2012 208 053 A1 offenbart ein hybrid integriertes Bauteil und ein DE 10 2012 208 053 A1 discloses a hybrid integrated component and a
Verfahren zu dessen Herstellung. Dabei ist ein Träger vorgesehen, insbesondere in Form eines ASIC, ein MEMS und eine Kappe. Das MEMS-Bauelement ist über eine Standoff-Struktur auf dem Träger montiert, wobei die Kappe über der mikromechanischen Struktur des MEMS-Bauelements angeordnet ist. Process for its preparation. In this case, a support is provided, in particular in the form of an ASIC, a MEMS and a cap. The MEMS device is mounted on the carrier via a standoff structure, wherein the cap is disposed over the micromechanical structure of the MEMS device.
Offenbarung der Erfindung Disclosure of the invention
Es ist eine Aufgabe der vorliegenden Erfindung, ein verbessertes mikromechanisches Bauelement und ein Verfahren zu dessen Herstellung bereitzustellen. It is an object of the present invention to provide an improved micromechanical device and a method of manufacturing the same.
Gemäß einem ersten Aspekt wird die Aufgabe gelöst mit einem Verfahren zum Herstellen eines mikromechanischen Bauelements, aufweisend die Schritte: According to a first aspect, the object is achieved with a method for producing a micromechanical device, comprising the steps:
- Bereitstellen eines MEMS-Wafers;  Providing a MEMS wafer;
Bereitstellen eines ASIC-Wafers; Ausbilden von wenigstens zwei zumindest abschnittsweise übereinander angeordneten festen oder beweglichen Strukturen im MEMS-Wafer; - Verbinden des MEMS-Wafers mit dem ASIC-Wafer; Providing an ASIC wafer; Forming at least two fixed or movable structures arranged one above the other at least in sections in the MEMS wafer; - connecting the MEMS wafer to the ASIC wafer;
Ausbilden von elektrisch leitenden Verbindungselementen im MEMS- Wafer, wobei die Verbindungselemente die wenigstens zwei festen oder beweglichen Strukturen im MEMS-Wafer durchdringen und bis zum ASIC-Wafer ausgebildet werden; und  Forming electrically conductive connection elements in the MEMS wafer, wherein the connection elements penetrate the at least two fixed or movable structures in the MEMS wafer and are formed as far as the ASIC wafer; and
Aufbringen eines Kappenwafers auf die miteinander verbundenen Wafer. Auf diese Weise können zwei MEMS-Strukturen in übereinander angeordneten Applying a cap wafer to the interconnected wafers. In this way two MEMS structures can be arranged one above the other
MEMS-Funktionsschichten bereitgestellt werden, die entweder elektrisch und mechanisch miteinander verbunden oder lediglich mechanisch miteinander verbunden sind. Dadurch wird die vorteilhafte Wahlmöglichkeit bereitgestellt, die beweglichen Strukturen der MEMS-Schichten als Elektroden selektiv elektrisch anzusteuern. MEMS functional layers are provided, which are either electrically and mechanically connected to each other or only mechanically interconnected. This provides the advantageous option of selectively electrically activating the movable structures of the MEMS layers as electrodes.
Gemäß einem zweiten Aspekt wird die Aufgabe gelöst mit einem According to a second aspect, the object is achieved with a
mikromechanischen Bauelement, aufweisend: Micromechanical component, comprising:
einen MEMS-Wafer mit wenigstens zwei zumindest abschnittsweise übereinander angeordneten festen oder beweglichen MEMS-Strukturen, einen ASIC-Wafer, wobei  a MEMS wafer having at least two solid or movable MEMS structures arranged one above another at least in sections, an ASIC wafer, wherein
der MEMS-Wafer mit dem ASIC-Wafer funktional verbunden ist, wobei wenigstens ein die beiden MEMS-Strukturen durchdringendes elektrisch leitendes Verbindungselement bis zum ASIC-Wafer ausgebildet ist, wobei die Wafer mittels eines Kappenwafers verkappt sind.  the MEMS wafer is functionally connected to the ASIC wafer, wherein at least one electrically conductive connection element penetrating the two MEMS structures is formed as far as the ASIC wafer, the wafers being capped by means of a cap wafer.
Bevorzugte Ausführungsformen des Verfahrens und des mikromechanischen Bauelements sind Gegenstand von abhängigen Ansprüchen. Eine bevorzugte Ausführungsform des Verfahrens sieht vor, dass das Ausbilden der elektrischen Verbindungselemente mittels eines Einbringens von wenigstens einer Schicht leitfähigen Materials in Kontaktlöcher durchgeführt wird. Preferred embodiments of the method and of the micromechanical component are the subject of dependent claims. A preferred embodiment of the method provides that the formation of the electrical connection elements is carried out by means of introducing at least one layer of conductive material into contact holes.
Auf diese Weise kann eine elektrische Kontaktierung innerhalb der MEMS- Schichten sehr variabel ausgestaltet werden. Eine weitere bevorzugte Ausführungsform des Verfahrens zeichnet sich dadurch aus, dass als leitfähiges Material Wolfram verwendet wird. Daraus resultieren günstige Material- und Verarbeitungseigenschaften. In this way, an electrical contact within the MEMS layers can be made very variable. Another preferred embodiment of the method is characterized in that tungsten is used as the conductive material. This results in favorable material and processing properties.
Weitere bevorzugte Ausführungsform des Verfahrens sehen vor, dass als ein Kontaktierungselement zum elektrischen Kontaktieren des mikromechanischen Bauelements ein Drahtbondelement ausgebildet wird, oder dass eine Further preferred embodiment of the method provide that is formed as a contacting element for electrically contacting the micromechanical device, a wire bonding element, or that a
Durchkontaktierung im ASIC-Wafer ausgebildet wird. Dadurch werden vorteilhaft unterschiedliche Möglichkeiten zum elektrischen Kontaktieren des Bauelements bereitgestellt. Through-hole is formed in the ASIC wafer. As a result, different possibilities for electrically contacting the component are advantageously provided.
Eine weitere bevorzugte Ausführungsform des Verfahrens sieht vor, dass ein Bonden des ASIC-Wafers mit dem MEMS-Wafer nach dem zumindest teilweisen Strukturieren des MEMS-Wafers durchgeführt wird. Dadurch kann vorteilhaft der MEMS-Wafer zunächst vollständig oberflächenmikromechanisch strukturiert werden. Dadurch können Strukturierungs- von Verbondungsschritten A further preferred embodiment of the method provides that a bonding of the ASIC wafer to the MEMS wafer is carried out after the at least partial structuring of the MEMS wafer. As a result, advantageously, the MEMS wafer can first be completely surface micromechanically structured. This allows structuring of bonding steps
voneinander getrennt durchgeführt werden, wodurch ein Herstellungsprozess optimiert sein kann. be performed separately from each other, whereby a manufacturing process can be optimized.
Die Erfindung wird im Folgenden mit weiteren Merkmalen und Vorteilen anhand von mehreren Figuren im Detail beschrieben. Dabei bilden alle offenbarten Merkmale, unabhängig von ihrer Rückbeziehung in den Patentansprüchen sowie unabhängig von ihrer Darstellung in der Beschreibung und in den Figuren den Gegenstand der vorliegenden Erfindung. Gleiche oder funktionsgleiche The invention will be described below with further features and advantages with reference to several figures in detail. In this case, all disclosed features, regardless of their relationship in the claims and regardless of their representation in the description and in the figures form the subject of the present invention. Same or functionally identical
Bauelemente haben gleich Bezugszeichen. Die Figuren sind insbesondere dazu gedacht, die erfindungswesentlichen Prinzipien zu verdeutlichen und sind nicht unbedingt maßstabsgetreu dargestellt. Components have the same reference numerals. The figures are particularly intended to illustrate the principles essential to the invention and are not necessarily drawn to scale.
In den Figuren zeigt: In the figures shows:
Fig. 1 eine herkömmliche mikromechanische Sensortopologie; 1 shows a conventional micromechanical sensor topology;
Fig. 2 eine weitere herkömmliche mikromechanische Sensortopologie; Fig. 3-24 Ergebnisse von einzelnen Prozessschritten zum Herstellen des erfindungsgemäßen mikromechanischen Bauelements; FIG. 2 shows another conventional micromechanical sensor topology; FIG. 3-24 results of individual process steps for producing the micromechanical component according to the invention;
Fig. 25-31 Ergebnisse von einzelnen Prozessschritten von weiteren  Fig. 25-31 results of individual process steps of others
Ausführungsformen des erfindungsgemäßen mikromechanischen Bauelements; und  Embodiments of the micromechanical device according to the invention; and
Fig. 32 einen prinzipiellen Ablauf einer Ausführungsform des 32 shows a basic sequence of an embodiment of the
erfindungsgemäßen Verfahrens.  inventive method.
Beschreibung von Ausführungsformen Description of embodiments
Fig. 1 zeigt ein Ergebnis eines herkömmlichen Standardprozesses zur Fig. 1 shows a result of a conventional standard process for
Herstellung eines mikromechanischen Bauelements 100, z.B. in Form eines Inertialsensors (Beschleunigungs-, Winkelbeschleunigungs-, Drehratensensor). Dabei ist eine bewegliche MEMS-Struktur 14 in einer einkristallinen MEMS- Funktionsschicht eines MEMS-Wafers ausgebildet. Erkennbar ist, dass die bewegliche MEMS-Struktur 14 auf Abstandselementen (engl, stand-off-element) aus Oxidmaterial auf einem ASIC-Wafer 20 angeordnet ist. Ein Kappenwafer 30 verschließt die Anordnung der MEMS-Struktur 14 auf dem ASIC-Wafer 20. Production of a micromechanical device 100, e.g. in the form of an inertial sensor (acceleration, angular acceleration, yaw rate sensor). In this case, a movable MEMS structure 14 is formed in a monocrystalline MEMS functional layer of a MEMS wafer. It will be appreciated that the moveable MEMS structure 14 is disposed on oxide material stand-off elements on an ASIC wafer 20. A cap wafer 30 seals the arrangement of the MEMS structure 14 on the ASIC wafer 20.
Fig. 2 zeigt einen Querschnitt durch ein weiteres herkömmliches mikromechanisches Bauelement 100 mit einem MEMS-Wafer 10 und einem ASIC- Wafer 20. Bewegliche mikromechanische MEMS-Strukturen 14, 15 sind im MEMS-Wafer 10 ausgebildet. Mittels einer Durchkontaktierung 60 mit einer zusätzlichen Umverdrahtung in Form einer Verteilungsebene (engl, redistribution layer, RDL) kann in Kombination mit Kontaktierungselementen 50 in Form von Lötballs eine elektrische Kontaktierung von Schaltungselementen des ASIC- Wafers 20 realisiert werden. 2 shows a cross section through a further conventional micromechanical component 100 with a MEMS wafer 10 and an ASIC wafer 20. Movable micromechanical MEMS structures 14, 15 are formed in the MEMS wafer 10. By means of a plated-through hole 60 with an additional rewiring in the form of a distribution layer (redistribution layer, RDL), electrical contacting of circuit elements of the ASIC wafer 20 can be realized in combination with contacting elements 50 in the form of solder balls.
In den nachfolgenden Figuren 3 bis 25 sind Ergebnisse von Prozessschritten zu Herstellen von Ausführungsformen des erfindungsgemäßen mikromechanischen Bauelementsl OO dargestellt. The following FIGS. 3 to 25 show results of process steps for producing embodiments of the micromechanical component 10 according to the invention.
Fig. 3 zeigt einen vereinfachten Querschnitt durch einen CMOS-Wafer, der einen Ausgangszustand für einen ASIC-Wafer 20 darstellt. Erkennbar sind eine Substratschicht 21 , eine Schaltungsschicht 22 und eine Transistorschicht 23. Auf dem ASIC-Wafer 20 ist eine Passivierungsschicht 24 angeordnet, beispielsweise in Form einer Nitridpassivierung. FIG. 3 shows a simplified cross section through a CMOS wafer, which represents an initial state for an ASIC wafer 20. Recognizable are one Substrate layer 21, a circuit layer 22 and a transistor layer 23. On the ASIC wafer 20, a passivation layer 24 is arranged, for example in the form of a nitride passivation.
Fig. 4 zeigt den Querschnitt von Fig. 3, wobei die Passivierungsschicht 24 geöffnet bzw. strukturiert wurde. FIG. 4 shows the cross-section of FIG. 3, wherein the passivation layer 24 has been opened or structured.
In Fig. 5 ist erkennbar, dass auf die strukturierte Passivierungsschicht 24 ein Oxidmaterial 40 für Abstandselemente abgeschieden wurde. In FIG. 5 it can be seen that an oxide material 40 for spacers has been deposited on the structured passivation layer 24.
Fig. 6 zeigt eine Strukturierung des Oxidmaterials 40 in Form einer Ausbildung von Abstandselementen, die als Kontaktflächen zum nachfolgenden Wafer- direktbonden dienen. FIG. 6 shows a structuring of the oxide material 40 in the form of a formation of spacer elements which serve as contact surfaces for subsequent wafer-direct bonding.
Fig. 7 zeigt einen Querschnitt durch einen MEMS-Wafer 10 mit einer ersten Substratschicht 1 1 (vorzugsweise Silizium-Substrat), einer darauf angeordneten Isolationsschicht 12 (vorzugsweise ein Oxidmaterial) und einer auf der FIG. 7 shows a cross section through a MEMS wafer 10 with a first substrate layer 11 (preferably a silicon substrate), an insulation layer 12 (preferably an oxide material) disposed thereon and one on the
Isolationsschicht 12 angeordneten zweiten Substratschicht 13 (vorzugsweise Silizium-Substrat). Der MEMS-Wafer 10 wird somit in seiner Grundstruktur durch einen SOI-Wafer gebildet. Insulation layer 12 arranged second substrate layer 13 (preferably silicon substrate). The MEMS wafer 10 is thus formed in its basic structure by an SOI wafer.
Fig. 8 zeigt einen Querschnitt durch die Anordnung von Fig. 7, wobei nunmehr ein erster Trench der zweiten Substratschicht 13 durchgeführt wurde. FIG. 8 shows a cross section through the arrangement of FIG. 7, wherein now a first trench of the second substrate layer 13 has been carried out.
Aus Fig. 9 ist erkennbar, dass mittels eines Gasphasenätzschritts Material der Isolationsschicht 12 unterhalb der Struktur der zweiten Substratschicht 13 herausgeätzt wurde. It can be seen from FIG. 9 that material of the insulating layer 12 was etched out below the structure of the second substrate layer 13 by means of a gas phase etching step.
Fig. 10 deutet an, dass ein Verfüllen der geöffneten Zugangslöcher mit einem leitenden Material 16, bevorzugt Poly-Silizium durchgeführt wurde. Alternativ denkbar ist auch eine metallische Verfüllung, beispielsweise mit Wolfram. Auf diese Weise kann die erste Substratschicht 1 1 örtlich begrenzt elektrisch leitend mit der zweiten Substratschicht 13 verbunden werden. Fig. 1 1 deutet an, dass mittels eines CMP-Prozessschritts (engl, chemical mechanical polishing) eine glatte Oberfläche der zweiten Substratschicht 13 für ein nachfolgendes Waferbonden bereitgestellt wird. Aus der Querschnittansicht von Fig. 12 ist erkennbar, dass ein zweiter Trench der zweiten Substratschicht 13 durchgeführt wurde, wodurch eine Struktur in der ersten Substratschicht 13 realisiert wurde. Fig. 10 indicates that a filling of the opened access holes with a conductive material 16, preferably poly-silicon was performed. Alternatively conceivable is also a metallic backfilling, for example with tungsten. In this way, the first substrate layer 11 can be electrically conductively connected to the second substrate layer 13 in a locally limited manner. FIG. 1 1 indicates that a smooth surface of the second substrate layer 13 is provided for a subsequent wafer bonding by means of a CMP process step (English: chemical mechanical polishing). From the cross-sectional view of FIG. 12, it can be seen that a second trench of the second substrate layer 13 has been implemented, whereby a structure in the first substrate layer 13 has been realized.
Fig. 13 zeigt einen Querschnitt des MEMS-Wafers 10 nach einem zweiten Gasphasenätzschritt der Isolationsschicht 12, wodurch die Isolationsschicht 12 lokal freigestellt wurde. Der zweite Gasphasenätzschritt läuft vorzugsweise zeitgesteuert ab. FIG. 13 shows a cross section of the MEMS wafer 10 after a second gas phase etching step of the insulation layer 12, whereby the insulation layer 12 has been locally released. The second gas phase etching step is preferably timed.
Fig. 14 zeigt den MEMS-Wafer 10 von Fig. 13 um 180° verdreht vor einem Waferbonden mit dem ASIC-Wafer 20. FIG. 14 shows the MEMS wafer 10 of FIG. 13 rotated by 180 ° before a wafer bonding with the ASIC wafer 20.
Fig. 15 zeigt ein Ergebnis eines Waferbondens des MEMS-Wafers 10 auf den ASIC-Wafer 20, vorzugsweise in Form eines plasma-aktivierten Direktbondverfahrens. FIG. 15 shows a result of wafer bonding of the MEMS wafer 10 to the ASIC wafer 20, preferably in the form of a plasma-activated direct bonding process.
Fig. 16 zeigt ein Ergebnis eines Rückschleifens und gegebenenfalls eines CMP- Schrittes der ersten Substratschicht 1 1 auf Zieldicke, vorzugsweise auf ca. 5 μηη bis ca. 100 μηι. Fig. 17 zeigt ein Ergebnis eines ersten Trenchens der ersten Substratschicht 1 1 zum Anlegen von Kontaktlöchern 17 in der ersten Substratschicht 1 1 . FIG. 16 shows a result of loopback and possibly a CMP step of the first substrate layer 11 to target thickness, preferably to about 5 μm to about 100 μm. FIG. 17 shows a result of a first trenching of the first substrate layer 11 for applying contact holes 17 in the first substrate layer 11.
Aus der Querschnittansicht von Fig. 18 ist erkennbar, dass die Kontaktlöcher 17 mit einem elektrisch leitfähigen Material 18, vorzugsweise mit Wolfram verfüllt wurden. Die Verfüllung der Kontaktlöcher 17 kann dabei, wie in Fig. 18 dargestellt, vollständig erfolgen. Alternativ können lediglich Seitenwände der Kontaktlöcher 17 mit dem leitfähigen Material 18 belegt werden (nicht dargestellt). Fig. 19 zeigt eine Strukturierung des leitenden Materials 18, wodurch im Ergebnis elektrisch leitende Verbindungen („Leitende Pfosten") durch die beiden It can be seen from the cross-sectional view of FIG. 18 that the contact holes 17 have been filled with an electrically conductive material 18, preferably with tungsten. The filling of the contact holes 17 can, as shown in Fig. 18, completely done. Alternatively, only side walls of the contact holes 17 can be covered with the conductive material 18 (not shown). FIG. 19 shows a patterning of the conductive material 18, as a result of which electrically conductive connections ("conductive posts") through the two
Substratschichten 1 1 , 13 und eine elektrisch leitende Anbindung an Strukturen des ASIC-Wafers 20 bereitgestellt werden. Substrate layers 1 1, 13 and an electrically conductive connection to structures of the ASIC wafer 20 are provided.
Fig. 20 zeigt einen Querschnitt durch die Anordnung von Fig. 19 nach einem Trenchen der ersten Substratschicht 1 1 zu einer endgültigen Definition und Freistellung der MEMS-Strukturen 14, 15. Dabei werden auch noch zugängliche Teilbereiche der zweiten Substratschicht 13 getrencht. FIG. 20 shows a cross-section through the arrangement of FIG. 19 after trenching of the first substrate layer 11 for a final definition and release of the MEMS structures 14, 15. In this case, also accessible partial regions of the second substrate layer 13 are trimmed.
Fig. 21 deutet an, dass MEMS-Bereiche beweglich ausgebildet sind. Die Figur dient insbesondere der Illustration, dass sich, ähnlich wie in Fig. 2 dargestellt, bewegliche MEMS-Strukturen 14, 15 mit volldifferentieller Elektrodenanordnung, mit einer oberen Festelektrode in der ersten Substratschicht 1 1 und einer unteren Festelektrode in einer obersten Metallisierungsebene des ASIC-Wafers 20 realisieren lassen. Fig. 21 indicates that MEMS regions are made movable. In particular, the figure serves to illustrate that, similar to FIG. 2, movable MEMS structures 14, 15 with a fully differential electrode arrangement, with an upper fixed electrode in the first substrate layer 11 and a lower fixed electrode in an uppermost metallization plane of the ASIC Wafers 20 can be realized.
Fig. 22 zeigt ein fertiges mikromechanisches Bauelement 100, wobei ein Bonden eines Kappenwafers 30 auf den ASIC-Wafer 20 durchgeführt wird. Anschließend wird der Kappenwafer 30 in einem Bondpadbereich geöffnet und es wird ein Drahtbonden zu einer externen Kontaktierung des mikromechanischen FIG. 22 shows a finished micromechanical component 100, wherein a bonding of a cap wafer 30 to the ASIC wafer 20 is performed. Subsequently, the cap wafer 30 is opened in a bonding pad area and a wire bonding to an external contacting of the micromechanical
Bauelements 100 durchgeführt. Erkennbar ist ein externes Kontaktierungs- element 50 in Form eines Bonddrahts. Device 100 performed. Visible is an external contacting element 50 in the form of a bonding wire.
Fig. 23 zeigt eine alternative Form eines mikromechanischen Bauelements 100, wobei in diesem Fall der Kappenwafer 30 auf den MEMS-Wafer 10 gebondet ist. FIG. 23 shows an alternative form of a micromechanical device 100, in which case the cap wafer 30 is bonded to the MEMS wafer 10.
Fig. 24 zeigt eine weitere Ausführungsform des mikromechanischen Fig. 24 shows a further embodiment of the micromechanical
Bauelements 100 mit einer alternativen externen elektrischen Kontaktierung mittels Durchkontaktierungen 60 im ASIC-Wafer 20. Ebenfalls denkbar (nicht dargestellt) ist eine externe elektrische Kontaktierung über Durchkontaktierungen 60 im Kappenwafer 30. Dabei kann mittels der Durchkontaktierungen 60 mit einer zusätzlichen Umverdrahtung in Form einer Verteilungsebene (engl, redistribution layer, RDL) zusammen mit Kontaktierungselementen 50 in Form von Lötballs eine elektrische Kontaktierung von Schaltungselementen des ASIC- Wafers 20 realisiert werden. Component 100 with an alternative external electrical contacting by means of plated-through holes 60 in ASIC wafer 20. Also conceivable (not shown) is external electrical contacting via plated-through holes 60 in cap wafer 30. In this case, by means of plated-through holes 60 with additional redistribution in the form of a distribution plane (FIG. Engl, redistribution layer, RDL) together with contacting elements 50 in the form of solder balls an electrical contacting of circuit elements of the ASIC wafer 20 can be realized.
Die Figuren 25 bis 31 zeigen eine alternative Realisierung des MEMS-Wafers 10, bei dem zu dessen Herstellung ein oberflächenmikromechanisches Verfahren durchgeführt wird. Ausgangspunkt ist dabei eine erste Substratschicht 1 1 , auf die bevorzugt über eine thermische Oxidation eine Isolationsschicht 12, vorzugsweise eine Oxidschicht aufgebracht wird. Es werden in diesem Fall Standardverfahren der Oberflächen-Mikromechanik verwendet, wobei diese Verfahren aufgrund des niedrigeren Preises für das Rohmaterial einfacher und kostengünstiger sind. Die aufgewachsene zweite mikromechanische Schicht ist in diesem Fall überwiegend polykristallin. FIGS. 25 to 31 show an alternative realization of the MEMS wafer 10, in which a surface micromechanical process is carried out for its production. The starting point is a first substrate layer 11, to which an insulation layer 12, preferably an oxide layer, is preferably applied via thermal oxidation. In this case, standard methods of surface micromechanics are used, which methods are simpler and less expensive due to the lower price of the raw material. The grown second micromechanical layer is predominantly polycrystalline in this case.
Die in den Figuren dargestellten Schichtdickenverhältnisse von erster und zweiter Substratschicht 1 1 , 13 sind lediglich als exemplarisch zu betrachten. Mit dem vorgeschlagenen Verfahren können ohne grundlegende Änderungen im Prozessfluss auch beide Schichtdicken gleich oder die zweite Schicht dicker als die erste Schicht ausgebildet werden. Fig. 26 zeigt eine geöffnete Isolationsschicht 12 zum Ausbilden von Kontaktlöchern. The layer thickness ratios of the first and second substrate layers 11, 13 shown in the figures are to be regarded as exemplary only. With the proposed method, without fundamental changes in the process flow, both layer thicknesses may be the same or the second layer thicker than the first layer. Fig. 26 shows an opened insulation layer 12 for forming contact holes.
Fig. 27 zeigt ein Abscheiden einer zweiten Substratschicht 13 auf der Fig. 27 shows a deposition of a second substrate layer 13 on the
Isolationsschicht 12, entweder als polykristallines Silizium oder über ein epitaktisches Wachstum (mit einer polykristallinen Startschicht auf der Insulation layer 12, either as polycrystalline silicon or epitaxial growth (with a polycrystalline starting layer on the
Oxidschicht 12, nicht dargestellt). Im Bereich des Kontaktlochs entsteht eine starke Topographie der Oberfläche der zweiten Substratschicht 13. Diese kann optional über eine Vielzahl kleiner Kontaktlöcher, bei denen eine Grabenbreite kleiner ist als die Schichtdicke der zweiten Substratschicht 13, minimiert werden.  Oxide layer 12, not shown). In the region of the contact hole, a strong topography of the surface of the second substrate layer 13 is formed. This can optionally be minimized by means of a multiplicity of small contact holes, in which a trench width is smaller than the layer thickness of the second substrate layer 13.
Fig. 28 zeigt ein Ergebnis eines CMP-Schritts der zweiten Substratschicht 13 zu einer Vorbereitung eines nachfolgenden Waferbondens. Der Zustand des MEMS-Wafers 10 entspricht nun im Wesentlichen demjenigen von Fig. 1 1 , allerdings sind hier große Bereiche der zweiten Substratschicht 13 polykristallin ausgebildet. Die weitere Prozessfolge verläuft analog zu den Figuren 12 bis 22 ab. Fig. 28 shows a result of a CMP step of the second substrate layer 13 for preparation of a subsequent wafer bonding. The state of the MEMS wafer 10 now substantially corresponds to that of FIG. 11, but here large areas of the second substrate layer 13 are polycrystalline educated. The further process sequence proceeds analogously to FIGS. 12 to 22.
Fig. 29 zeigt ein ähnliches Prozessstadium wie Fig. 20, also nach dem zweiten Trenchen der ersten Substratschicht 13, wobei in diesem Fall das leitende Material 18 anders als in der Anordnung von Fig. 20 strukturiert ist. In diesem Fall wird ein völlig anderes Design des mikromechanischen Bauelements 100 realisiert, nämlich ein kapazitiver Drucksensor mit volldifferentieller FIG. 29 shows a process stage similar to FIG. 20, ie after the second trenching of the first substrate layer 13, in which case the conductive material 18 is structured differently than in the arrangement of FIG. 20. In this case, a completely different design of the micromechanical device 100 is realized, namely a capacitive pressure sensor with fully differential
Elektrodenanordnung. Electrode assembly.
Fig. 30 zeigt, dass zusätzlich zu den Prozessschritten der Figuren 3 bis 20 noch ein Gasphasenätzschritt mit gasförmigem HF (Fluorwasserstoff) durchgeführt wird. Dadurch werden gleichzeitig eine in der zweiten Substratschicht 13 realisierte Drucksensormembran und eine darüber angeordnete, in der ersten Substratschicht 1 1 dargestellte feste Detektionselektrode realisiert. Zusätzlich kann die oberste Metalllage des ASIC-Wafers 20 als eine Gegenelektrode verwendet werden, so dass eine differentielle Auswertung der Bewegung der Drucksensormembran möglich wird. Bevorzugt sind die Abstände der Membran zur oberen und unteren Elektrode identisch (in Fig. 30 nicht entsprechend dargestellt). FIG. 30 shows that, in addition to the process steps of FIGS. 3 to 20, a gas phase etching step with gaseous HF (hydrogen fluoride) is also carried out. As a result, a pressure sensor membrane realized in the second substrate layer 13 and a solid detection electrode arranged above it and realized in the first substrate layer 11 are simultaneously realized. In addition, the uppermost metal layer of the ASIC wafer 20 may be used as a counter electrode so that a differential evaluation of the movement of the pressure sensor membrane becomes possible. Preferably, the distances of the membrane to the upper and lower electrodes are identical (not shown in FIG. 30).
Aus Fig. 30 ist ferner erkennbar, dass ein„Stempel" aus leitendem Material 18 elektrisch leitend mit der in der zweiten Substratschicht 13 realisierten It can also be seen from FIG. 30 that a "stamp" made of conductive material 18 is made electrically conductive with that in the second substrate layer 13
Drucksensormembran ausgebildet ist. Pressure sensor diaphragm is formed.
Fig. 31 zeigt lediglich qualitativ einen Zustand der Drucksensormembran in ausgelenktem Zustand. Fig. 31 shows qualitatively a state of the pressure sensor membrane in the deflected state.
Fig. 32 zeigt einen prinzipiellen Ablauf eines Verfahrens zum Herstellen eines mikromechanischen Bauelements 100. FIG. 32 shows a basic sequence of a method for producing a micromechanical component 100.
In einem Schritt 200 wird ein MEMS-Wafer 10 bereitgestellt. In a step 200, a MEMS wafer 10 is provided.
In einem Schritt 210 wird ein ASIC-Wafer 20 bereitgestellt. In einem Schritt 220 wird ein Ausbilden von wenigstens zwei zumindest abschnittsweise übereinander angeordneten festen oder beweglichen Strukturen 14,15 im MEMS-Wafer 10 durchgeführt. In step 210, an ASIC wafer 20 is provided. In a step 220, formation of at least two fixed or movable structures 14, 15, which are arranged one above the other at least in sections, is carried out in the MEMS wafer 10.
In einem Schritt 230 wird ein Verbinden des MEMS-Wafers 10 mit dem ASIC- Wafer 20 durchgeführt. In a step 230, a connection of the MEMS wafer 10 to the ASIC wafer 20 is performed.
In einem Schritt 240 wird ein Ausbilden von elektrisch leitenden In a step 240, formation of electrically conductive
Verbindungselementen im MEMS-Wafer 10 durchgeführt, wobei die Connecting elements performed in the MEMS wafer 10, wherein the
Verbindungselemente die wenigstens zwei festen oder beweglichen Strukturen 14,15 im MEMS-Wafer 10 durchdringen und bis zum ASIC-Wafer 20 ausgebildet werden. Connecting elements which penetrate at least two fixed or movable structures 14,15 in the MEMS wafer 10 and are formed up to the ASIC wafer 20.
Schließlich wird in einem Schritt 250 ein Aufbringen eines Kappenwafers 30 auf die miteinander verbundenen Wafer 10,20 durchgeführt. Finally, in a step 250, a cap wafer 30 is applied to the interconnected wafers 10, 20.
Zusammenfassend werden mit der vorliegenden Erfindung ein mikromechanisches Bauelement und ein Verfahren zu dessen Herstellung vorgeschlagen. Besonders vorteilhaft kann das mikromechanische Bauelement eingesetzt werden, um volldifferentielle kapazitive Elektrodenanordnungen für senkrecht zur Chipebene auslenkbare MEMS-Elemente zu realisieren. Dabei wird eine feste Bodenelektrode von der (bevorzugt) obersten Metallebene des ASIC-Wafers 20 gebildet, wobei eine feste Top-Elektrode in der ersten Substratschicht 1 1 ausgeprägt wird. Die bewegliche Elektrode liegt dann zwischen der Boden- und Topelektrode und wird aus Bereichen der zweiten Substratschicht gebildet. In summary, the present invention proposes a micromechanical component and a method for its production. The micromechanical component can be used particularly advantageously in order to realize fully differential capacitive electrode arrangements for MEMS elements that can be deflected perpendicular to the chip plane. In this case, a fixed bottom electrode is formed by the (preferred) uppermost metal level of the ASIC wafer 20, wherein a solid top electrode is formed in the first substrate layer 11. The movable electrode then lies between the bottom and top electrodes and is formed from regions of the second substrate layer.
Vorteilhaft können die MEMS-Schichten bei Verwendung eines SOI-Wafers aus einkristallinem Material gebildet werden. Dadurch sind kleinere intrinsische Verspannungen möglich, wobei Inhomogenitäten in der Kristallstruktur von polykristallinem Silizium zu intrinsischen Verspannungen führen können. Dies kann sich nachteilig zum Beispiel in leichten Vorauslenkungen der Sensorstrukturen bemerkbar machen, die bei Beschleunigungssensoren zu Advantageously, the MEMS layers can be formed from monocrystalline material using an SOI wafer. As a result, smaller intrinsic stresses are possible, with inhomogeneities in the crystal structure of polycrystalline silicon can lead to intrinsic stresses. This can disadvantageously be noticeable, for example, in slight forward deflections of the sensor structures that are the case in acceleration sensors
unerwünschten Offsetsignalen führen. Die Schichtdicken der MEMS-Strukturen sind auf einfache Weise skalierbar, wobei die Dicken von erster und zweiter Substratschicht leichter als bei oberflächenmikromechanischen Verfahren vergrößert werden können. lead to unwanted offset signals. The layer thicknesses of the MEMS structures are easily scalable, whereby the thicknesses of the first and second substrate layer can be increased more easily than in the case of surface micromechanical methods.
Es ist ferner einfach möglich, bewegliche MEMS-Strukturen mit mechanisch verbundenen, aber elektrisch getrennten Bereichen darzustellen, wobei dies bei einem oberflächenmikromechanischen Ansatz nur mit erhöhtem Aufwand realisierbar ist. Diese Option kann vorteilhaft sein, um das Übersprechen zwischen Funktionselementen eines Sensors zu reduzieren (z.B. Antriebs- und Detektionskreis eines Drehratensensors), oder um so genannte volldifferentielle Auswerte verfahren für Beschleunigungssensoren zu verwenden, bei denen eine gemeinsame Sensormasse in zwei elektrisch getrennte Segmente aufgeteilt wird, die von einem ASIC gegentaktig angesteuert und differentiell ausgewertet werden. Parasitäre Signale, zum Beispiel aufgrund von EMV- oder PSSR- Störungen (engl, power supply rejection ratio), die gleichtaktig wirken, können damit wirkungsvoll unterdrückt werden. It is also easy to represent movable MEMS structures with mechanically connected, but electrically separated areas, which is feasible only with increased effort in a surface micromechanical approach. This option may be advantageous to reduce the crosstalk between functional elements of a sensor (eg drive and detection circuit of a rotation rate sensor), or to use so-called fully differential evaluation methods for acceleration sensors, in which a common sensor mass is divided into two electrically separate segments, which are counteracted by an ASIC and evaluated differentially. Parasitic signals, for example due to EMV or PSSR disturbances (Engl., Power supply rejection ratio), which have a common-mode effect, can thus be effectively suppressed.
Besonders vorteilhaft ist das mikromechanische Bauelement für einen Particularly advantageous is the micromechanical device for a
mikromechanischen Inertialsensor, z.B. für einen Beschleunigungssensor und/oder einen Drehratensensor verwendbar. micromechanical inertial sensor, e.g. usable for an acceleration sensor and / or a rotation rate sensor.
Obwohl die Erfindung vorgehend anhand von konkreten Anwendungsbeispielen beschrieben worden ist, kann der Fachmann vorgehend auch nicht oder nur teilweise offenbarte Ausführungsformen realisieren, ohne vom Kern der Although the invention has been described above with reference to concrete application examples, the person skilled in the art can realize previously not or only partially disclosed embodiments, without departing from the essence of
Erfindung abzuweichen. Deviate from the invention.

Claims

Ansprüche claims
1 . Verfahren zum Herstellen eines mikromechanischen Bauelements (100), aufweisend die Schritte: 1 . A method of manufacturing a micromechanical device (100), comprising the steps of:
- Bereitstellen eines MEMS-Wafers (10);  - providing a MEMS wafer (10);
- Bereitstellen eines ASIC-Wafers (20);  - providing an ASIC wafer (20);
Ausbilden von wenigstens zwei zumindest abschnittsweise übereinander angeordneten festen oder beweglichen Strukturen (14,15) im MEMS- Wafer (10);  Forming at least two fixed or movable structures (14, 15, 15) arranged one above another at least in sections in the MEMS wafer (10);
- Verbinden des MEMS-Wafers (10) mit dem ASIC-Wafer (20);  - connecting the MEMS wafer (10) to the ASIC wafer (20);
Ausbilden von elektrisch leitenden Verbindungselementen im MEMS- Wafer (10), wobei die Verbindungselemente die wenigstens zwei festen oder beweglichen Strukturen (14,15) im MEMS-Wafer (10) durchdringen und bis zum ASIC-Wafer (20) ausgebildet werden; und  Forming electrically conductive connection elements in the MEMS wafer (10), wherein the connection elements penetrate the at least two fixed or movable structures (14, 15) in the MEMS wafer (10) and are formed as far as the ASIC wafer (20); and
Aufbringen eines Kappenwafers (30) auf die miteinander verbundenen Wafer (10,20).  Applying a cap wafer (30) to the interconnected wafers (10, 20).
2. Verfahren nach Anspruch 1 , wobei das Ausbilden der elektrischen 2. The method of claim 1, wherein forming the electrical
Verbindungselemente mittels eines Einbringens von wenigstens einer Schicht leitfähigen Materials (18) in Kontaktlöcher (17) durchgeführt wird.  Connecting elements by means of introducing at least one layer of conductive material (18) in contact holes (17) is performed.
3. Verfahren nach Anspruch 2, wobei als leitfähiges Material Wolfram verwendet wird. 3. The method of claim 2, wherein tungsten is used as the conductive material.
4. Verfahren nach einem der vorhergehenden Ansprüche, wobei als ein 4. The method according to any one of the preceding claims, wherein as a
Kontaktierungselement (50) zum elektrischen Kontaktieren des  Contacting element (50) for electrically contacting the
mikromechanischen Bauelements (100) ein Drahtbondelement ausgebildet wird. micromechanical device (100) a wire bonding element is formed.
5. Verfahren nach einem der Ansprüche 1 bis 3, wobei zum elektrischen Kontaktieren des mikromechanischen Bauelements (100) eine 5. The method according to any one of claims 1 to 3, wherein for electrically contacting the micromechanical device (100) a
Durchkontaktierung (60) im ASIC-Wafer (20) ausgebildet wird.  Via (60) is formed in the ASIC wafer (20).
6. Verfahren nach einem der vorhergehenden Ansprüche, wobei ein Bonden des ASIC-Wafers (20) mit dem MEMS-Wafer (10) nach dem zumindest teilweisen Strukturieren des MEMS-Wafers (10) durchgeführt wird. 6. The method according to any one of the preceding claims, wherein a bonding of the ASIC wafer (20) with the MEMS wafer (10) after the at least partial structuring of the MEMS wafer (10) is performed.
7. Verfahren nach einem der vorhergehenden Ansprüche, wobei als Grundmaterial für den MEMS-Wafer (10) eine Anordnung aus einer ersten 7. The method according to any one of the preceding claims, wherein the base material for the MEMS wafer (10) is an arrangement of a first
Substratschicht (1 1 ), einer auf der ersten Substratschicht (1 1 ) angeordneten Isolierschicht (12) und einer auf der Isolierschicht (12) angeordneten zweiten Substratschicht (13) verwendet wird.  Substrate layer (1 1), one on the first substrate layer (1 1) arranged insulating layer (12) and one on the insulating layer (12) arranged second substrate layer (13) is used.
8. Mikromechanisches Bauelement (100), aufweisend: 8. A micromechanical device (100), comprising:
einen MEMS-Wafer (10) mit wenigstens zwei zumindest abschnittsweise übereinander angeordneten festen oder beweglichen MEMS-Strukturen (14,15),  a MEMS wafer (10) having at least two fixed or movable MEMS structures (14, 15) arranged one above the other at least in sections,
- einen ASIC-Wafer (20), wobei  - An ASIC wafer (20), wherein
- der MEMS-Wafer (10) mit dem ASIC-Wafer (20) funktional verbunden ist, wobei wenigstens ein die beiden MEMS-Strukturen (14,15)  - The MEMS wafer (10) is operatively connected to the ASIC wafer (20), wherein at least one of the two MEMS structures (14,15)
durchdringendes elektrisch leitendes Verbindungselement bis zum ASIC- Wafer (20) ausgebildet ist, wobei die Wafer (10,20) mittels eines  penetrating electrically conductive connecting element to the ASIC wafer (20) is formed, wherein the wafer (10,20) by means of a
Kappenwafers (30) verkappt sind.  Cap wafer (30) are capped.
9. Mikromechanisches Bauelement (100) nach Anspruch 8, dadurch 9. micromechanical device (100) according to claim 8, characterized
gekennzeichnet, dass wenigstens eine Struktur (14) des MEMS-Wafers (10) aus einkristallinem Silizium besteht.  characterized in that at least one structure (14) of the MEMS wafer (10) consists of monocrystalline silicon.
10. Mikromechanisches Bauelement (100) nach Anspruch 8 oder 9, dadurch gekennzeichnet, dass die beiden MEMS-Strukturen (14, 15) miteinander elektrisch leitend verbunden oder voneinander elektrisch isoliert sind. 10. Micromechanical component (100) according to claim 8 or 9, characterized in that the two MEMS structures (14, 15) are electrically conductively connected to each other or electrically isolated from each other.
1 1 . Mikromechanisches Bauelement (100) nach einem der Ansprüche 8 bis 10, dadurch gekennzeichnet, dass ein Kontaktierungselement (50) zum elektrischen Kontaktieren des Bauelements (100) am ASIC-Wafer (20) ausgebildet ist und/oder eine Durchkontaktierung (60) des ASIC-Wafers (20) aufweist. 1 1. Micromechanical component (100) according to one of claims 8 to 10, characterized in that a contacting element (50) for electrically contacting the component (100) on the ASIC wafer (20). is formed and / or has a via (60) of the ASIC wafer (20).
12. Mikromechanisches Bauelement (100) nach einem der Ansprüche 8 bis 1 1 , dadurch gekennzeichnet, dass das mikromechanische Bauelement (100) ein12. A micromechanical component (100) according to any one of claims 8 to 1 1, characterized in that the micromechanical device (100) a
Inertialsensor oder ein Drucksensor oder eine Kombination von Inertialsensor und Drucksensor ist. Inertialsensor or a pressure sensor or a combination of inertial and pressure sensor is.
PCT/EP2016/072575 2016-09-22 2016-09-22 Micromechanical component WO2018054470A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
PCT/EP2016/072575 WO2018054470A1 (en) 2016-09-22 2016-09-22 Micromechanical component

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/EP2016/072575 WO2018054470A1 (en) 2016-09-22 2016-09-22 Micromechanical component

Publications (1)

Publication Number Publication Date
WO2018054470A1 true WO2018054470A1 (en) 2018-03-29

Family

ID=56990436

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2016/072575 WO2018054470A1 (en) 2016-09-22 2016-09-22 Micromechanical component

Country Status (1)

Country Link
WO (1) WO2018054470A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020157586A1 (en) 2019-01-28 2020-08-06 Sabic Global Technologies, B.V. Process for producing hydrogen-lean syngas for synthesis processes
WO2020161667A1 (en) 2019-02-06 2020-08-13 Sabic Global Technologies, B.V. Methanol production process with increased energy efficiency
EP3786108A1 (en) * 2019-08-30 2021-03-03 Imec VZW Fabrication method for a mems device
CN113292038A (en) * 2021-07-05 2021-08-24 美满芯盛(杭州)微电子有限公司 MEMS (micro-electromechanical system) enhanced mass block inertial device and preparation method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012208030A1 (en) * 2012-05-14 2013-11-14 Robert Bosch Gmbh Micromechanical inertial sensor and method for its production
US20130334621A1 (en) * 2012-06-14 2013-12-19 Robert Bosch Gmbh Hybrid integrated component and method for the manufacture thereof
US20150158718A1 (en) * 2013-12-10 2015-06-11 Robert Bosch Gmbh Hybridly integrated module having a sealing structure
US20150360936A1 (en) * 2014-06-16 2015-12-17 Noureddine Tayebi Wafer Scale Monolithic CMOS-Integration of Free- and non-Free-Standing Metal- and Metal alloy-based MEMS Structures in a Sealed Cavity

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012208030A1 (en) * 2012-05-14 2013-11-14 Robert Bosch Gmbh Micromechanical inertial sensor and method for its production
US20130334621A1 (en) * 2012-06-14 2013-12-19 Robert Bosch Gmbh Hybrid integrated component and method for the manufacture thereof
US20150158718A1 (en) * 2013-12-10 2015-06-11 Robert Bosch Gmbh Hybridly integrated module having a sealing structure
US20150360936A1 (en) * 2014-06-16 2015-12-17 Noureddine Tayebi Wafer Scale Monolithic CMOS-Integration of Free- and non-Free-Standing Metal- and Metal alloy-based MEMS Structures in a Sealed Cavity

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020157586A1 (en) 2019-01-28 2020-08-06 Sabic Global Technologies, B.V. Process for producing hydrogen-lean syngas for synthesis processes
WO2020161667A1 (en) 2019-02-06 2020-08-13 Sabic Global Technologies, B.V. Methanol production process with increased energy efficiency
EP3786108A1 (en) * 2019-08-30 2021-03-03 Imec VZW Fabrication method for a mems device
CN113292038A (en) * 2021-07-05 2021-08-24 美满芯盛(杭州)微电子有限公司 MEMS (micro-electromechanical system) enhanced mass block inertial device and preparation method thereof
CN113292038B (en) * 2021-07-05 2023-08-29 美满芯盛(杭州)微电子有限公司 MEMS (micro-electromechanical systems) reinforced mass block inertial device and preparation method thereof

Similar Documents

Publication Publication Date Title
DE102010039057B4 (en) sensor module
DE102010008044B4 (en) MEMS microphone and method of manufacture
DE10063991B4 (en) Process for the production of micromechanical components
DE102015217918A1 (en) Micromechanical component
DE19537814B4 (en) Sensor and method for producing a sensor
EP2152627B1 (en) Micromechanical membrane sensor and corresponding production method
DE102010039293B4 (en) Micromechanical component and production method for a micromechanical component
WO2018041444A1 (en) Method for producing a micromechanical sensor
WO2018054470A1 (en) Micromechanical component
WO2015106854A1 (en) Micromechanical presssure sensor and corresponding production method
DE102009004725A1 (en) Through-hole semiconductor circuit and method of manufacturing vertically integrated circuits
WO2007137893A1 (en) Micromechanic component and method for the production thereof
WO2008148654A2 (en) Electrical contact for a micromechanical component
DE102009055283A1 (en) Semiconductor device and method for its production
DE102011085084A1 (en) A method of making an electrical via in a substrate and a substrate having an electrical via
DE102010029709B4 (en) Micromechanical component and method for producing a micromechanical component
WO2020011559A1 (en) Micromechanical pressure sensor device and corresponding production method
DE102017211080B3 (en) Micromechanical sensor and method for producing a micromechanical sensor and a micromechanical sensor element
WO2018069028A1 (en) Micromechanical sensor comprising a stress decoupling structure
DE102012213313B4 (en) Micromechanical structure
DE102017206412B4 (en) Microelectromechanical component, method for producing a microelectromechanical component and method for producing a system on a chip using a CMOS process
DE102013222664A1 (en) Micromechanical structure and method for producing a micromechanical structure
DE102006055263A1 (en) Micromechanical thermopile sensor for use as membrane sensor for detecting infrared radiation, has conductive areas laterally distanced from each other and electrically contacted at their upper and/or lower end areas as thermopile structure
DE10324421A1 (en) Manufacturing metallization surface for semiconducting component with movable structure in substrate, involves metallizing component with cover to form metal coating on cover and metallization surface
DE102014226436A1 (en) Micromechanical sensor device and corresponding manufacturing method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16770487

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 16770487

Country of ref document: EP

Kind code of ref document: A1