WO2017095511A1 - Instruction and logic for programmable fabric hierarchy and cache - Google Patents

Instruction and logic for programmable fabric hierarchy and cache Download PDF

Info

Publication number
WO2017095511A1
WO2017095511A1 PCT/US2016/053958 US2016053958W WO2017095511A1 WO 2017095511 A1 WO2017095511 A1 WO 2017095511A1 US 2016053958 W US2016053958 W US 2016053958W WO 2017095511 A1 WO2017095511 A1 WO 2017095511A1
Authority
WO
WIPO (PCT)
Prior art keywords
fabric
instruction
programmable
unit
processor
Prior art date
Application number
PCT/US2016/053958
Other languages
English (en)
French (fr)
Inventor
Leo A. Linsky
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to CN201680064141.7A priority Critical patent/CN108351863B/zh
Priority to EP16871210.7A priority patent/EP3384400B1/en
Publication of WO2017095511A1 publication Critical patent/WO2017095511A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7807System on chip, i.e. computer system on a single chip; System in package, i.e. computer system on one or more chips in a single package
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30029Logical and Boolean instructions, e.g. XOR, NOT
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0875Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with dedicated cache, e.g. instruction or stack
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/80Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • G06F9/3016Decoding the operand specifier, e.g. specifier format
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3802Instruction prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline or look ahead using instruction pipelines
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units
    • G06F9/3893Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator
    • G06F9/3895Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator for complex operations, e.g. multidimensional or interleaved address generators, macros
    • G06F9/3897Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator for complex operations, e.g. multidimensional or interleaved address generators, macros with adaptable data path
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0811Multiuser, multiprocessor or multiprocessing cache systems with multilevel cache hierarchies
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/45Caching of specific data in cache memory
    • G06F2212/452Instruction code
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • the present disclosure pertains to the field of processing logic, microprocessors, and associated instruction set architecture that, when executed by the processor or other processing logic, perform logical, mathematical, or other functional operations.
  • Multiprocessor systems are becoming more and more common. Applications of multiprocessor systems include dynamic domain partitioning all the way down to desktop computing.
  • code to be executed may be separated into multiple threads for execution by various processing entities. Each thread may be executed in parallel with one another.
  • out-of-order execution may be employed. Out-of-order execution may execute instructions as input to such instructions is made available. Thus, an instruction that appears later in a code sequence may be executed before an instruction appearing earlier in a code sequence.
  • Processor systems may communicate with external co-processors, digital signal processors, and specialized processing units such as graphical processing units, and field programmable gate arrays. The processor system may communicate with these elements through external busses.
  • FIG. 1 A is a block diagram of an exemplary computer system formed with a processor that may include execution units to execute an instruction, in accordance with embodiments of the present disclosure
  • FIG. IB illustrates a data processing system, in accordance with embodiments of the present disclosure
  • FIG. 1C illustrates other embodiments of a data processing system for performing text string comparison operations
  • FIG. 2 is a block diagram of the micro-architecture for a processor that may include logic circuits to perform instructions, in accordance with embodiments of the present disclosure
  • FIG. 3 A illustrates various packed data type representations in multimedia registers, in accordance with embodiments of the present disclosure
  • FIG. 3B illustrates possible in-register data storage formats, in accordance with embodiments of the present disclosure
  • FIG. 3C illustrates various signed and unsigned packed data type representations in multimedia registers, in accordance with embodiments of the present disclosure
  • FIG. 3D illustrates an embodiment of an operation encoding format
  • FIG. 3E illustrates another possible operation encoding format having forty or more bits, in accordance with embodiments of the present disclosure
  • FIG. 3F illustrates yet another possible operation encoding format, in accordance with embodiments of the present disclosure
  • FIG. 4A is a block diagram illustrating an in-order pipeline and a register renaming stage, out-of-order issue/execution pipeline, in accordance with embodiments of the present disclosure
  • FIG. 4B is a block diagram illustrating an in-order architecture core and a register renaming logic, out-of-order issue/execution logic to be included in a processor, in accordance with embodiments of the present disclosure
  • FIG. 5 A is a block diagram of a processor, in accordance with embodiments of the present disclosure.
  • FIG. 5B is a block diagram of an example implementation of a core, in accordance with embodiments of the present disclosure.
  • FIG. 6 is a block diagram of a system, in accordance with embodiments of the present disclosure.
  • FIG. 7 is a block diagram of a second system, in accordance with embodiments of the present disclosure.
  • FIG. 8 is a block diagram of a third system in accordance with embodiments of the present disclosure.
  • FIG. 9 is a block diagram of a system-on-a-chip, in accordance with embodiments of the present disclosure.
  • FIG. 10 illustrates a processor containing a central processing unit and a graphics processing unit which may perform at least one instruction, in accordance with embodiments of the present disclosure
  • FIG. 11 is a block diagram illustrating the development of IP cores, in accordance with embodiments of the present disclosure.
  • FIG. 12 illustrates how an instruction of a first type may be emulated by a processor of a different type, in accordance with embodiments of the present disclosure
  • FIG. 13 illustrates a block diagram contrasting the use of a software instruction converter to convert binary instructions in a source instruction set to binary instructions in a target instruction set, in accordance with embodiments of the present disclosure
  • FIG. 14 is a block diagram of an instruction set architecture of a processor, in accordance with embodiments of the present disclosure.
  • FIG. 15 is a more detailed block diagram of an instruction set architecture of a processor, in accordance with embodiments of the present disclosure.
  • FIG. 16 is a block diagram of an execution pipeline for an instruction set architecture of a processor, in accordance with embodiments of the present disclosure
  • FIG. 17 is a block diagram of an electronic device for utilizing a processor, in accordance with embodiments of the present disclosure.
  • FIG. 18 is a block diagram of a system for implementing logic and an instruction for programmable fabric, according to embodiments of the present disclosure.
  • FIG. 19 is a more detailed illustration of elements of a system for implementing logic and an instruction for programmable fabric, according to embodiments of the present disclosure;
  • FIG. 20 is a block diagram of configuration cache hierarchies, according to embodiments of the present disclosure.
  • FIG. 21 is a block diagram and illustration of a configuration cache and its operation, in accordance with embodiments of the present disclosure.
  • FIG. 22 is a block diagram of how a fabric interface controller may interface with programmable fabric, in accordance with embodiments of the present disclosure
  • FIG. 23 is a block diagram of an example fabric interface controller and an example configuration memory controller, in accordance to embodiments of the present disclosure.
  • FIG. 24 is flow chart of a method for administrating a programmable fabric and cache, according to embodiments of the present disclosure.
  • FIG. 25 is a flow diagram of an instruction handling method in accordance with one embodiment of the present invention.
  • FIG. 26 is a flow diagram of an instruction handling method in accordance with another embodiment of the present invention.
  • Embodiments of the present disclosure may be provided as a computer program product or software which may include a machine or computer-readable medium having stored thereon instructions which may be used to program a computer (or other electronic devices) to perform one or more operations according to embodiments of the present disclosure. Furthermore, steps of embodiments of the present disclosure might be performed by specific hardware components that contain fixed-function logic for performing the steps, or by any combination of programmed computer components and fixed-function hardware components.
  • Instructions used to program logic to perform embodiments of the present disclosure may be stored within a memory in the system, such as DRAM, cache, flash memory, or other storage. Furthermore, the instructions may be distributed via a network or by way of other computer-readable media.
  • a machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computer), but is not limited to, floppy diskettes, optical disks, Compact Discs, Read-Only Memory (CD- ROMs), and magneto-optical disks, Read-Only Memory (ROMs), Random Access Memory (RAM), Erasable Programmable Read-Only Memory (EPROM), Electrically Erasable Programmable Read-Only Memory (EEPROM), magnetic or optical cards, flash memory, or a tangible, machine-readable storage used in the transmission of information over the Internet via electrical, optical, acoustical or other forms of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.).
  • the computer-readable medium may include any type of tangible machine-readable medium suitable for storing or transmitting electronic instructions or information in a form readable by a machine (e.g., a computer).
  • a design may go through various stages, from creation to simulation to fabrication.
  • Data representing a design may represent the design in a number of manners.
  • the hardware may be represented using a hardware description language or another functional description language.
  • a circuit level model with logic and/or transistor gates may be produced at some stages of the design process.
  • designs, at some stage, may reach a level of data representing the physical placement of various devices in the hardware model.
  • the data representing the hardware model may be the data specifying the presence or absence of various features on different mask layers for masks used to produce the integrated circuit.
  • the data may be stored in any form of a machine-readable medium.
  • a memory or a magnetic or optical storage such as a disc may be the machine-readable medium to store information transmitted via optical or electrical wave modulated or otherwise generated to transmit such information.
  • an electrical carrier wave indicating or carrying the code or design is transmitted, to the extent that copying, buffering, or retransmission of the electrical signal is performed, a new copy may be made.
  • a communication provider or a network provider may store on a tangible, machine-readable medium, at least temporarily, an article, such as information encoded into a carrier wave, embodying techniques of embodiments of the present disclosure.
  • an instruction set may be associated with one or more computer architectures, including data types, instructions, register architecture, addressing modes, memory architecture, interrupt and exception handling, and external input and output (I/O).
  • computer architectures including data types, instructions, register architecture, addressing modes, memory architecture, interrupt and exception handling, and external input and output (I/O).
  • the instruction set architecture may be implemented by one or more micro-architectures, which may include processor logic and circuits used to implement one or more instruction sets. Accordingly, processors with different microarchitectures may share at least a portion of a common instruction set. For example, Intel® Pentium 4 processors, Intel® CoreTM processors, and processors from Advanced Micro Devices, Inc. of Sunnyvale CA implement nearly identical versions of the x86 instruction set (with some extensions that have been added with newer versions), but have different internal designs. Similarly, processors designed by other processor development companies, such as ARM Holdings, Ltd., MIPS, or their licensees or adopters, may share at least a portion a common instruction set, but may include different processor designs.
  • registers may include one or more registers, register architectures, register files, or other register sets that may or may not be addressable by a software programmer.
  • An instruction may include one or more instruction formats.
  • an instruction format may indicate various fields (number of bits, location of bits, etc.) to specify, among other things, the operation to be performed and the operands on which that operation will be performed.
  • some instruction formats may be further defined by instruction templates (or sub-formats).
  • the instruction templates of a given instruction format may be defined to have different subsets of the instruction format's fields and/or defined to have a given field interpreted differently.
  • an instruction may be expressed using an instruction format (and, if defined, in a given one of the instruction templates of that instruction format) and specifies or indicates the operation and the operands upon which the operation will operate.
  • SIMD Single Instruction Multiple Data
  • processors may logically divide the bits in a register into a number of fixed-sized or variable-sized data elements, each of which represents a separate value.
  • the bits in a 64-bit register may be organized as a source operand containing four separate 16-bit data elements, each of which represents a separate 16-bit value.
  • This type of data may be referred to as 'packed' data type or 'vector' data type, and operands of this data type may be referred to as packed data operands or vector operands.
  • a packed data item or vector may be a sequence of packed data elements stored within a single register, and a packed data operand or a vector operand may a source or destination operand of a SIMD instruction (or 'packed data instruction' or a 'vector instruction').
  • a SIMD instruction specifies a single vector operation to be performed on two source vector operands to generate a destination vector operand (also referred to as a result vector operand) of the same or different size, with the same or different number of data elements, and in the same or different data element order.
  • SIMD technology such as that employed by the Intel® CoreTM processors having an instruction set including x86, MMXTM, Streaming SIMD Extensions (SSE), SSE2, SSE3, SSE4.1, and SSE4.2 instructions, ARM processors, such as the ARM Cortex® family of processors having an instruction set including the Vector Floating Point (VFP) and/or NEON instructions, and MIPS processors, such as the Loongson family of processors developed by the Institute of Computing Technology (ICT) of the Chinese Academy of Sciences, has enabled a significant improvement in application performance (CoreTM and MMXTM are registered trademarks or trademarks of Intel Corporation of Santa Clara, Calif).
  • ICT Institute of Computing Technology
  • destination and source registers/data may be generic terms to represent the source and destination of the corresponding data or operation. In some embodiments, they may be implemented by registers, memory, or other storage areas having other names or functions than those depicted. For example, in one embodiment, "DEST1" may be a temporary storage register or other storage area, whereas “SRCl” and “SRC2" may be a first and second source storage register or other storage area, and so forth. In other embodiments, two or more of the SRC and DEST storage areas may correspond to different data storage elements within the same storage area (e.g., a SFMD register). In one embodiment, one of the source registers may also act as a destination register by, for example, writing back the result of an operation performed on the first and second source data to one of the two source registers serving as a destination registers.
  • FIG. 1A is a block diagram of an exemplary computer system formed with a processor that may include execution units to execute an instruction, in accordance with embodiments of the present disclosure.
  • System 100 may include a component, such as a processor 102 to employ execution units including logic to perform algorithms for process data, in accordance with the present disclosure, such as in the embodiment described herein.
  • System 100 may be representative of processing systems based on the PENTRJM ® III, PENTIUM ® 4, Xeon tm , Itanium ® , XScale tm and/or StrongARM tm microprocessors available from Intel Corporation of Santa Clara, California, although other systems (including PCs having other microprocessors, engineering workstations, set-top boxes and the like) may also be used.
  • sample system 100 may execute a version of the WINDOWS tm operating system available from Microsoft Corporation of Redmond, Washington, although other operating systems (UNIX and Linux for example), embedded software, and/or graphical user interfaces, may also be used.
  • embodiments of the present disclosure are not limited to any specific combination of hardware circuitry and software.
  • Embodiments are not limited to computer systems. Embodiments of the present disclosure may be used in other devices such as handheld devices and embedded applications. Some examples of handheld devices include cellular phones, Internet Protocol devices, digital cameras, personal digital assistants (PDAs), and handheld PCs. Embedded
  • applications may include a micro controller, a digital signal processor (DSP), system on a chip, network computers (NetPC), set-top boxes, network hubs, wide area network (WAN) switches, or any other system that may perform one or more instructions in accordance with at least one embodiment.
  • DSP digital signal processor
  • NetworkPC network computers
  • Set-top boxes network hubs
  • WAN wide area network
  • Computer system 100 may include a processor 102 that may include one or more execution units 108 to perform an algorithm to perform at least one instruction in accordance with one embodiment of the present disclosure.
  • System 100 may be an example of a 'hub' system architecture.
  • System 100 may include a processor 102 for processing data signals.
  • Processor 102 may include a complex instruction set computer (CISC) microprocessor, a reduced instruction set computing (RISC) microprocessor, a very long instruction word (VLIW) microprocessor, a processor implementing a combination of instruction sets, or any other processor device, such as a digital signal processor, for example.
  • processor 102 may be coupled to a processor bus 110 that may transmit data signals between processor 102 and other components in system 100.
  • the elements of system 100 may perform conventional functions that are well known to those familiar with the art.
  • processor 102 may include a Level 1 (LI) internal cache memory 104. Depending on the architecture, the processor 102 may have a single internal cache or multiple levels of internal cache. In another embodiment, the cache memory may reside external to processor 102. Other embodiments may also include a combination of both internal and external caches depending on the particular implementation and needs.
  • Register file 106 may store different types of data in various registers including integer registers, floating point registers, status registers, and instruction pointer register.
  • Execution unit 108 including logic to perform integer and floating point operations, also resides in processor 102. Processor 102 may also include a microcode (ucode) ROM that stores microcode for certain macroinstructions.
  • execution unit 108 may include logic to handle a packed instruction set 109.
  • the operations used by many multimedia applications may be performed using packed data in a general-purpose processor 102.
  • many multimedia applications may be accelerated and executed more efficiently by using the full width of a processor's data bus for performing operations on packed data. This may eliminate the need to transfer smaller units of data across the processor's data bus to perform one or more operations one data element at a time.
  • Embodiments of an execution unit 108 may also be used in micro controllers, embedded processors, graphics devices, DSPs, and other types of logic circuits.
  • System 100 may include a memory 120.
  • Memory 120 may be implemented as a Dynamic Random Access Memory (DRAM) device, a Static Random Access Memory (SRAM) device, flash memory device, or other memory device.
  • DRAM Dynamic Random Access Memory
  • SRAM Static Random Access Memory
  • Memory 120 may store instructions and/or data represented by data signals that may be executed by processor 102.
  • a system logic chip 116 may be coupled to processor bus 110 and memory 120.
  • System logic chip 116 may include a memory controller hub (MCH).
  • Processor 102 may communicate with MCH 116 via a processor bus 110.
  • MCH 116 may provide a high bandwidth memory path 118 to memory 120 for instruction and data storage and for storage of graphics commands, data and textures.
  • MCH 116 may direct data signals between processor 102, memory 120, and other components in system 100 and to bridge the data signals between processor bus 110, memory 120, and system I/O 122.
  • the system logic chip 116 may provide a graphics port for coupling to a graphics controller 112.
  • MCH 116 may be coupled to memory 120 through a memory interface 118.
  • Graphics card 112 may be coupled to MCH 116 through an Accelerated Graphics Port (AGP) interconnect 114.
  • AGP Accelerated Graphics Port
  • System 100 may use a proprietary hub interface bus 122 to couple MCH 116 to I/O controller hub (ICH) 130.
  • ICH 130 may provide direct connections to some I/O devices via a local I/O bus.
  • the local I/O bus may include a high-speed I/O bus for connecting peripherals to memory 120, chipset, and processor 102. Examples may include the audio controller, firmware hub (flash BIOS) 128, wireless transceiver 126, data storage 124, legacy I/O controller containing user input and keyboard interfaces, a serial expansion port such as Universal Serial Bus (USB), and a network controller 134.
  • Data storage device 124 may comprise a hard disk drive, a floppy disk drive, a CD-ROM device, a flash memory device, or other mass storage device.
  • an instruction in accordance with one embodiment may be used with a system on a chip.
  • a system on a chip comprises of a processor and a memory.
  • the memory for one such system may include a flash memory.
  • the flash memory may be located on the same die as the processor and other system components. Additionally, other logic blocks such as a memory controller or graphics controller may also be located on a system on a chip.
  • FIG. IB illustrates a data processing system 140 which implements the principles of embodiments of the present disclosure. It will be readily appreciated by one of skill in the art that the embodiments described herein may operate with alternative processing systems without departure from the scope of embodiments of the disclosure.
  • Computer system 140 comprises a processing core 159 for performing at least one instruction in accordance with one embodiment.
  • processing core 159 represents a processing unit of any type of architecture, including but not limited to a CISC, a RISC or a VLIW-type architecture. Processing core 159 may also be suitable for
  • manufacture in one or more process technologies and by being represented on a machine- readable media in sufficient detail, may be suitable to facilitate said manufacture.
  • Processing core 159 comprises an execution unit 142, a set of register files 145, and a decoder 144. Processing core 159 may also include additional circuitry (not shown) which may be unnecessary to the understanding of embodiments of the present disclosure.
  • Execution unit 142 may execute instructions received by processing core 159. In addition to performing typical processor instructions, execution unit 142 may perform instructions in packed instruction set 143 for performing operations on packed data formats. Packed instruction set 143 may include instructions for performing embodiments of the disclosure and other packed instructions. Execution unit 142 may be coupled to register file 145 by an internal bus. Register file 145 may represent a storage area on processing core 159 for storing information, including data. As previously mentioned, it is understood that the storage area may store the packed data might not be critical. Execution unit 142 may be coupled to decoder 144. Decoder 144 may decode instructions received by processing core 159 into control signals and/or microcode entry points. In response to these control signals and/or microcode entry points, execution unit 142 performs the appropriate operations. In one embodiment, the decoder may interpret the opcode of the instruction, which will indicate what operation should be performed on the corresponding data indicated within the instruction.
  • Processing core 159 may be coupled with bus 141 for communicating with various other system devices, which may include but are not limited to, for example, Synchronous Dynamic Random Access Memory (SDRAM) control 146, Static Random Access Memory (SRAM) control 147, burst flash memory interface 148, Personal Computer Memory Card International Association (PCMCIA)/Compact Flash (CF) card control 149, Liquid Crystal Display (LCD) control 150, Direct Memory Access (DMA) controller 151, and alternative bus master interface 152.
  • SDRAM Synchronous Dynamic Random Access Memory
  • SRAM Static Random Access Memory
  • CF Personal Computer Memory Card International Association
  • CF Liquid Crystal Display
  • DMA Direct Memory Access
  • data processing system 140 may also comprise an I/O bridge 154 for communicating with various I/O devices via an I/O bus 153.
  • I/O devices may include but are not limited to, for example, Universal Asynchronous
  • UART Receiver/Transmitter
  • USB Universal Serial Bus
  • Bluetooth wireless UART 157
  • I/O expansion interface 158 I/O expansion interface 158.
  • One embodiment of data processing system 140 provides for mobile, network and/or wireless communications and a processing core 159 that may perform SFMD operations including a text string comparison operation.
  • Processing core 159 may be programmed with various audio, video, imaging and communications algorithms including discrete transformations such as a Walsh-Hadamard transform, a fast Fourier transform (FFT), a discrete cosine transform (DCT), and their respective inverse transforms;
  • discrete transformations such as a Walsh-Hadamard transform, a fast Fourier transform (FFT), a discrete cosine transform (DCT), and their respective inverse transforms;
  • compression/decompression techniques such as color space transformation, video encode motion estimation or video decode motion compensation; and modulation/demodulation (MODEM) functions such as pulse coded modulation (PCM).
  • MODEM modulation/demodulation
  • FIG. 1C illustrates other embodiments of a data processing system that performs SFMD text string comparison operations.
  • data processing system 160 may include a main processor 166, a SIMD coprocessor 161, a cache memory 167, and an input/output system 168.
  • Input/output system 168 may optionally be coupled to a wireless interface 169.
  • SIMD coprocessor 161 may perform operations including instructions in accordance with one embodiment.
  • processing core 170 may be suitable for manufacture in one or more process technologies and by being represented on a machine- readable media in sufficient detail, may be suitable to facilitate the manufacture of all or part of data processing system 160 including processing core 170.
  • SIMD coprocessor 161 comprises an execution unit 162 and a set of register files 164.
  • main processor 165 comprises a decoder 165 to recognize instructions of instruction set 163 including instructions in accordance with one embodiment for execution by execution unit 162.
  • SIMD coprocessor 161 also comprises at least part of decoder 165 to decode instructions of instruction set 163.
  • Processing core 170 may also include additional circuitry (not shown) which may be unnecessary to the understanding of embodiments of the present disclosure.
  • main processor 166 executes a stream of data processing instructions that control data processing operations of a general type including interactions with cache memory 167, and input/output system 168. Embedded within the stream of data processing instructions may be SIMD coprocessor instructions. Decoder 165 of main processor 166 recognizes these SIMD coprocessor instructions as being of a type that should be executed by an attached SIMD coprocessor 161. Accordingly, main processor 166 issues these SIMD coprocessor instructions (or control signals representing SIMD coprocessor instructions) on the coprocessor bus 166. From coprocessor bus 166, these instructions may be received by any attached SIMD coprocessors. In this case, SIMD coprocessor 161 may accept and execute any received SIMD coprocessor instructions intended for it.
  • Data may be received via wireless interface 169 for processing by the SIMD coprocessor instructions.
  • voice communication may be received in the form of a digital signal, which may be processed by the SIMD coprocessor instructions to regenerate digital audio samples representative of the voice communications.
  • compressed audio and/or video may be received in the form of a digital bit stream, which may be processed by the SIMD coprocessor instructions to regenerate digital audio samples and/or motion video frames.
  • processing core 170, main processor 166, and a SIMD coprocessor 161 may be integrated into a single processing core 170 comprising an execution unit 162, a set of register files 164, and a decoder 165 to recognize instructions of instruction set 163 including instructions in accordance with one embodiment.
  • FIG. 2 is a block diagram of the micro-architecture for a processor 200 that may include logic circuits to perform instructions, in accordance with embodiments of the present disclosure.
  • an instruction in accordance with one embodiment may be implemented to operate on data elements having sizes of byte, word, doubleword, quadword, etc., as well as datatypes, such as single and double precision integer and floating point datatypes.
  • in-order front end 201 may implement a part of processor 200 that may fetch instructions to be executed and prepares the instructions to be used later in the processor pipeline. Front end 201 may include several units.
  • instruction prefetcher 226 fetches instructions from memory and feeds the instructions to an instruction decoder 228 which in turn decodes or interprets the instructions.
  • the decoder decodes a received instruction into one or more operations called "micro-instructions" or “micro-operations” (also called micro op or uops) that the machine may execute.
  • the decoder parses the instruction into an opcode and corresponding data and control fields that may be used by the micro-architecture to perform operations in accordance with one embodiment.
  • trace cache 230 may assemble decoded uops into program ordered sequences or traces in uop queue 234 for execution. When trace cache 230 encounters a complex instruction, microcode ROM 232 provides the uops needed to complete the operation.
  • Some instructions may be converted into a single micro-op, whereas others need several micro-ops to complete the full operation.
  • decoder 228 may access microcode ROM 232 to perform the instruction.
  • an instruction may be decoded into a small number of micro-ops for processing at instruction decoder 228.
  • an instruction may be stored within microcode ROM 232 should a number of micro-ops be needed to accomplish the operation.
  • Trace cache 230 refers to an entry point programmable logic array (PLA) to determine a correct micro-instruction pointer for reading the micro-code sequences to complete one or more instructions in accordance with one embodiment from micro-code ROM 232.
  • PPA programmable logic array
  • Out-of-order execution engine 203 may prepare instructions for execution.
  • the out- of-order execution logic has a number of buffers to smooth out and re-order the flow of instructions to optimize performance as they go down the pipeline and get scheduled for execution.
  • the allocator logic allocates the machine buffers and resources that each uop needs in order to execute.
  • the register renaming logic renames logic registers onto entries in a register file.
  • the allocator also allocates an entry for each uop in one of the two uop queues, one for memory operations and one for non-memory operations, in front of the instruction schedulers: memory scheduler, fast scheduler 202, slow/general floating point scheduler 204, and simple floating point scheduler 206.
  • Uop schedulers 202, 204, 206 determine when a uop is ready to execute based on the readiness of their dependent input register operand sources and the availability of the execution resources the uops need to complete their operation.
  • Fast scheduler 202 of one embodiment may schedule on each half of the main clock cycle while the other schedulers may only schedule once per main processor clock cycle. The schedulers arbitrate for the dispatch ports to schedule uops for execution.
  • Register files 208, 210 may be arranged between schedulers 202, 204, 206, and execution units 212, 214, 216, 218, 220, 222, 224 in execution block 211. Each of register files 208, 210 perform integer and floating point operations, respectively. Each register file 208, 210, may include a bypass network that may bypass or forward just completed results that have not yet been written into the register file to new dependent uops. Integer register file 208 and floating point register file 210 may communicate data with the other. In one embodiment, integer register file 208 may be split into two separate register files, one register file for low-order thirty-two bits of data and a second register file for high order thirty-two bits of data. Floating point register file 210 may include 128-bit wide entries because floating point instructions typically have operands from 64 to 128 bits in width.
  • Execution block 211 may contain execution units 212, 214, 216, 218, 220, 222, 224. Execution units 212, 214, 216, 218, 220, 222, 224 may execute the instructions. Execution block 211 may include register files 208, 210 that store the integer and floating point data operand values that the micro-instructions need to execute. In one embodiment, processor 200 may comprise a number of execution units: address generation unit (AGU) 212, AGU 214, fast Arithmetic Logic Unit (ALU) 216, fast ALU 218, slow ALU 220, floating point ALU 222, floating point move unit 224.
  • AGU address generation unit
  • ALU Arithmetic Logic Unit
  • floating point execution blocks 222, 224 may execute floating point, MMX, SIMD, and SSE, or other operations.
  • floating point ALU 222 may include a 64-bit by 64-bit floating point divider to execute divide, square root, and remainder micro-ops.
  • instructions involving a floating point value may be handled with the floating point hardware.
  • ALU operations may be passed to high-speed ALU execution units 216, 218.
  • High-speed ALUs 216, 218 may execute fast operations with an effective latency of half a clock cycle.
  • most complex integer operations go to slow ALU 220 as slow ALU 220 may include integer execution hardware for long- latency type of operations, such as a multiplier, shifts, flag logic, and branch processing.
  • Memory load/store operations may be executed by AGUs 212, 214.
  • integer ALUs 216, 218, 220 may perform integer operations on 64-bit data operands.
  • ALUs 216, 218, 220 may be implemented to support a variety of data bit sizes including sixteen, thirty-two, 128, 256, etc.
  • floating point units 222, 224 may be implemented to support a range of operands having bits of various widths. In one embodiment, floating point units 222, 224, may operate on 128-bit wide packed data operands in conjunction with SIMD and multimedia instructions.
  • uops schedulers 202, 204, 206 dispatch dependent operations before the parent load has finished executing.
  • processor 200 may also include logic to handle memory misses. If a data load misses in the data cache, there may be dependent operations in flight in the pipeline that have left the scheduler with temporarily incorrect data.
  • a replay mechanism tracks and re-executes instructions that use incorrect data. Only the dependent operations might need to be replayed and the independent ones may be allowed to complete.
  • the schedulers and replay mechanism of one embodiment of a processor may also be designed to catch instruction sequences for text string comparison operations.
  • registers may refer to the on-board processor storage locations that may be used as part of instructions to identify operands. In other words, registers may be those that may be usable from the outside of the processor (from a programmer's perspective). However, in some embodiments registers might not be limited to a particular type of circuit. Rather, a register may store data, provide data, and perform the functions described herein. The registers described herein may be implemented by circuitry within a processor using any number of different techniques, such as dedicated physical registers, dynamically allocated physical registers using register renaming, combinations of dedicated and dynamically allocated physical registers, etc. In one embodiment, integer registers store 32-bit integer data. A register file of one embodiment also contains eight multimedia SIMD registers for packed data.
  • the registers may be understood to be data registers designed to hold packed data, such as 64-bit wide MMX tm registers (also referred to as 'mm' registers in some instances) in microprocessors enabled with MMX technology from Intel Corporation of Santa Clara, California. These MMX registers, available in both integer and floating point forms, may operate with packed data elements that accompany SFMD and SSE instructions. Similarly, 128-bit wide XMM registers relating to SSE2, SSE3, SSE4, or beyond (referred to generically as "SSEx”) technology may hold such packed data operands.
  • SSEx 128-bit wide XMM registers relating to SSE2, SSE3, SSE4, or beyond
  • the registers do not need to differentiate between the two data types.
  • integer and floating point may be contained in the same register file or different register files.
  • floating point and integer data may be stored in different registers or the same registers.
  • FIG. 3 A illustrates various packed data type representations in multimedia registers, in accordance with embodiments of the present disclosure.
  • FIG. 3 A illustrates data types for a packed byte 310, a packed word 320, and a packed doubleword (dword) 330 for 128-bit wide operands.
  • Packed byte format 310 of this example may be 128 bits long and contains sixteen packed byte data elements.
  • a byte may be defined, for example, as eight bits of data.
  • Information for each byte data element may be stored in bit 7 through bit 0 for byte 0, bit 15 through bit 8 for byte 1, bit 23 through bit 16 for byte 2, and finally bit 120 through bit 127 for byte 15.
  • a data element may include an individual piece of data that is stored in a single register or memory location with other data elements of the same length.
  • the number of data elements stored in a XMM register may be 128 bits divided by the length in bits of an individual data element.
  • the number of data elements stored in an MMX register may be 64 bits divided by the length in bits of an individual data element.
  • the data types illustrated in FIG. 3A may be 128 bits long, embodiments of the present disclosure may also operate with 64-bit wide or other sized operands.
  • Packed word format 320 of this example may be 128 bits long and contains eight packed word data elements. Each packed word contains sixteen bits of information.
  • Packed doubleword format 330 of FIG. 3A may be 128 bits long and contains four packed doubleword data elements. Each packed doubleword data element contains thirty-two bits of information.
  • a packed quadword may be 128 bits long and contain two packed quad-word data elements.
  • FIG. 3B illustrates possible in-register data storage formats, in accordance with embodiments of the present disclosure.
  • Each packed data may include more than one independent data element.
  • Three packed data formats are illustrated; packed half 341, packed single 342, and packed double 343.
  • packed half 341, packed single 342, and packed double 343 contain fixed-point data elements.
  • one or more of packed half 341, packed single 342, and packed double 343 may contain floatingpoint data elements.
  • One embodiment of packed half 341 may be 128 bits long containing eight 16-bit data elements.
  • One embodiment of packed single 342 may be 128 bits long and contains four 32-bit data elements.
  • One embodiment of packed double 343 may be 128 bits long and contains two 64-bit data elements. It will be appreciated that such packed data formats may be further extended to other register lengths, for example, to 96-bits, 160-bits, 192-bits, 224-bits, 256-bits or more.
  • FIG. 3C illustrates various signed and unsigned packed data type representations in multimedia registers, in accordance with embodiments of the present disclosure.
  • Unsigned packed byte representation 344 illustrates the storage of an unsigned packed byte in a SFMD register. Information for each byte data element may be stored in bit 7 through bit 0 for byte 0, bit 15 through bit 8 for byte 1, bit 23 through bit 16 for byte 2, and finally bit 120 through bit 127 for byte 15. Thus, all available bits may be used in the register. This storage arrangement may increase the storage efficiency of the processor. As well, with sixteen data elements accessed, one operation may now be performed on sixteen data elements in a parallel fashion. Signed packed byte representation 345 illustrates the storage of a signed packed byte.
  • Unsigned packed word representation 346 illustrates how word seven through word zero may be stored in a SIMD register. Signed packed word representation 347 may be similar to the unsigned packed word in-register representation 346. Note that the sixteenth bit of each word data element may be the sign indicator. Unsigned packed doubleword representation 348 shows how doubleword data elements are stored. Signed packed doubleword representation 349 may be similar to unsigned packed doubleword in-register representation 348. Note that the necessary sign bit may be the thirty-second bit of each doubleword data element.
  • FIG. 3D illustrates an embodiment of an operation encoding (opcode).
  • format 360 may include register/memory operand addressing modes corresponding with a type of opcode format described in the "IA-32 Intel Architecture Software Developer's Manual Volume 2: Instruction Set Reference,” which is available from Intel Corporation, Santa Clara, CA on the world- wide- web (www) at
  • and instruction may be encoded by one or more of fields 361 and 362. Up to two operand locations per instruction may be identified, including up to two source operand identifiers 364 and 365.
  • destination operand identifier 366 may be the same as source operand identifier 364, whereas in other embodiments they may be different. In another embodiment, destination operand identifier 366 may be the same as source operand identifier 365, whereas in other embodiments they may be different.
  • one of the source operands identified by source operand identifiers 364 and 365 may be overwritten by the results of the text string comparison operations, whereas in other embodiments identifier 364 corresponds to a source register element and identifier 365 corresponds to a destination register element. In one embodiment, operand identifiers 364 and 365 may identify 32-bit or 64-bit source and destination operands.
  • FIG. 3E illustrates another possible operation encoding (opcode) format 370, having forty or more bits, in accordance with embodiments of the present disclosure.
  • Opcode format 370 corresponds with opcode format 360 and comprises an optional prefix byte 378.
  • An instruction according to one embodiment may be encoded by one or more of fields 378, 371, and 372. Up to two operand locations per instruction may be identified by source operand identifiers 374 and 375 and by prefix byte 378.
  • prefix byte 378 may be used to identify 32-bit or 64-bit source and destination operands.
  • destination operand identifier 376 may be the same as source operand identifier 374, whereas in other embodiments they may be different. For another embodiment, destination operand identifier 376 may be the same as source operand identifier 375, whereas in other
  • an instruction operates on one or more of the operands identified by operand identifiers 374 and 375 and one or more operands identified by operand identifiers 374 and 375 may be overwritten by the results of the instruction, whereas in other embodiments, operands identified by identifiers 374 and 375 may be written to another data element in another register.
  • Opcode formats 360 and 370 allow register to register, memory to register, register by memory, register by register, register by immediate, register to memory addressing specified in part by MOD fields 363 and 373 and by optional scale-index-base and displacement bytes.
  • FIG. 3F illustrates yet another possible operation encoding (opcode) format, in accordance with embodiments of the present disclosure.
  • 64-bit single instruction multiple data (SIMD) arithmetic operations may be performed through a coprocessor data processing (CDP) instruction.
  • Operation encoding (opcode) format 380 depicts one such CDP instruction having CDP opcode fields 382 an0064 389.
  • the type of CDP instruction for another embodiment, operations may be encoded by one or more of fields 383, 384, 387, and 388. Up to three operand locations per instruction may be identified, including up to two source operand identifiers 385 and 390 and one destination operand identifier 386.
  • FIG. 4A is a block diagram illustrating an in-order pipeline and a register renaming stage, out-of-order issue/execution pipeline, in accordance with embodiments of the present disclosure.
  • FIG. 4A is a block diagram illustrating an in-order pipeline and a register renaming stage, out-of-order issue/execution pipeline, in accordance with embodiments of the present disclosure.
  • FIG. 4B is a block diagram illustrating an in-order architecture core and a register renaming logic, out-of-order issue/execution logic to be included in a processor, in accordance with embodiments of the present disclosure.
  • the solid lined boxes in FIG. 4A illustrate the in-order pipeline, while the dashed lined boxes illustrates the register renaming, out-of-order issue/execution pipeline.
  • the solid lined boxes in FIG. 4B illustrate the in-order architecture logic, while the dashed lined boxes illustrates the register renaming logic and out-of-order issue/execution logic.
  • a processor pipeline 400 may include a fetch stage 402, a length decode stage 404, a decode stage 406, an allocation stage 408, a renaming stage 410, a scheduling (also known as a dispatch or issue) stage 412, a register read/memory read stage 414, an execute stage 416, a write-back/memory -write stage 418, an exception handling stage 422, and a commit stage 424.
  • FIG. 4B shows processor core 490 including a front end unit 430 coupled to an execution engine unit 450, and both may be coupled to a memory unit 470.
  • Core 490 may be a Reduced Instruction Set Computing (RISC) core, a Complex Instruction Set Computing (CISC) core, a Very Long Instruction Word (VLIW) core, or a hybrid or alternative core type.
  • core 490 may be a special-purpose core, such as, for example, a network or communication core, compression engine, graphics core, or the like.
  • Front end unit 430 may include a branch prediction unit 432 coupled to an instruction cache unit 434.
  • Instruction cache unit 434 may be coupled to an instruction Translation Lookaside Buffer (TLB) 436.
  • TLB 436 may be coupled to an instruction fetch unit 438, which is coupled to a decode unit 440.
  • Decode unit 440 may decode instructions, and generate as an output one or more micro-operations, micro-code entry points, microinstructions, other instructions, or other control signals, which may be decoded from, or which otherwise reflect, or may be derived from, the original instructions.
  • the decoder may be implemented using various different mechanisms.
  • instruction cache unit 434 may be further coupled to a level 2 (L2) cache unit 476 in memory unit 470.
  • L2 cache unit 476 in memory unit 470.
  • Decode unit 440 may be coupled to a rename/allocator unit 452 in execution engine unit 450.
  • Execution engine unit 450 may include rename/allocator unit 452 coupled to a retirement unit 454 and a set of one or more scheduler units 456.
  • Scheduler units 456 represent any number of different schedulers, including reservations stations, central instruction window, etc.
  • Scheduler units 456 may be coupled to physical register file units 458.
  • Each of physical register file units 458 represents one or more physical register files, different ones of which store one or more different data types, such as scalar integer, scalar floating point, packed integer, packed floating point, vector integer, vector floating point, etc., status (e.g., an instruction pointer that is the address of the next instruction to be executed), etc.
  • Physical register file units 458 may be overlapped by retirement unit 154 to illustrate various ways in which register renaming and out-of-order execution may be implemented (e.g., using one or more reorder buffers and one or more retirement register files, using one or more future files, one or more history buffers, and one or more retirement register files; using register maps and a pool of registers; etc.).
  • the architectural registers may be visible from the outside of the processor or from a programmer's
  • the registers might not be limited to any known particular type of circuit.
  • registers may be suitable as long as they store and provide data as described herein. Examples of suitable registers include, but might not be limited to, dedicated physical registers, dynamically allocated physical registers using register renaming, combinations of dedicated and dynamically allocated physical registers, etc.
  • Retirement unit 454 and physical register file units 458 may be coupled to execution clusters 460.
  • Execution clusters 460 may include a set of one or more execution units 162 and a set of one or more memory access units 464.
  • Execution units 462 may perform various operations (e.g., shifts, addition, subtraction, multiplication) and on various types of data (e.g., scalar floating point, packed integer, packed floating point, vector integer, vector floating point).
  • Scheduler units 456, physical register file units 458, and execution clusters 460 are shown as being possibly plural because certain embodiments create separate pipelines for certain types of data/operations (e.g., a scalar integer pipeline, a scalar floating point/packed integer/packed floating point/vector integer/vector floating point pipeline, and/or a memory access pipeline that each have their own scheduler unit, physical register file unit, and/or execution cluster - and in the case of a separate memory access pipeline, certain embodiments may be implemented in which only the execution cluster of this pipeline has memory access units 464). It should also be understood that where separate pipelines are used, one or more of these pipelines may be out-of-order issue/execution and the rest in-order.
  • the set of memory access units 464 may be coupled to memory unit 470, which may include a data TLB unit 472 coupled to a data cache unit 474 coupled to a level 2 (L2) cache unit 476.
  • memory access units 464 may include a load unit, a store address unit, and a store data unit, each of which may be coupled to data TLB unit 472 in memory unit 470.
  • L2 cache unit 476 may be coupled to one or more other levels of cache and eventually to a main memory.
  • the exemplary register renaming, out-of-order issue/execution core architecture may implement pipeline 400 as follows: 1) instruction fetch 438 may perform fetch and length decoding stages 402 and 404; 2) decode unit 440 may perform decode stage 406; 3) rename/allocator unit 452 may perform allocation stage 408 and renaming stage 410; 4) scheduler units 456 may perform schedule stage 412; 5) physical register file units 458 and memory unit 470 may perform register read/memory read stage 414; execution cluster 460 may perform execute stage 416; 6) memory unit 470 and physical register file units 458 may perform write-back/memory-write stage 418; 7) various units may be involved in the performance of exception handling stage 422; and 8) retirement unit 454 and physical register file units 458 may perform commit stage 424.
  • Core 490 may support one or more instructions sets (e.g., the x86 instruction set (with some extensions that have been added with newer versions); the MIPS instruction set of MIPS Technologies of Sunnyvale, CA; the ARM instruction set (with optional additional extensions such as NEON) of ARM Holdings of Sunnyvale, CA).
  • the core may support multithreading (executing two or more parallel sets of operations or threads) in a variety of manners. Multithreading support may be performed by, for example, including time sliced multithreading, simultaneous multithreading (where a single physical core provides a logical core for each of the threads that physical core is simultaneously multithreading), or a combination thereof. Such a combination may include, for example, time sliced fetching and decoding and simultaneous multithreading thereafter such as in the Intel® Hyperthreading technology.
  • register renaming may be described in the context of out-of-order execution, it should be understood that register renaming may be used in an in-order architecture.
  • the illustrated embodiment of the processor may also include a separate instruction and data cache units 434/474 and a shared L2 cache unit 476, other embodiments may have a single internal cache for both instructions and data, such as, for example, a Level 1 (LI) internal cache, or multiple levels of internal cache.
  • the system may include a combination of an internal cache and an external cache that may be external to the core and/or the processor. In other embodiments, all of the cache may be external to the core and/or the processor.
  • FIG. 5A is a block diagram of a processor 500, in accordance with embodiments of the present disclosure.
  • processor 500 may include a multicore processor.
  • Processor 500 may include a system agent 510 communicatively coupled to one or more cores 502.
  • cores 502 and system agent 510 may be communicatively coupled to one or more caches 506.
  • Cores 502, system agent 510, and caches 506 may be
  • cores 502, system agent 510, and caches 506 may be communicatively coupled to a graphics module 560 via memory control units 552.
  • Processor 500 may include any suitable mechanism for interconnecting cores 502, system agent 510, and caches 506, and graphics module 560.
  • processor 500 may include a ring-based interconnect unit 508 to interconnect cores 502, system agent 510, and caches 506, and graphics module 560.
  • processor 500 may include any number of well-known techniques for interconnecting such units. Ring-based interconnect unit 508 may utilize memory control units 552 to facilitate interconnections.
  • Processor 500 may include a memory hierarchy comprising one or more levels of caches within the cores, one or more shared cache units such as caches 506, or external memory (not shown) coupled to the set of integrated memory controller units 552.
  • Caches 506 may include any suitable cache.
  • caches 506 may include one or more mid-level caches, such as Level 2 (L2), Level 3 (L3), Level 4 (L4), or other levels of cache, a last level cache (LLC), and/or combinations thereof.
  • LLC last level cache
  • System agent 510 may include components for coordinating and operating cores 502.
  • System agent unit 510 may include for example a Power Control Unit (PCU).
  • the PCU may be or include logic and components needed for regulating the power state of cores 502.
  • System agent 510 may include a display engine 512 for driving one or more externally connected displays or graphics module 560.
  • System agent 510 may include an interface 1214 for communications busses for graphics. In one embodiment, interface 1214 may be
  • System agent 510 may include a direct media interface (DMI) 516.
  • DMI 516 may provide links between different bridges on a
  • System agent 510 may include a PCIe bridge 1218 for providing PCIe links to other elements of a computing system.
  • PCIe bridge 1218 may be implemented using a memory controller 1220 and coherence logic 1222.
  • Cores 502 may be implemented in any suitable manner. Cores 502 may be homogenous or heterogeneous in terms of architecture and/or instruction set. In one embodiment, some of cores 502 may be in-order while others may be out-of-order. In another embodiment, two or more of cores 502 may execute the same instruction set, while others may execute only a subset of that instruction set or a different instruction set.
  • Processor 500 may include a general-purpose processor, such as a CoreTM i3, i5, i7, 2 Duo and Quad, XeonTM, ItaniumTM, XScaleTM or StrongARMTM processor, which may be available from Intel Corporation, of Santa Clara, Calif. Processor 500 may be provided from another company, such as ARM Holdings, Ltd, MIPS, etc. Processor 500 may be a special- purpose processor, such as, for example, a network or communication processor,
  • Processor 500 may be implemented on one or more chips. Processor 500 may be a part of and/or may be implemented on one or more substrates using any of a number of process technologies, such as, for example, BiCMOS, CMOS, or MOS.
  • a given one of caches 506 may be shared by multiple ones of cores 502. In another embodiment, a given one of caches 506 may be dedicated to one of cores 502. The assignment of caches 506 to cores 502 may be handled by a cache controller or other suitable mechanism. A given one of caches 506 may be shared by two or more cores 502 by implementing time-slices of a given cache 506.
  • Graphics module 560 may implement an integrated graphics processing subsystem.
  • graphics module 560 may include a graphics processor.
  • graphics module 560 may include a media engine 565.
  • Media engine 565 may provide media encoding and video decoding.
  • FIG. 5B is a block diagram of an example implementation of a core 502, in accordance with embodiments of the present disclosure.
  • Core 502 may include a front end 570 communicatively coupled to an out-of-order engine 580.
  • Core 502 may be
  • processor 500 communicatively coupled to other portions of processor 500 through cache hierarchy 503.
  • Front end 570 may be implemented in any suitable manner, such as fully or in part by front end 201 as described above. In one embodiment, front end 570 may communicate with other portions of processor 500 through cache hierarchy 503. In a further embodiment, front end 570 may fetch instructions from portions of processor 500 and prepare the instructions to be used later in the processor pipeline as they are passed to out-of-order execution engine 580.
  • Out-of-order execution engine 580 may be implemented in any suitable manner, such as fully or in part by out-of-order execution engine 203 as described above. Out-of- order execution engine 580 may prepare instructions received from front end 570 for execution. Out-of-order execution engine 580 may include an allocate module 1282. In one embodiment, allocate module 1282 may allocate resources of processor 500 or other resources, such as registers or buffers, to execute a given instruction. Allocate module 1282 may make allocations in schedulers, such as a memory scheduler, fast scheduler, or floating point scheduler. Such schedulers may be represented in FIG. 5B by resource schedulers 584. Allocate module 1282 may be implemented fully or in part by the allocation logic described in conjunction with FIG. 2.
  • Resource schedulers 584 may determine when an instruction is ready to execute based on the readiness of a given resource's sources and the availability of execution resources needed to execute an instruction. Resource schedulers 584 may be implemented by, for example, schedulers 202, 204, 206 as discussed above. Resource schedulers 584 may schedule the execution of instructions upon one or more resources. In one embodiment, such resources may be internal to core 502, and may be illustrated, for example, as resources 586. In another embodiment, such resources may be external to core 502 and may be accessible by, for example, cache hierarchy 503. Resources may include, for example, memory, caches, register files, or registers. Resources internal to core 502 may be represented by resources 586 in FIG. 5B.
  • values written to or read from resources 586 may be coordinated with other portions of processor 500 through, for example, cache hierarchy 503.
  • instructions may be placed into a reorder buffer 588.
  • Reorder buffer 588 may track instructions as they are executed and may selectively reorder their execution based upon any suitable criteria of processor 500.
  • reorder buffer 588 may identify instructions or a series of instructions that may be executed independently. Such instructions or a series of instructions may be executed in parallel from other such instructions.
  • Parallel execution in core 502 may be performed by any suitable number of separate execution blocks or virtual processors.
  • shared resources such as memory, registers, and caches— may be accessible to multiple virtual processors within a given core 502. In other embodiments, shared resources may be accessible to multiple processing entities within processor 500.
  • Cache hierarchy 503 may be implemented in any suitable manner.
  • cache hierarchy 503 may include one or more lower or mid-level caches, such as caches 572, 574.
  • cache hierarchy 503 may include an LLC 595 communicatively coupled to caches 572, 574.
  • LLC 595 may be implemented in a module 590 accessible to all processing entities of processor 500.
  • module 590 may be implemented in an uncore module of processors from Intel, Inc. Module 590 may include portions or subsystems of processor 500 necessary for the execution of core 502 but might not be implemented within core 502.
  • Module 590 may include, for example, hardware interfaces, memory coherency coordinators, interprocessor interconnects, instruction pipelines, or memory controllers. Access to RAM 599 available to processor 500 may be made through module 590 and, more specifically, LLC 595. Furthermore, other instances of core 502 may similarly access module 590. Coordination of the instances of core 502 may be facilitated in part through module 590.
  • FIGs. 6-8 may illustrate exemplary systems suitable for including processor 500
  • FIG. 9 may illustrate an exemplary System on a Chip (SoC) that may include one or more of cores 502.
  • SoC System on a Chip
  • Other system designs and implementations known in the arts for laptops, desktops, handheld PCs, personal digital assistants, engineering workstations, servers, network devices, network hubs, switches, embedded processors, DSPs, graphics devices, video game devices, set-top boxes, micro controllers, cell phones, portable media players, hand held devices, and various other electronic devices, may also be suitable.
  • a huge variety of systems or electronic devices that incorporate a processor and/or other execution logic as disclosed herein may be generally suitable.
  • FIG. 6 illustrates a block diagram of a system 600, in accordance with embodiments of the present disclosure.
  • System 600 may include one or more processors 610, 615, which may be coupled to Graphics Memory Controller Hub (GMCH) 620.
  • GMCH Graphics Memory Controller Hub
  • the optional nature of additional processors 615 is denoted in FIG. 6 with broken lines.
  • Each processor 610,615 may be some version of processor 500. However, it should be noted that integrated graphics logic and integrated memory control units might not exist in processors 610,615.
  • FIG. 6 illustrates that GMCH 620 may be coupled to a memory 640 that may be, for example, a dynamic random access memory (DRAM).
  • the DRAM may, for at least one embodiment, be associated with a non-volatile cache.
  • GMCH 620 may be a chipset, or a portion of a chipset. GMCH 620 may communicate with processors 610, 615 and control interaction between processors 610, 615 and memory 640. GMCH 620 may also act as an accelerated bus interface between the processors 610, 615 and other elements of system 600. In one embodiment, GMCH 620 communicates with processors 610, 615 via a multi-drop bus, such as a frontside bus (FSB) 695.
  • FFB frontside bus
  • GMCH 620 may be coupled to a display 645 (such as a flat panel display).
  • GMCH 620 may include an integrated graphics accelerator.
  • GMCH 620 may be further coupled to an input/output (I/O) controller hub (ICH) 650, which may be used to couple various peripheral devices to system 600.
  • I/O controller hub ICH
  • External graphics device 660 may include be a discrete graphics device coupled to ICH 650 along with another peripheral device 670.
  • additional processors 610, 615 may include additional processors that may be the same as processor 610, additional processors that may be heterogeneous or asymmetric to processor 610, accelerators (such as, e.g., graphics accelerators or digital signal processing (DSP) units), field programmable gate arrays, or any other processor.
  • accelerators such as, e.g., graphics accelerators or digital signal processing (DSP) units
  • DSP digital signal processing
  • FIG. 7 illustrates a block diagram of a second system 700, in accordance with embodiments of the present disclosure.
  • multiprocessor system 700 may include a point-to-point interconnect system, and may include a first processor 770 and a second processor 780 coupled via a point-to-point interconnect 750.
  • processors 770 and 780 may be some version of processor 500 as one or more of processors 610,615.
  • FIG. 7 may illustrate two processors 770, 780, it is to be understood that the scope of the present disclosure is not so limited. In other embodiments, one or more additional processors may be present in a given processor.
  • Processors 770 and 780 are shown including integrated memory controller units 772 and 782, respectively.
  • Processor 770 may also include as part of its bus controller units point-to-point (P-P) interfaces 776 and 778; similarly, second processor 780 may include P-P interfaces 786 and 788.
  • Processors 770, 780 may exchange information via a point-to-point (P-P) interface 750 using P-P interface circuits 778, 788.
  • IMCs 772 and 782 may couple the processors to respective memories, namely a memory 732 and a memory 734, which in one embodiment may be portions of main memory locally attached to the respective processors.
  • Processors 770, 780 may each exchange information with a chipset 790 via individual P-P interfaces 752, 754 using point to point interface circuits 776, 794, 786, 798.
  • chipset 790 may also exchange information with a high-performance graphics circuit 738 via a high-performance graphics interface 739.
  • a shared cache (not shown) may be included in either processor or outside of both processors, yet connected with the processors via P-P interconnect, such that either or both processors' local cache information may be stored in the shared cache if a processor is placed into a low power mode.
  • Chipset 790 may be coupled to a first bus 716 via an interface 796.
  • first bus 716 may be a Peripheral Component Interconnect (PCI) bus, or a bus such as a PCI Express bus or another third generation I/O interconnect bus, although the scope of the present disclosure is not so limited.
  • PCI Peripheral Component Interconnect
  • various I/O devices 714 may be coupled to first bus 716, along with a bus bridge 718 which couples first bus 716 to a second bus 720.
  • second bus 720 may be a Low Pin Count (LPC) bus.
  • LPC Low Pin Count
  • Various devices may be coupled to second bus 720 including, for example, a keyboard and/or mouse 722, communication devices 727 and a storage unit 728 such as a disk drive or other mass storage device which may include instructions/code and data 730, in one embodiment.
  • an audio I/O 724 may be coupled to second bus 720.
  • Note that other architectures may be possible. For example, instead of the point-to-point architecture of FIG. 7, a system may implement a multi-drop bus or other such architecture.
  • FIG. 8 illustrates a block diagram of a third system 800 in accordance with embodiments of the present disclosure. Like elements in FIGs. 7 and 8 bear like reference numerals, and certain aspects of FIG. 7 have been omitted from FIG. 8 in order to avoid obscuring other aspects of FIG. 8.
  • FIG. 8 illustrates that processors 870, 880 may include integrated memory and I/O Control Logic ("CL") 872 and 882, respectively.
  • CL 872, 882 may include integrated memory controller units such as that described above in connection with FIGs. 5 and 7.
  • CL 872, 882 may also include I/O control logic.
  • FIG. 8 illustrates that not only memories 832, 834 may be coupled to CL 872, 882, but also that I/O devices 814 may also be coupled to control logic 872, 882.
  • Legacy I/O devices 815 may be coupled to chipset 890.
  • FIG. 9 illustrates a block diagram of a SoC 900, in accordance with embodiments of the present disclosure. Similar elements in FIG.
  • An interconnect units 902 may be coupled to: an application processor 910 which may include a set of one or more cores 902 A-N and shared cache units 906; a system agent unit 910; a bus controller units 916; an integrated memory controller units 914; a set or one or more media processors 920 which may include integrated graphics logic 908, an image processor 924 for providing still and/or video camera functionality, an audio processor 926 for providing hardware audio
  • a video processor 928 for providing video encode/decode acceleration; an SRAM unit 930; a DMA unit 932; and a display unit 940 for coupling to one or more external displays.
  • FIG. 10 illustrates a processor containing a Central Processing Unit (CPU) and a graphics processing unit (GPU), which may perform at least one instruction, in accordance with embodiments of the present disclosure.
  • an instruction to perform operations according to at least one embodiment could be performed by the CPU.
  • the instruction could be performed by the GPU.
  • the instruction may be performed through a combination of operations performed by the GPU and the CPU.
  • an instruction in accordance with one embodiment may be received and decoded for execution on the GPU.
  • one or more operations within the decoded instruction may be performed by a CPU and the result returned to the GPU for final retirement of the instruction.
  • the CPU may act as the primary processor and the GPU as the co-processor.
  • instructions that benefit from highly parallel, throughput processors may be performed by the GPU, while instructions that benefit from the
  • processors that benefit from deeply pipelined architectures may be performed by the CPU.
  • graphics, scientific applications, financial applications and other parallel workloads may benefit from the performance of the GPU and be executed
  • processor 1000 includes a CPU 1005, GPU 1010, image processor 1015, video processor 1020, USB controller 1025, UART controller 1030, SPI/SDIO controller 1035, display device 1040, memory interface controller 1045, MIPI controller 1050, flash memory controller 1055, Dual Data Rate (DDR) controller 1060, security engine 1065, and I 2 S/I 2 C controller 1070.
  • Other logic and circuits may be included in the processor of FIG. 10, including more CPUs or GPUs and other peripheral interface controllers.
  • IP cores may be stored on a tangible, machine-readable medium ("tape") and supplied to various customers or manufacturing facilities to load into the fabrication machines that actually make the logic or processor.
  • Tape a tangible, machine-readable medium
  • IP cores such as the CortexTM family of processors developed by ARM Holdings, Ltd. and Loongson IP cores developed the Institute of Computing
  • ICT International Technology of the Chinese Academy of Sciences
  • customers or licensees such as Texas Instruments, Qualcomm, Apple, or Samsung and implemented in processors produced by these customers or licensees.
  • FIG. 11 illustrates a block diagram illustrating the development of IP cores, in accordance with embodiments of the present disclosure.
  • Storage 1130 may include simulation software 1120 and/or hardware or software model 1110.
  • the data representing the IP core design may be provided to storage 1130 via memory 1140 (e.g., hard disk), wired connection (e.g., internet) 1150 or wireless connection 1160.
  • the IP core information generated by the simulation tool and model may then be transmitted to a fabrication facility where it may be fabricated by a third party to perform at least one instruction in accordance with at least one embodiment.
  • one or more instructions may correspond to a first type or architecture (e.g., x86) and be translated or emulated on a processor of a different type or architecture (e.g., ARM).
  • An instruction may therefore be performed on any processor or processor type, including ARM, x86, MIPS, a GPU, or other processor type or architecture.
  • FIG. 12 illustrates how an instruction of a first type may be emulated by a processor of a different type, in accordance with embodiments of the present disclosure.
  • program 1205 contains some instructions that may perform the same or substantially the same function as an instruction according to one embodiment.
  • the instructions of program 1205 may be of a type and/or format that is different from or incompatible with processor 1215, meaning the instructions of the type in program 1205 may not be able to execute natively by the processor 1215.
  • the instructions of program 1205 may be translated into instructions that may be natively be executed by the processor 1215.
  • the emulation logic may be embodied in hardware.
  • the emulation logic may be embodied in a tangible, machine-readable medium containing software to translate instructions of the type in program 1205 into the type natively executable by processor 1215.
  • emulation logic may be a combination of fixed-function or programmable hardware and a program stored on a tangible, machine-readable medium.
  • the processor contains the emulation logic, whereas in other embodiments, the emulation logic exists outside of the processor and may be provided by a third party.
  • the processor may load the emulation logic embodied in a tangible, machine-readable medium containing software by executing microcode or firmware contained in or associated with the processor.
  • FIG. 13 illustrates a block diagram contrasting the use of a software instruction converter to convert binary instructions in a source instruction set to binary instructions in a target instruction set, in accordance with embodiments of the present disclosure.
  • the instruction converter may be a software instruction converter, although the instruction converter may be implemented in software, firmware, hardware, or various combinations thereof.
  • FIG. 13 shows a program in a high level language 1302 may be compiled using an x86 compiler 1304 to generate x86 binary code 1306 that may be natively executed by a processor with at least one x86 instruction set core 1316.
  • the processor with at least one x86 instruction set core 1316 represents any processor that may perform substantially the same functions as an Intel processor with at least one x86 instruction set core by compatibly executing or otherwise processing (1) a substantial portion of the instruction set of the Intel x86 instruction set core or (2) object code versions of applications or other software targeted to run on an Intel processor with at least one x86 instruction set core, in order to achieve substantially the same result as an Intel processor with at least one x86 instruction set core.
  • x86 compiler 1304 represents a compiler that may be operable to generate x86 binary code 1306 (e.g., object code) that may, with or without additional linkage processing, be executed on the processor with at least one x86 instruction set core 1316.
  • instruction converter 1312 may be used to convert x86 binary code 1306 into code that may be natively executed by the processor without an x86 instruction set core 1314. This converted code might not be the same as alternative instruction set binary code 1310; however, the converted code will accomplish the general operation and be made up of instructions from the alternative instruction set.
  • instruction converter 1312 represents software, firmware, hardware, or a combination thereof that, through emulation, simulation or any other process, allows a processor or other electronic device that does not have an x86 instruction set processor or core to execute x86 binary code 1306.
  • FIG. 14 is a block diagram of an instruction set architecture 1400 of a processor, in accordance with embodiments of the present disclosure.
  • Instruction set architecture 1400 may include any suitable number or kind of components.
  • instruction set architecture 1400 may include processing entities such as one or more cores 1406, 1407 and a graphics processing unit 1415.
  • Cores 1406, 1407 may be communicatively coupled to the rest of instruction set architecture 1400 through any suitable mechanism, such as through a bus or cache.
  • cores 1406, 1407 may be communicatively coupled through an L2 cache control 1408, which may include a bus interface unit 1409 and an L2 cache 1410.
  • Cores 1406, 1407 and graphics processing unit 1415 may be communicatively coupled to each other and to the remainder of instruction set architecture 1400 through interconnect 1410.
  • graphics processing unit 1415 may use a video code 1420 defining the manner in which particular video signals will be encoded and decoded for output.
  • Instruction set architecture 1400 may also include any number or kind of interfaces, controllers, or other mechanisms for interfacing or communicating with other portions of an electronic device or system. Such mechanisms may facilitate interaction with, for example, peripherals, communications devices, other processors, or memory.
  • instruction set architecture 1400 may include an LCD video interface 1425, a Subscriber Interface Module (SFM) interface 1430, a boot ROM interface 1435, an SDRAM controller 1440, a flash controller 1445, and a Serial Peripheral Interface (SPI) master unit 1450.
  • SFM Subscriber Interface Module
  • SPI Serial Peripheral Interface
  • LCD video interface 1425 may provide output of video signals from, for example, GPU 1415 and through, for example, a Mobile Industry Processor Interface (MIPI) 1490 or a High- Definition Multimedia Interface (HDMI) 1495 to a display.
  • MIPI Mobile Industry Processor Interface
  • HDMI High- Definition Multimedia Interface
  • Such a display may include, for example, an LCD.
  • SIM interface 1430 may provide access to or from a SIM card or device.
  • SDRAM controller 1440 may provide access to or from memory such as an SDRAM chip or module.
  • Flash controller 1445 may provide access to or from memory such as flash memory or other instances of RAM.
  • SPI master unit 1450 may provide access to or from
  • Bluetooth module 1470 high-speed 3G modem 1475, global positioning system module 1480, or wireless module 1485 implementing a
  • FIG. 15 is a more detailed block diagram of an instruction set architecture 1500 of a processor, in accordance with embodiments of the present disclosure.
  • Instruction architecture 1500 may implement one or more aspects of instruction set architecture 1400.
  • instruction set architecture 1500 may illustrate modules and mechanisms for the execution of instructions within a processor.
  • Instruction architecture 1500 may include a memory system 1540 communicatively coupled to one or more execution entities 1565. Furthermore, instruction architecture 1500 may include a caching and bus interface unit such as unit 1510 communicatively coupled to execution entities 1565 and memory system 1540. In one embodiment, loading of instructions into execution entities 1564 may be performed by one or more stages of execution. Such stages may include, for example, instruction prefetch stage 1530, dual instruction decode stage 1550, register rename stage 155, issue stage 1560, and writeback stage 1570.
  • memory system 1540 may include an executed instruction pointer 1580.
  • Executed instruction pointer 1580 may store a value identifying the oldest, undispatched instruction within a batch of instructions. The oldest instruction may correspond to the lowest Program Order (PO) value.
  • a PO may include a unique number of an instruction. Such an instruction may be a single instruction within a thread represented by multiple strands.
  • a PO may be used in ordering instructions to ensure correct execution semantics of code.
  • a PO may be reconstructed by mechanisms such as evaluating increments to PO encoded in the instruction rather than an absolute value. Such a
  • a strand may include a sequence of instructions that are data dependent upon each other.
  • the strand may be arranged by a binary translator at compilation time.
  • Hardware executing a strand may execute the instructions of a given strand in order according to PO of the various instructions.
  • a thread may include multiple strands such that instructions of different strands may depend upon each other.
  • a PO of a given strand may be the PO of the oldest instruction in the strand which has not yet been dispatched to execution from an issue stage. Accordingly, given a thread of multiple strands, each strand including instructions ordered by PO, executed instruction pointer 1580 may store the oldest— illustrated by the lowest number— PO in the thread.
  • memory system 1540 may include a retirement pointer 1582.
  • Retirement pointer 1582 may store a value identifying the PO of the last retired instruction. Retirement pointer 1582 may be set by, for example, retirement unit 454. If no instructions have yet been retired, retirement pointer 1582 may include a null value.
  • Execution entities 1565 may include any suitable number and kind of mechanisms by which a processor may execute instructions.
  • execution entities 1565 may include ALU/Multiplication Units (MUL) 1566, ALUs 1567, and Floating Point Units (FPU) 1568.
  • MUL ALU/Multiplication Units
  • FPU Floating Point Units
  • such entities may make use of information contained within a given address 1569.
  • Execution entities 1565 in combination with stages 1530, 1550, 1555, 1560, 1570 may collectively form an execution unit.
  • Unit 1510 may be implemented in any suitable manner.
  • unit 1510 may perform cache control.
  • unit 1510 may thus include a cache 1525.
  • Cache 1525 may be implemented, in a further embodiment, as an L2 unified cache with any suitable size, such as zero, 128k, 256k, 512k, 1M, or 2M bytes of memory.
  • cache 1525 may be implemented in error-correcting code memory.
  • unit 1510 may perform bus interfacing to other portions of a processor or electronic device.
  • unit 1510 may thus include a bus interface unit 1520 for communicating over an interconnect, intraprocessor bus, interprocessor bus, or other communication bus, port, or line.
  • Bus interface unit 1520 may provide interfacing in order to perform, for example, generation of the memory and input/output addresses for the transfer of data between execution entities 1565 and the portions of a system external to instruction architecture 1500.
  • bus interface unit 1520 may include an interrupt control and distribution unit 1511 for generating interrupts and other communications to other portions of a processor or electronic device.
  • bus interface unit 1520 may include a snoop control unit 1512 that handles cache access and coherency for multiple processing cores.
  • snoop control unit 1512 may include a cache-to-cache transfer unit that handles information exchanges between different caches.
  • snoop control unit 1512 may include one or more snoop filters 1514 that monitors the coherency of other caches (not shown) so that a cache controller, such as unit 1510, does not have to perform such monitoring directly.
  • Unit 1510 may include any suitable number of timers 1515 for synchronizing the actions of instruction architecture 1500. Also, unit 1510 may include an AC port 1516.
  • Memory system 1540 may include any suitable number and kind of mechanisms for storing information for the processing needs of instruction architecture 1500.
  • memory system 1504 may include a load store unit 1530 for storing information such as buffers written to or read back from memory or registers.
  • memory system 1504 may include a translation lookaside buffer (TLB) 1545 that provides look-up of address values between physical and virtual addresses.
  • TLB translation lookaside buffer
  • bus interface unit 1520 may include a Memory Management Unit (MMU) 1544 for facilitating access to virtual memory.
  • MMU Memory Management Unit
  • memory system 1504 may include a prefetcher 1543 for requesting instructions from memory before such instructions are actually needed to be executed, in order to reduce latency.
  • instruction architecture 1500 to execute an instruction may be performed through different stages. For example, using unit 1510 instruction prefetch stage 1530 may access an instruction through prefetcher 1543. Instructions retrieved may be stored in instruction cache 1532. Prefetch stage 1530 may enable an option 1531 for fast-loop mode, wherein a series of instructions forming a loop that is small enough to fit within a given cache are executed. In one embodiment, such an execution may be performed without needing to access additional instructions from, for example, instruction cache 1532.
  • Determination of what instructions to prefetch may be made by, for example, branch prediction unit 1535, which may access indications of execution in global history 1536, indications of target addresses 1537, or contents of a return stack 1538 to determine which of branches 1557 of code will be executed next. Such branches may be possibly prefetched as a result. Branches 1557 may be produced through other stages of operation as described below. Instruction prefetch stage 1530 may provide instructions as well as any predictions about future instructions to dual instruction decode stage.
  • Dual instruction decode stage 1550 may translate a received instruction into microcode-based instructions that may be executed. Dual instruction decode stage 1550 may simultaneously decode two instructions per clock cycle. Furthermore, dual instruction decode stage 1550 may pass its results to register rename stage 1555. In addition, dual instruction decode stage 1550 may determine any resulting branches from its decoding and eventual execution of the microcode. Such results may be input into branches 1557.
  • Register rename stage 1555 may translate references to virtual registers or other resources into references to physical registers or resources. Register rename stage 1555 may include indications of such mapping in a register pool 1556. Register rename stage 1555 may alter the instructions as received and send the result to issue stage 1560.
  • Issue stage 1560 may issue or dispatch commands to execution entities 1565. Such issuance may be performed in an out-of-order fashion. In one embodiment, multiple instructions may be held at issue stage 1560 before being executed. Issue stage 1560 may include an instruction queue 1561 for holding such multiple commands. Instructions may be issued by issue stage 1560 to a particular processing entity 1565 based upon any acceptable criteria, such as availability or suitability of resources for execution of a given instruction. In one embodiment, issue stage 1560 may reorder the instructions within instruction queue 1561 such that the first instructions received might not be the first instructions executed. Based upon the ordering of instruction queue 1561, additional branching information may be provided to branches 1557. Issue stage 1560 may pass instructions to executing entities 1565 for execution.
  • writeback stage 1570 may write data into registers, queues, or other structures of instruction set architecture 1500 to communicate the completion of a given command. Depending upon the order of instructions arranged in issue stage 1560, the operation of writeback stage 1570 may enable additional instructions to be executed.
  • Performance of instruction set architecture 1500 may be monitored or debugged by trace unit 1575.
  • FIG. 16 is a block diagram of an execution pipeline 1600 for an instruction set architecture of a processor, in accordance with embodiments of the present disclosure.
  • Execution pipeline 1600 may illustrate operation of, for example, instruction architecture 1500 of FIG. 15.
  • Execution pipeline 1600 may include any suitable combination of steps or operations.
  • predictions of the branch that is to be executed next may be made. In one embodiment, such predictions may be based upon previous executions of instructions and the results thereof.
  • instructions corresponding to the predicted branch of execution may be loaded into an instruction cache.
  • one or more such instructions in the instruction cache may be fetched for execution.
  • the instructions that have been fetched may be decoded into microcode or more specific machine language. In one embodiment, multiple instructions may be simultaneously decoded.
  • references to registers or other resources within the decoded instructions may be reassigned. For example, references to virtual registers may be replaced with references to corresponding physical registers.
  • the instructions may be dispatched to queues for execution.
  • the instructions may be executed. Such execution may be performed in any suitable manner.
  • the instructions may be issued to a suitable execution entity. The manner in which the instruction is executed may depend upon the specific entity executing the instruction. For example, at 1655, an ALU may perform arithmetic functions. The ALU may utilize a single clock cycle for its operation, as well as two shifters. In one embodiment, two ALUs may be employed, and thus two instructions may be executed at 1655.
  • a determination of a resulting branch may be made. A program counter may be used to designate the destination to which the branch will be made. 1660 may be executed within a single clock cycle.
  • floating point arithmetic may be performed by one or more FPUs.
  • the floating point operation may require multiple clock cycles to execute, such as two to ten cycles.
  • multiplication and division operations may be performed. Such operations may be performed in four clock cycles.
  • loading and storing operations to registers or other portions of pipeline 1600 may be performed. The operations may include loading and storing addresses. Such operations may be performed in four clock cycles.
  • write-back operations may be performed as required by the resulting operations of 1655-1675.
  • FIG. 17 is a block diagram of an electronic device 1700 for utilizing a processor 1710, in accordance with embodiments of the present disclosure.
  • Electronic device 1700 may include, for example, a notebook, an ultrabook, a computer, a tower server, a rack server, a blade server, a laptop, a desktop, a tablet, a mobile device, a phone, an embedded computer, or any other suitable electronic device.
  • Electronic device 1700 may include processor 1710 communicatively coupled to any suitable number or kind of components, peripherals, modules, or devices. Such coupling may be accomplished by any suitable kind of bus or interface, such as I 2 C bus, System Management Bus (SMBus), Low Pin Count (LPC) bus, SPI, High Definition Audio (HDA) bus, Serial Advance Technology Attachment (SAT A) bus, USB bus (versions 1, 2, 3), or Universal Asynchronous Receiver/Transmitter (UART) bus.
  • I 2 C bus System Management Bus (SMBus), Low Pin Count (LPC) bus, SPI, High Definition Audio (HDA) bus, Serial Advance Technology Attachment (SAT A) bus, USB bus (versions 1, 2, 3), or Universal Asynchronous Receiver/Transmitter (UART) bus.
  • SMB System Management Bus
  • LPC Low Pin Count
  • HDA High Definition Audio
  • SAT A Serial Advance Technology Attachment
  • USB bus versions 1, 2, 3
  • UART Universal Asynchronous Receiver/Transmitter
  • Such components may include, for example, a display 1724, a touch screen 1725, a touch pad 1730, a Near Field Communications (NFC) unit 1745, a sensor hub 1740, a thermal sensor 1746, an Express Chipset (EC) 1735, a Trusted Platform Module (TPM) 1738, BlOS/firmware/flash memory 1722, a DSP 1760, a drive 1720 such as a Solid State Disk (SSD) or a Hard Disk Drive (HDD), a wireless local area network (WLAN) unit 1750, a Bluetooth unit 1752, a Wireless Wide Area Network (WW AN) unit 1756, a Globalstar, a Wi-Fi network (WLAN) unit 1750, a Wi-Fi interface, or Wi-Fi interface 1752, a Wireless Wide Area Network (WW AN) unit 1756, a Global
  • GPS Positioning System
  • camera 1754 such as a USB 3.0 camera
  • LPDDR Low Power Double Data Rate
  • LPDDR3 Low Power Double Data Rate
  • processor 1710 may be communicatively coupled to processor 1710 through the components discussed above.
  • an accelerometer 1741, Ambient Light Sensor (ALS) 1742, compass 1743, and gyroscope 1744 may be communicatively coupled to sensor hub 1740.
  • a thermal sensor 1739, fan 1737, keyboard 1746, and touch pad 1730 may be communicatively coupled to EC 1735.
  • Speaker 1763, headphones 1764, and a microphone 1765 may be communicatively coupled to an audio unit 1764, which may in turn be communicatively coupled to DSP 1760.
  • Audio unit 1764 may include, for example, an audio codec and a class D amplifier.
  • a SIM card 1757 may be communicatively coupled to WW AN unit 1756.
  • Components such as WLAN unit 1750 and Bluetooth unit 1752, as well as WW AN unit 1756 may be implemented in a Next Generation Form Factor (NGFF).
  • NGFF Next Generation Form Factor
  • FIG. 18 is a block diagram of a system 1800 for implementing logic and an instruction for programmable fabric, according to embodiments of the present disclosure.
  • System 1800 may include a hierarchy and cache for programmable fabric.
  • Programmable fabric in system 1800 may be used to dynamically implement special-purpose computational structures.
  • System 1800 may include computational structures created by programmable fabric such as field-programmable gate arrays (FPGA), field programmable neural arrays (FPNA), or field programmable analog arrays (FPAA).
  • FPGA field-programmable gate arrays
  • FPNA field programmable neural arrays
  • FPAA field programmable analog arrays
  • Operation of a programmable fabric may be controlled by another processor, core, or CPU.
  • programmable fabric of the present disclosure may be located on the same chip, die, or within the same package as the processor, core, or CPU that manages the programmable fabric.
  • system 1800 may include LI programmable fabrics 1816 and L2 programmable fabrics 1818, although any suitable number and kind of fabrics may be used according to the teachings of this disclosure. These fabrics are located on the same SoC 1802 as a processor such as CPU 1804 that is to manage the use of the fabrics.
  • CPU 1804 is described as a processor, it may be implemented with components that are below the level of a processor, such as a processor core, pipeline, or other executing entity.
  • system 1800 may include caches for programmable fabrics located on the same chip, die, or within the same package as the processor, core, or CPU that manages the programmable fabric.
  • system 1800 may include LI fabric caches 1820, L2 fabric caches 1822, and other caches not shown in FIG. 18 but discussed in further detail below.
  • system 1800 may include a hierarchy of programmable fabrics located on the same chip, die, or within the same package as the processor, core, or CPU that manages the programmable fabric.
  • the hierarchy may also include associated caches, some of which are not shown in FIG. 18 but are discussed in further detail below.
  • LI programmable fabrics 1816 and L2 programmable fabrics 1818 may be arranged so that LI programmable fabrics 1816 are located closer to CPU 1804 than L2 programmable fabrics 1818.
  • System 1800 may include hierarchies for programmable fabric, caches for configurations for the programmable fabric, and memory caches for instructions and data.
  • CPU 1804 may be implemented by, for example, an in-order processor pipeline, and out-of-order processor pipeline, processor cores, or other suitable mechanisms. Other elements may be included to support execution of CPU 1804 and SoC 1802, not shown in FIG. 18. CPU 1804 and SoC 1812 may be implemented in any suitable manner, including in-part by elements as described in association with FIGs. 1-17. Other portions of SoC 1802, such as the programmable fabrics or fabric caches, may be implemented within elements described in association with FIGs. 1-17 along with components making up CPU 1804 or SoC 1802. CPU 1804 may execute instructions with embodiments of a processor pipeline. CPU 1804 may include multiple cores, engines, and out-of-order processing.
  • CPU 1804 may include a front end to receive or fetch instructions from memory or caches, such as cache subsystem 1806 or memory 1814.
  • the front end may include a fetcher to efficiently fill the pipeline with possible instructions to execute.
  • the front end may include a decoder to decode an instruction to opcodes for execution, determine its meaning, obtain side effects, data required, data consumed, and data to be produced.
  • a binary translator may be used to optimize code. Instructions may be resident in an instruction stream as produced by a compiler, or may be created by binary translator. The information may be passed to an out- of-order or in-order execution engine in an execution pipeline for execution by CPU 1804.
  • the execution pipeline may include a rename and allocate unit for renaming instructions for out-of-order execution, storing such renaming conventions in a reorder buffer (ROB) coextensive with a retirement unit so that instructions can appear to be retired in the order that they were received.
  • the rename and allocate unit may further allocate resources for execution of instructions in parallel.
  • a scheduler may schedule instructions to execute on execution units when inputs are available, or to be executed on programmable fabrics 1816, 1818. Outputs of execution units or programmable fabrics 1816, 1818 may queue in the ROB.
  • the front end may attempt to anticipate any behaviors that will prevent instructions from executing in a sequential stream and may fetch streams of instructions that might execute. When there is, for example, a misprediction, the ROB may inform the front-end and a different set of instructions might be executed instead.
  • fabrics 1816, 1818 may include FPGAs, FPNAs, or FPAAs. In one embodiment, fabrics 1816, 1818 may each be communicatively coupled to one or more entities for controlling and managing the respective fabric, such as caches 1820, 1822.
  • fabrics 1816, 1818 may be communicatively coupled to fabric or memory controllers, not illustrated in FIG. 18 but described in further detail below.
  • Caches 1820, 1822 and respective fabric and memory controllers may communicate with each other, CPU 1804, and chip I/O 1808.
  • Chip I/O may handle communication with, for example, memory 1814 or other destinations in system 1800.
  • fabrics 1816, 1818 may each include multiple instances of a combination of cache, controllers, and a programmable fabric array.
  • the combination of these may be referred to as a fabric bank.
  • the fabric bank for a given level may designate all programmable fabrics and associated caches and controllers for that given level.
  • the programmable fabric array may itself include a defined, discrete number of programmable fabric regions 1826.
  • the individual programmable fabric regions 1826 may be configured into a specialized execution block, such as an execution unit, that may handle specialized tasks in order to fulfill execution instructions by CPU 1804.
  • Each region 1826 may include a suitable number of configurable blocks that, when region 1826 is loaded with a configuration file or other specification, are each programmed so that region 1826 operates as the designated execution unit.
  • Region 1826 may represent, for a given fabric or bank, the unit that can be configured with a specific identity to perform tasks for executing instructions on behalf of SoC 1802 and CPU 1804.
  • CPU 1804 may draw instructions from memory 1814 through cache subsystem 1806. Based upon the particular instructions to be executed, some tasks may be executed more efficiently through programmable fabric, such as fabrics 1816, 1818. Any suitable portion of system 1800 may determine whether to execute a given task through regions 1826. In one embodiment, an instruction generated by a compiler or an instruction provided in an instruction stream may specifically designate that a region 1826 in fabrics 1820, 1822 will be used to implement a specified execution task. In another embodiment, a portion of SoC 1802 may determine that a region 1826 in fabrics 1820, 1822 will be used to implement a specified execution task.
  • the decision to have a region in fabrics 1820, 1822 implement a specified execution task may be made based upon the ability of fabrics 1820, 1822 to efficiently execute the task. Furthermore, the decision may be made upon the availability of a given configuration to be applied to a region of fabrics 1820, 1822. For example, if a particular configuration is available or will fit within a region of fabrics 1820, 1822, then the configuration may be selected, loaded into a respective region, executed one or more times, and results returned to CPU 1804. The decision may be further based upon whether a sufficient number of executions will be performed by the configured region. For example, a one-time execution might not be sufficient to outweigh the overhead of configuring a region 1826. However, if the execution will happen several thousand times, and region 1826 may more efficiently execute the task than normal execution units in CPU 1804, then the overhead may be outweighed by the increased execution efficiency.
  • Regions 1826 may vary in size between fabric 1816 and fabric 1818.
  • a region in fabric 1818 may have four times the die space, and thus four times the gates, and four times the available room to implement functionality than a region in fabric 1816.
  • fabric 1816 may be located closer to CPU 1804 than fabric 1818. Thus, fabric 1816 may be used for smaller execution tasks that are executed more frequently than those in fabric 1818.
  • region 1826 is the smallest part of a given fabric that might be uniquely identified to execute a task for CPU 1804, as a sort of "black-box" from the perspective of CPU 1804, unused space within a given region 1826 might not be usable by other regions within the same fabric. Accordingly, a configuration to be loaded into a region 1826 for executing tasks might be applied to the smallest available region 1826 in which the configuration will fit.
  • the further fabric 1818 may be able to implement more complex execution units than fabric 1820, but with less communication speed to CPU 1804.
  • System 1800 may include another system programmable fabric 1810, which may include reconfigurable logic blocks implemented by, for example, an FPGA. Fabric 1810 may be controlled by CPU 1804. However, as fabric 1810 is off-chip from SoC 1802 and CPU 1804, there may be low communication bandwidth between fabric 1810 and CPU 1804, as well as the components that support operation of CPU 1804 within SoC 1802. The communication bandwidth may be limited by the bandwidth of a system bus 1812, which may be lower than busses or traces within SoC 1802. The utility of fabric 1810 may be limited, such as to applications wherein significant computation can be done without much communication with CPU 1804. This may prevent fabric 1810 from effectively
  • SoC 1800 may assign configurations that are too large to fit in a region of fabrics 1816, 1818 to fabric 1810.
  • FIG. 19 is a more detailed illustration of elements of system 1800, according to embodiments of the present disclosure.
  • system 1800 may include individual programmable fabric arrays 1908. These may be implemented fully or in-part by fabrics 1816, 1818 of FIG. 18. There may be any suitable number of arrays 1908, such as M arrays. Arrays 1908 may correspond to a given level of the hierarchy of fabrics. As shown, arrays 1908 may be of a level N of such a hierarchy.
  • Arrays 1908 may interface to the rest of system 1800 in any suitable manner.
  • arrays 1908 may interface to the rest of system 1800 using a fabric interface controller (FIC) 1902.
  • FIC fabric interface controller
  • arrays 1908 may interface to the rest of system 1800 using a configuration memory controller (CMC) 1904.
  • system 1800 may include a configuration cache (cCache) 1906 for a given array 1908.
  • FIC 1902 may be implemented in any suitable manner, including with logic or circuitry. Different embodiments of FIC 1902 are described in additional detail further below. FIC 1902 may implement instructions for execution by SoC 1802 that are addressed to configuration of fabrics and array 1908. For example, FIC 1902 may translate and execute control commands as well as loading, operating, and initiating memory transactions to and from the fabric. Control may be delegated to FIC 1902 temporarily to initiate its own memory transactions during operation.
  • CMC 1904 may be implemented in any suitable manner, including with logic or circuitry. Different embodiments of CMC 1904 are described in additional detail further below. CMC 1904 may map input and output paths to cCache 1906 or array 1908 to memory or registers. Such input and output paths may be in, made, or established by FIC 1902. Also, CMC 1904 may buffer input and output according to control by CPU 1804.
  • CCache 1906 may be implemented to store configurations specifying how a given region of array 1908 will be programmed.
  • the result of the configuration may include a specific layout of gates or other blocks of a region of array 1908.
  • the configuration may include a configuration file with compiled, low-level bit streams that directly configure interconnects and blocks of the region of the fabric.
  • the configurations may be stored in cCache 1906 so that, given a request for a specific task, the configuration may be quickly loaded onto the region of array 1908 and available for execution. Different configurations may be quickly swapped and loaded.
  • CCache 1906 may be designated as a cache at a Level Nin the hierarchy of fabrics.
  • arrays 1908 there may be a plurality of arrays 1908, such as M different arrays at a given hierarchy level. These are shown in FIG. 19 as arrays 1908 A through 1908B.
  • the combination of these arrays 1908 may be referred to as a fabric bank 1920.
  • Fabric bank 1920 may be referred to its given level of the hierarchy, L,v.
  • any associated elements unique to the arrays 1908, either individually or collectively, may be considered a part of the given fabric bank 1920.
  • FIC 1902A through 1902B, CMC 1904A through 1904B, and cCache L N 1906A through 1906B may be considered a part of fabric bank 1920.
  • Other layers of the hierarchy may include their own respective fabric banks 1920.
  • a fabric bank 1920 may exist for level N
  • a different fabric bank 1922 may exist for level N+l .
  • Fabric banks 1920, 1922 may interconnect to other portions of SoC 1802 in any suitable manner.
  • instruction dispatch units 1910 of an execution pipeline may connect to a given FIC 1902 of a fabric bank 1920.
  • Instruction dispatch unit 1910 may provide instructions to be executed by regions of arrays 1908, or may specify configuration of such regions into different execution arrangements.
  • a data cache, such as data cache L N 1912 of SoC 1802 may include data addresses that are available to be used for read or write operations by execution units and by reconfigurable fabrics. Data for inputs or output data may be sent through cache 1912 from CMC 1904.
  • SoC 1802 may include multiple layers of configuration caches.
  • all cCaches 1906 of a given layer of the hierarchy may be routed to a cCache- cache 1914, designated as a higher layer of the hierarchy, such as TV+1.
  • a cCache-cache 1914 may be so-designated as it is a cache for other cCaches, and may itself be a cCache.
  • CCache-cache (TV+1) 1914 may connect to cCaches (TV) 1906 through, for example, CMC 1904.
  • CCache-cache 1914 may store configuration files that can be run on a particular level (TV) of arrays 1908.
  • an L2 cCache-cache servicing an LI fabric array and its associated LI cCaches might be designed to hold different data that the L2 cCaches associated with an L2 fabric array.
  • space may be available in an L2 array region for a configuration that would otherwise be reserved in an LI cCache for use with an LI array region (as, for example, the LI array regions are smaller than the L2 array regions).
  • a given level L N+ i of a cCache-cache might be optimized or specifically implemented so as to service level L N configurations.
  • cCache-caches 1914, 1930 may work together if necessary, particularly if, for example, a level L N configuration can be executed on a level L N+ i array 1906. In such a case, cCache-caches 1914, 1930 may balance workloads and if space is unavailable on the smaller, lower level cache the configurations may be stored instead on the larger, upper level cache. CCache-caches 1914, 1930 may also obtain additional information from any suitable level of system cache, such as system cache 1924. This system cache 1924 may include instruction or data cache information from, for example, a level L N +2- Other suitable levels may be used. CCache-caches 1914, 1930 may address chip I/O 1810 to, for example, access memory or other elements outside SoC 1802.
  • FIG. 20 is a block diagram of configuration cache hierarchies, according to embodiments of the present disclosure.
  • FIG. 20 illustrates example arrangements of different levels of a three-level hierarchy, though variations may be suitably made to the presented arrangement.
  • an LI fabric bank 2002 may be connected through an LI cCache-cache 2004 to an L3 system cache 2006.
  • L3 system cache 2006 may in turn be connected to memory 2016.
  • L2 fabric bank 2008 may be connected through an L2 cCache-cache 2010 to memory 2016.
  • an L3 programmable fabric 2012 including arrays larger than those in fabric banks 2002, 2008, may be connected through its L3 cache and controllers 2014 to memory 2016.
  • a single array might be used for L3 programmable fabric, and thus, in essence, be a fabric bank of a single array. Therefore, a cCache-cache might not be placed between the array and memory 2016.
  • only LI fabrics may initiate memory transactions directly with processor registers.
  • any higher layers may be restricted to DMA- type memory transactions through their respective CMCs.
  • FIG. 21 is a block diagram and illustration of a configuration cache and its operation, in accordance with embodiments of the present disclosure.
  • a cCache such as LI cCache 2102, may receive an instruction that it is to load from its configurations a designated configuration N.
  • the instruction may designate into which region of a fabric array the configuration is to be loaded.
  • the cCache may determine into which region of the fabric array the configuration is to be loaded.
  • an FIC may make such a determination and issue the determination as part of the instruction to be sent to the cCache.
  • the instruction received by the FIC may specify the determination, which may be relayed in turn to the cCache.
  • CCaches may include space for any suitable number of configurations, in the example of FIG. 21, cCache 2102 may include space for four configurations 2104. CCache 2102 may enable new configurations to be pushed, switched, flushed, saved, or reset quickly. In one embodiment, cCache 2102 may respond to a pre-load instruction that begins loading a configuration into cCache 2102 from another source (such as a higher-level cache or memory) so that latencies are reduced.
  • another source such as a higher-level cache or memory
  • cCache 2102 may identify the configuration 2104N from one or more configuration files 2104 stored therein. CCache 2102 may store a limited number of configuration files 2104. In another embodiment, if a given configuration file 2104 is unavailable, it might be obtained from a higher-level cCache-cache. In yet another embodiment, if that higher-level cCache-cache does not have the configuration file available, it may be obtained from, for example, memory or an even higher-level cCache-cache. Thus, cCaches and cCache-caches may maintain coherence amongst each other. Furthermore, these may maintain coherence with other SoC caches, such as dCaches or iCaches.
  • Coherence may be made in-part, for example, using the Modified Owned Exclusive Shared Invalid (MOESI) protocol.
  • MOESI Modified Owned Exclusive Shared Invalid
  • coherence of such caches may deviate from the MOESI protocol or other protocols that may be used.
  • cache configuration lines may be locked during operation. The locking may be specified by, for example, an ISA instruction. As a consequence, the operations performed may become atomic.
  • CCache 2102 may load the configuration to the actual fabric region, such as FabricO 2106. Individual logic blocks 2108 may be programmed according to configuration 2104N.
  • Configurations 2104 may be designed so that the default state for each
  • programmable logic block 2108 and interconnect is off, unpowered, or disconnected.
  • a compiler may combine configurations within a given array of programmable fabric to combine configurations to maximally utilize the fabric.
  • a given type of SoC 1802 may define, through available instructions, default sizes of regions of fabric and how these may be interchangeably used.
  • FIG. 22 is a block diagram of how a fabric interface controller may interface with programmable fabric, in accordance with embodiments of the present disclosure.
  • An FIC 2202 may include pins, ports, or channels for input, output, and control.
  • the control port may be routed to a cCache to cause a logic region 2206 to be configured into a particular execution block.
  • the input ports may be routed to an input shift register 2208.
  • the output ports may be routed to an output shift register 2204.
  • the communication with respective FIC or CMC units within a fabric bank may require that bits are sent and received with a defined protocol.
  • the protocol may provide for communication indirectly through buffered shift registers, such as shift registers 2204, 2208.
  • cCache 2102 may allow configurations to be saved or to be reset to an original configuration. These may include situations in which the configuration as it sits on the fabric region 2206 actually changes. These situations may include, for example, state machines or a function that uses fabric area for memory. Saving or resetting may be performed in response to instructions designating such operations.
  • Output through shift register 2204 may allow the validation and approval of asynchronous memory transactions during privileged execution modes. This may be performed, for example, in security applications.
  • FIG. 23 is a block diagram of an example fabric interface controller and an example configuration memory controller, in accordance to embodiments of the present disclosure.
  • An FIC 2302 may include any suitable number and kind of components for configuring a programmable fabric.
  • FIC 2302 may include a counter bank 2316 to track opcodes, inputs, and outputs. These may be used to keep track of input and output logs to raise exceptions if unexpected fabric behavior occurs in, for example, unprivileged execution modes.
  • FIC 2302 may include ports 2312 with which to communicate to fabric or cCaches, such as a clock signal, input port, output port, and ports to send read and write requests and to send read and write authorizations. These ports may interface the fabric or its cCache.
  • FIC 2302 may include cCache coherence control logic 2314 to determine whether or not configurations are available in the cCache, or whether such configurations must be acquired from higher-level caches or memory.
  • FIC 2302 may include an instruction port 2308.
  • Instructions received through instruction port 2308 may be generated by a compiler or code or by other portions of SoC 1802, and may specify operations to performed with respect to the fabric.
  • the operations may include operations to be performed by the fabric as well as operations to be performed by FIC 2302 or CMC 2304 for configuring the fabric. Any suitable instructions may be received, such those specifying an opcode or type definition of the instruction to be performed by fabric, input size, output size, input addresses, output addresses, or a divided clock signal.
  • FIC 2302 may include control logic 2310 for controlling the operation of the fabric or CMC 2304. Control logic 2310 may implement execution of configuration instructions. For example, FIC 2302 may send commands to cCaches to load particular configurations. FIC 2302 may mediate
  • CMC 2304 may issue controls to CMC 2304.
  • instructions may be executed by CMC 2304 to set up input and output paths to memory or other caches.
  • CMC 2304 may establish an input-output fabric communication channel 2306 between the fabric and suitable outputs 2318.
  • Outputs 2318 may include, for example, memory or registers.
  • FIC 2302 and CMC 2304 may isolate portions of the fabric from the rest of SoC 1802 and may be responsible for reacting to processor instructions such as LOAD or RUN.
  • Any suitable instructions may be used to specifically target the programmable fabric and its configuration.
  • Input and output locations may be defined according to memory addresses or registers. Units of transfer may be defined in, for example, words, half-words, quarter-words, or bytes, which may be, for example, 64 bits.
  • a "fabric unit” may correspond to an individual LI FPGA or FPNA, for example.
  • the fabric instructions to be leveraged by programmable fabric as described herein may include a fabric preload instruction, PRELOAD, specifying a fabric unit and a source memory address for the associated configuration file.
  • the fabric preload instruction may be of the form: PRELOAD ⁇ fabric unit>, ⁇ src config mem address>. It may be used, for example, to load a relatively large configuration file into a cCache.
  • PRELOAD may cause loading of configuration files into a cCache associated with the fabric unit, even if it is not yet loaded directly into the fabric.
  • the fabric instructions may include a fabric load instruction, LOAD, specifying a fabric unit and a source memory address for the associated configuration file.
  • the fabric load instruction may be of the form: LOAD ⁇ fabric unit>, ⁇ src config mem address>.
  • LOAD may cause the actual transfer of a configuration onto the specified array. If an existing array configuration in the fabric unit is modified and is not saved, it will be discarded, and a state of the configuration file will remain as it was originally loaded.
  • a replacement policy for the configuration caches may be chosen, such as least recently used (LRU) or a neural learning model.
  • the fabric instructions may include a fabric save instruction, SAVE, specifying a fabric unit and a destination address for an associated configuration file to be saved.
  • the fabric save instruction may be of the form: SAVE ⁇ fabric unit>, ⁇ dest config mem address>.
  • the SAVE operation may be executed immediately or at least before the configuration is removed from the array.
  • the fabric instructions may include a fabric reset instruction, RESET, specifying a fabric unit for which original configuration file information may be restored.
  • the fabric reset instruction may be of the form: RESET ⁇ fabric unit>.
  • RESET may be applied, for example, wherein operation of the execution blocks causes changes to the execution blocks.
  • the original configuration might need to be restored. In an embodiment, this original configuration may be obtained from an LI cCache.
  • the fabric instructions may include a fabric flush instruction, FLUSH, specifying a fabric unit.
  • the fabric flush instruction may be of the form: FLUSH ⁇ fabric unit>, ⁇ config mem address>.
  • FLUSH may clear out configuration information from the fabric unit without saving. This may be used, for example, when the fabric is not expected to be used for a period of time and power need not be provided to the logic blocks therein. This may save power.
  • the fabric instructions may include a fabric run instruction, RUN, specifying fabric unit, a number of cycles, input memory address, input size, output memory address, and output size.
  • the fabric run instruction may be of the form: RUN ⁇ fabric unit>, ⁇ cycles>, ⁇ input mem address>, ⁇ input size>, ⁇ output mem address>, ⁇ output mem size>. This may actually operate the configured, programmable fabric.
  • the instruction may specify the number of clock cycles to operate (which may be in terms of the fabric unit's predetermined clock frequency, or a processor clock frequency, if the configuration is asynchronous) and the I/O parameters.
  • the configured, programmable fabric may be set to run continuously, e.g., free run in a privileged execution mode.
  • the fabric instructions may include a fabric stop instruction, STOP, specifying the fabric unit.
  • the fabric preload instruction may be of the form: STOP ⁇ fabric unit>. This may suspend a synchronous fabric in its current execution frame. This may be used, for example, during context switches or debugging.
  • a fabric resume instruction, RESUME may cause resumption of execution.
  • the fabric preload instruction may be of the form: RESUME ⁇ fabric unit>.
  • fabric instructions as exemplified herein may be provided as an extension to a given ISA such as the x86 architecture, to allow reconfigurable fabric to be seamlessly integrated into essentially any software.
  • these fabric instructions may support any on-chip reconfigurable fabric, although in one embodiment, only a first level hierarchy can address registers directly; other levels of the reconfigurable logic hardware may be restricted to direct memory access (DMA)-type memory transactions. Understand that in various embodiments, these instructions can be expanded to support the operation of off-chip fabrics as well.
  • ISA extensions as described herein may enable complex computations to be faster, more power efficient, and fully parallel to other CPU operations, enhancing the power and efficiency of high-end and low-power devices.
  • these fabric instructions specify precisely on which programmable fabric (of which there may be many at each level of a programmable fabric hierarchy) to load/operate.
  • Appropriate programmer or compiler use of such fabric instructions may leverage the programmable fabric arrangement including caches having multiple
  • Example operation may be made while considering FIG. 23 in view of FIG. 20.
  • An application may run on system 1800 wherein banks 2002, 2008 each include three FPGAs and one FPNA per bank.
  • Bank 2002 may use its FPNA to recognize numerical characters from bitmap images and output specially-formatted representations to a look-up table, implemented by an FPGA also in bank 2002.
  • This FPGA may map the output to integer format and store the data in memory.
  • the configuration files for this FPGA and FPNA may be pre-loaded using PRELOAD.
  • PRELOAD may be executed substantially prior to actual usage within a given application.
  • the PRELOAD command may designate the respective configuration file locations (Rl, R2) and the destination fabric (FPGAl l, FPNA1_1).
  • the configurations already may be pre-loaded within the cCache of bank 2002. In real-time, these may be loaded from the cCache into the actual fabrics using the LOAD command.
  • the stored numeric images of 25 bytes each may be at the address specified by R3, and the output file may begin at an address specified by R4. If the instruction set architecture allows register ranges to be used in place of memory accesses, a range of one is used for R5 as an intermediate output of the FPNA. R8 may include a counter. The FPNA may be caused to run for four clock cycles to create an output. In such a case, the following pseudocode loop might iterate through the entire set of bitmap images and output them to integer format:
  • the programmable fabric may run in parallel to execution of CPU 1802, so additional computation could be done in parallel when the loop is waiting on for any execution of the FPNA or FPGA.
  • instructions other than the RUN instructions may be executed in a given portion of a CPU (e.g., ALU's, execution units, etc.).
  • the RUN instructions cause, e.g., scheduling and/or issue logic, of the CPU to activate control signals to the fabric interface controller.
  • This fabric interface controller initiates some operation on the specified fabric.
  • all instructions of the loop, including the RUN instructions are initially fetched from the same program data and decoded by the decode stage of the CPU, which generates the appropriate control signals, for instruction execution.
  • a compiler or out-of-order execution unit may cause the SUB instruction to be executed after the RUN FPGA1 instruction, to avoid unnecessary stalling.
  • any suitable tasks may be off-loaded from CPU 1804 to programmable fabric for which configurations of the programmable fabric may be efficiently made.
  • these could include mathematical functions such as square root, exponential functions, power functions, nonlinear functions, trigonometric functions, matrix operations, pseudo-random number generation, linear programming, encryption/decryption, file compression or decompression, digital signal processing, FIR filters, IIR filters, finite state machines, Markov chains, program control logic, simulations, error correction/detection, image processing, routing algorithms, Monte-Carlo simulations, weather models, chaotic systems, biometric analysis, handwriting recognition, facial recognition, fingerprint recognition, voice processing, speech-to-text conversion, computer vision heuristics, content addressable search optimization, hashing functions, and neural network models.
  • FIG. 24 is flow chart of a method 2400 for administrating a programmable fabric and cache, according to embodiments of the present disclosure.
  • Method 2400 may be initiated by any suitable criteria.
  • Method 2400 describes operation of particular elements, method 2400 may be performed by any suitable combination or type of elements.
  • method 2400 may be implemented by the elements illustrated in FIGs. 1-23 or any other system operable to implement method 2400.
  • the preferred initialization point for method 2400 and the order of the elements comprising Method 2400 may depend on the implementation chosen.
  • some elements may be optionally omitted, reorganized, repeated, or combined.
  • portions of method 2400 may be executed in parallel within itself.
  • an application to executed may be loaded.
  • a SoC may load part or all of the instructions from memory into caches.
  • configuration files for the FPGA regions may be preloaded. These may be preloaded into cCaches.
  • cache coherency may be addresses with respect to contents of such cCaches.
  • a given instruction may be executed, fully or in part, using a fabric region on the SoC.
  • the fabric region may include, for example, an FPGA region.
  • an appropriate fabric region for the execution may be determined.
  • the appropriate layer of fabric may be chosen, wherein more complicated computational blocks may be implemented at a higher layer of fabric, or simpler
  • computational blocks may be implemented at a lower layer of fabric.
  • computational blocks requiring more throughput or bandwidth to a host processor of the SoC may be selected for execution on a lower layer of fabric, closer to the processor.
  • the specific fabric region may be identified in which the instruction will be executed.
  • An appropriate fabric configuration may be determined for the region.
  • the configuration corresponding to the computational block may be loaded into the region. In doing so, cache coherency may be performed, wherein a higher-level of cache or memory may be accessed to obtain the configuration.
  • the configuration may be stored in a cCache local to the fabric region. Memory paths may be established from the fabric region to memory, registers, or a data cache system. Input and output parameters to and from the fabric region may be established.
  • the fabric region may be executed.
  • the changes may be saved.
  • the changes may be cleared and the original configuration restored.
  • method 2400 it may be determined whether the fabric region will execute again. If so, method 2400 may repeat at 2430. Otherwise, method 2400 may proceed to 2445. At 2445, it may be determined whether the fabric region will be needed again soon. If no, at 2450 in one embodiment the fabric region may be flushed and powered down. Otherwise, method 2400 may proceed to 2455. [0211] At 2455, it may be determined whether there are additional instructions to be executed in the application. If so, method 2400 may repeat at 2410. Otherwise, at 2460 method 2400 may optionally repeat or terminate.
  • fabric-based instructions may be provided to leverage the programmable fabrics available within a processor. More specifically, such instructions may be provided within a program, e.g., as coded by a programmer and/or inserted by compiler, to flow through a pipeline of a general-purpose processor such as a given core. During pipeline handling, e.g., at an issuance or scheduling stage, these instructions directed to programmable fabrics, referred to herein as "fabric instructions" for ease of discussion, may be diverted or otherwise directed to the hierarchal programmable fabric to enable control and execution on programmable fabric as described herein.
  • fabric instructions as described herein proceed through a processor along with regular instructions of an application, at least to the point where such instructions are decoded, allocated and directed for scheduling. Then different operation may occur. More specifically, these instructions may be delivered to control logic of the programmable fabric, including a FIC and a CMC, to cause these units to perform various operations, including configuration and control operations, to control execution of a particular execution functionality in a given one or more programmable fabric units.
  • control logic of the programmable fabric including a FIC and a CMC, to cause these units to perform various operations, including configuration and control operations, to control execution of a particular execution functionality in a given one or more programmable fabric units.
  • such logic or circuitry in the programmable fabric may include combinations of hardware circuitry, software and/or firmware, including state machines configured to receive and handle such fabric instructions.
  • method 2500 of FIG. 25 may be performed by combinations of hardware circuitry, software, and/or firmware, including scheduling logic of a CPU.
  • method 2500 begins by receiving a block of instructions in the scheduler (block 2510).
  • Such instructions may include various instructions of a given application, including instructions to execute on general-purpose circuitry, such as conventional x86 instructions or other conventional instructions of a given ISA, and fabric- based instructions to perform configuration and control operations within a programmable fabric.
  • general-purpose circuitry such as conventional x86 instructions or other conventional instructions of a given ISA
  • fabric- based instructions to perform configuration and control operations within a programmable fabric.
  • an indicator such as a fabric indicator (e.g., a bit) may be provided which, if set, indicates the corresponding instruction is a fabric instruction. If no such instructions are present, various CPU instructions may be scheduled and sent to one or more different execution units of the CPU (block 2530).
  • a fabric indicator e.g., a bit
  • programmable fabric instructions may be sent to the programmable fabric for handling. More specifically, in an embodiment such one or more instructions may be diverted or directed to a FIC of the programmable fabric. Thereafter, control passes to block 2560 where independent CPU instructions can be scheduled for execution. That is, there may be additional instructions within the scheduling window that are to be executed within the CPU that do not depend on a result of any computations performed in the programmable fabric. As such, these instructions may be scheduled and executed on given execution units of the CPU, without waiting for any result of programmable fabric computation.
  • results of the programmable fabric are received from the programmable fabric (diamond 2570). In some embodiments, results of
  • programmable fabric computations can be sent directly to a register file of the given CPU.
  • results can be provided to a memory hierarchy, with a completion or other signal sent from the programmable fabric to the CPU scheduling logic (and/or retirement unit), to indicate completion of a requested computation. If no such results are received, control may loop back to block 2560. Otherwise when such results are received, control passes to block 2580, where one or more dependent CPU instructions may be scheduled for execution at the CPU.
  • a given CPU instruction is to perform an operation on result data obtained from programmable fabric computation, correct execution will occur. Understand while shown at this high level in the embodiment of FIG. 25, many variations and
  • FIG. 26 shown is a flow diagram of a method in accordance with another embodiment of the present invention.
  • FIG. 26 shows an embodiment for controlling execution in a programmable fabric as described herein.
  • method 2600 may be performed at least in part by a fabric interface controller of such programmable fabric.
  • method 2600 may be performed by other combinations of hardware circuitry, software and/or firmware.
  • Method 2600 begins by receiving control information for a first programmable fabric control instruction (block 2610). Assume for purposes of discussion that this programmable fabric control instruction is a run instruction, previously received and decoded within a CPU pipeline. Various fields of the instruction as decoded by such decode logic may be provided to the fabric interface controller.
  • the fabric interface controller can initiate execution on a first programmable fabric unit (block 2620). More specifically, this first programmable fabric unit may be indicated by a programmable fabric indicator of the instruction.
  • execution initiation may include providing various control signals from the fabric interface controller and/or a cache controller to the given programmable fabric unit. Such control signals cause the unit to begin execution of one or more operations on input data, identified by the instruction, to generate output data which may be provided to a given destination, as indicated by the instruction. In an embodiment, this output data may be sent via interconnections configured by way of a configurable memory controller as described herein.
  • this instruction is dependent on the first programmable fabric instruction.
  • this second programmable fabric control instruction is another run instruction, e.g., directed to a different programmable fabric unit than that of the first programmable fabric control instruction.
  • the instruction is for execution of operations on data, including result data generated responsive to the first programmable fabric instruction. As such, an instruction dependency exists.
  • the first programmable fabric unit may provide a completion signal to the fabric interface controller to indicate that its execution has completed.
  • a valid indicator associated with the destination location of the output data (and which may equally be the source location for input data for the second programmable fabric control instruction) may be set to indicate that the information therein is valid.
  • the fabric interface controller may initiate execution on this second programmable fabric unit to execute operation of the second programmable fabric control instruction. Understand while shown at this high level in the embodiment of FIG. 26, many variations and alternatives are possible.
  • a processor comprises: a first core to execute instructions, the first core formed on a first die; and a programmable fabric having a hierarchical arrangement including a first layer of programmable fabric formed on the first die and a second layer of programmable fabric formed on the first die.
  • the programmable fabric may include a fabric interface controller to: receive a first programmable fabric control instruction from the first core; and responsive thereto, cause a first programmable fabric unit of the first layer of programmable fabric to execute an operation on first input data.
  • the first core comprises: a decode logic to decode the first programmable fabric control instruction comprising an ISA instruction; and an issue logic to direct the decoded first programmable fabric control instruction to the fabric interface controller.
  • the first core further comprises schedule logic to schedule a second instruction dependent on the first programmable fabric control instruction to execute on a first execution logic of the first core after a result of the execution of the operation on the first input data is stored in a destination storage.
  • the schedule logic is to schedule at least a third instruction subsequent to the second instruction in program order to execute on the first execution logic before the second instruction.
  • the first programmable fabric control instruction comprises: a first field to identify the first programmable fabric unit; a second field to identify a number of cycles for the first programmable fabric unit to execute; a third field to indicate a size of the first input data; and a fourth field to indicate a size of first output data to be output by the first programmable fabric unit.
  • the fabric interface controller is to issue a control signal to cause the first programmable fabric unit to execute the operation responsive to the first programmable fabric control instruction.
  • the first programmable fabric control instruction further comprises a destination field to indicate a destination storage for the first output data.
  • the programmable fabric comprises one or more field programmable gate arrays and one or more field programmable neural arrays.
  • the processor comprises a SoC incorporated in a user equipment touch-enabled device.
  • a system comprises a display and a memory, and includes the processor of one or more of the above examples.
  • a method comprises: receiving a first programmable fabric instruction in a fabric interface controller of a programmable fabric of a processor from a pipeline of a first core of the processor, the processor comprising at least one core and the programmable fabric including a plurality of layers of programmable fabric, the first programmable fabric instruction comprising a fabric pre-load instruction; determining a first fabric unit of a first layer of the plurality of layers to associate with the first programmable fabric instruction; and responsive to determining the first fabric unit, causing a configuration for the first fabric unit to be stored in a first configuration cache of the first layer of the plurality of layers.
  • the method further comprises: receiving a second programmable fabric instruction in the fabric interface controller, the second programmable fabric instruction comprising a fabric load instruction; and responsive to the second fabric instruction, loading the configuration from the first configuration cache to the first fabric unit.
  • the method further comprises: receiving a third programmable fabric instruction in the fabric interface controller, the third programmable fabric instruction comprising a fabric save instruction; and responsive to the third programmable fabric instruction, saving a current configuration of the first fabric unit to the first configuration cache, before loading the configuration from the first configuration cache to the first fabric unit.
  • the method further comprises: receiving a fourth programmable fabric instruction, the fourth programmable fabric instruction comprising a fabric restore instruction; and responsive to the fourth programmable fabric instruction, restoring a first configuration of the first fabric unit, where prior execution of the first fabric unit causes one or more changes to the configuration of the first fabric unit.
  • the method further comprises: receiving a fifth programmable fabric instruction, the fifth programmable fabric instruction comprising a fabric flush instruction; responsive to fifth programmable fabric instruction, removing a current configuration of the first fabric unit; and thereafter deasserting power to the first fabric unit.
  • the method further comprises decoding the first programmable fabric instruction in a decode logic of the first core, and directing the decoded first programmable fabric instruction to the fabric interface controller, via an issue logic of the first core.
  • the method further comprises: receiving a first programmable fabric control instruction in the fabric interface controller; and responsive to the first programmable fabric control instruction, causing the first fabric unit to execute an operation on first input data to generate first result data.
  • the method further comprises: receiving a second programmable fabric control instruction in the fabric interface controller; and responsive to the second programmable fabric control instruction and availability of the first result data, causing a second fabric unit of the programmable fabric to execute an operation on the first result data.
  • a computer readable medium including instructions is to perform the method of any of the above examples.
  • a computer readable medium including data is to be used by at least one machine to fabricate at least one integrated circuit to perform the method of any one of the above examples.
  • an apparatus comprises means for performing the method of any one of the above examples.
  • a system comprises: a processor having a plurality of cores, a plurality of cache memories, and a programmable fabric having a hierarchical arrangement including a plurality of layers of programmable fabric.
  • the programmable fabric may include a fabric interface controller to: receive a first programmable fabric control instruction from a first core of the plurality of cores, the first programmable fabric control instruction having a first field to identify a first programmable fabric unit of the programmable fabric, a second field to identify a number of cycles for the first programmable fabric unit to execute, a third field to indicate a size of first input data for execution, and a fourth field to indicate a size of first output data to be output by the first programmable fabric unit; and responsive thereto, cause the first programmable fabric unit to operate on the first input data.
  • the system may further include a system memory coupled to the processor.
  • the fabric interface controller is to: receive a fabric pre-load instruction; and responsive to the fabric pre-load instruction, cause a configuration for the first programmable fabric unit to be stored in a first configuration cache associated with the first programmable fabric unit.
  • the fabric interface controller is to: receive a fabric load instruction; and responsive to the fabric load instruction, cause the configuration for the first
  • the fabric interface controller is to: receive a second programmable fabric control instruction; and responsive to the second programmable fabric control instruction and availability of the first output data, cause a second fabric unit of the programmable fabric to execute an operation on the first output data.
  • an apparatus comprises: means for receiving a first
  • programmable fabric instruction in a fabric interface controller of a programmable fabric of a processor from a pipeline of a first core of the processor, the processor comprising at least one core and the programmable fabric including a plurality of layers of programmable fabric, the first programmable fabric instruction comprising a fabric pre-load instruction; means for determining a first fabric unit of a first layer of the plurality of layers to associate with the first programmable fabric instruction; and means for causing a configuration for the first fabric unit to be stored in a first configuration cache of the first layer of the plurality of layers.
  • the apparatus further comprises: means for receiving a second programmable fabric instruction in the fabric interface controller, the second programmable fabric instruction comprising a fabric load instruction; and means for loading the
  • the apparatus further comprises: means for receiving a third programmable fabric instruction in the fabric interface controller, the third programmable fabric instruction comprising a fabric save instruction; and means for saving a current configuration of the first fabric unit to the first configuration cache, before loading the configuration from the first configuration cache to the first fabric unit.
  • the apparatus further comprises: means for receiving a fourth programmable fabric instruction, the fourth programmable fabric instruction comprising a fabric restore instruction; and means for restoring a first configuration of the first fabric unit, where prior execution of the first fabric unit causes one or more changes to the configuration of the first fabric unit.
  • Embodiments may be used in many different types of systems.
  • a communication device can be arranged to perform the various methods and techniques described herein.
  • the scope of the present invention is not limited to a communication device, and instead other embodiments can be directed to other types of apparatus for processing instructions, or one or more machine readable media including instructions that in response to being executed on a computing device, cause the device to carry out one or more of the methods and techniques described herein.
  • Embodiments may be implemented in code and may be stored on a non-transitory storage medium having stored thereon instructions which can be used to program a system to perform the instructions. Embodiments also may be implemented in data and may be stored on a non-transitory storage medium, which if used by at least one machine, causes the at least one machine to fabricate at least one integrated circuit to perform one or more operations. Still further embodiments may be implemented in a computer readable storage medium including information that, when manufactured into a SoC or other processor, is to configure the SoC or other processor to perform one or more operations.
  • the storage medium may include, but is not limited to, any type of disk including floppy disks, optical disks, solid state drives (SSDs), compact disk read-only memories (CD-ROMs), compact disk rewritables (CD-RW s), and magneto-optical disks, semiconductor devices such as read-only memories (ROMs), random access memories (RAMs) such as dynamic random access memories (DRAMs), static random access memories (SRAMs), erasable programmable read-only memories (EPROMs), flash memories, electrically erasable programmable read-only memories (EEPROMs), magnetic or optical cards, or any other type of media suitable for storing electronic instructions.
  • ROMs read-only memories
  • RAMs random access memories
  • DRAMs dynamic random access memories
  • SRAMs static random access memories
  • EPROMs erasable programmable read-only memories
  • EEPROMs electrically erasable programmable read-only memories
  • magnetic or optical cards or any other type of media suitable for storing electronic instructions

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Computing Systems (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Advance Control (AREA)
  • Executing Machine-Instructions (AREA)
PCT/US2016/053958 2015-11-30 2016-09-27 Instruction and logic for programmable fabric hierarchy and cache WO2017095511A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201680064141.7A CN108351863B (zh) 2015-11-30 2016-09-27 用于可编程结构层级结构和高速缓存的指令和逻辑
EP16871210.7A EP3384400B1 (en) 2015-11-30 2016-09-27 Instruction and logic for programmable fabric hierarchy and cache

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/953,529 US20170153892A1 (en) 2015-11-30 2015-11-30 Instruction And Logic For Programmable Fabric Hierarchy And Cache
US14/953,529 2015-11-30

Publications (1)

Publication Number Publication Date
WO2017095511A1 true WO2017095511A1 (en) 2017-06-08

Family

ID=58777923

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2016/053958 WO2017095511A1 (en) 2015-11-30 2016-09-27 Instruction and logic for programmable fabric hierarchy and cache

Country Status (5)

Country Link
US (1) US20170153892A1 (zh)
EP (1) EP3384400B1 (zh)
CN (1) CN108351863B (zh)
TW (1) TW201723814A (zh)
WO (1) WO2017095511A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2514618B (en) * 2013-05-31 2020-11-11 Advanced Risc Mach Ltd Data processing systems
US11226840B2 (en) 2015-10-08 2022-01-18 Shanghai Zhaoxin Semiconductor Co., Ltd. Neural network unit that interrupts processing core upon condition
US11216720B2 (en) 2015-10-08 2022-01-04 Shanghai Zhaoxin Semiconductor Co., Ltd. Neural network unit that manages power consumption based on memory accesses per period
US10664751B2 (en) 2016-12-01 2020-05-26 Via Alliance Semiconductor Co., Ltd. Processor with memory array operable as either cache memory or neural network unit memory
US10353860B2 (en) 2015-10-08 2019-07-16 Via Alliance Semiconductor Co., Ltd. Neural network unit with neural processing units dynamically configurable to process multiple data sizes
US10725934B2 (en) 2015-10-08 2020-07-28 Shanghai Zhaoxin Semiconductor Co., Ltd. Processor with selective data storage (of accelerator) operable as either victim cache data storage or accelerator memory and having victim cache tags in lower level cache wherein evicted cache line is stored in said data storage when said data storage is in a first mode and said cache line is stored in system memory rather then said data store when said data storage is in a second mode
US11029949B2 (en) 2015-10-08 2021-06-08 Shanghai Zhaoxin Semiconductor Co., Ltd. Neural network unit
US11221872B2 (en) 2015-10-08 2022-01-11 Shanghai Zhaoxin Semiconductor Co., Ltd. Neural network unit that interrupts processing core upon condition
US10389839B2 (en) * 2016-06-01 2019-08-20 Intel Corporation Method and apparatus for generating data prefetches specifying various sizes to prefetch data from a remote computing node
US10573598B2 (en) * 2017-09-28 2020-02-25 Xilinx, Inc. Integration of a programmable device and a processing system in an integrated circuit package
CN108874702B (zh) * 2018-06-15 2020-11-17 中国电子科技集团公司第五十二研究所 基于axi总线的多路对称加解密ip核并行处理装置和方法
CN108777155A (zh) * 2018-08-02 2018-11-09 北京知存科技有限公司 闪存芯片
CN110287384B (zh) * 2019-06-10 2021-08-31 北京百度网讯科技有限公司 智能服务方法、装置及设备
US10963396B1 (en) 2019-09-17 2021-03-30 Micron Technology, Inc. Memory system for binding data to a memory namespace
US11494311B2 (en) 2019-09-17 2022-11-08 Micron Technology, Inc. Page table hooks to memory types
US11650742B2 (en) 2019-09-17 2023-05-16 Micron Technology, Inc. Accessing stored metadata to identify memory devices in which data is stored
US11269780B2 (en) * 2019-09-17 2022-03-08 Micron Technology, Inc. Mapping non-typed memory access to typed memory access
KR20210080009A (ko) * 2019-12-20 2021-06-30 삼성전자주식회사 가속기, 가속기의 동작 방법 및 가속기를 포함한 디바이스
US11387863B1 (en) * 2021-04-05 2022-07-12 Rockwell Collins, Inc. Cognitively adaptable front-end with FPNA enabled integrated network executive
CN114785660B (zh) * 2022-03-15 2023-08-29 桂林电子科技大学 一种NoC高速数据采集拓扑结构及其同步方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120089812A1 (en) * 2009-06-12 2012-04-12 Graeme Roy Smith Shared resource multi-thread processor array
US20130268710A1 (en) * 2011-08-22 2013-10-10 Kerry S. Lowe Method for data throughput improvement in open core protocol based interconnection networks using dynamically selectable redundant shared link physical paths
US20140136914A1 (en) * 2012-10-16 2014-05-15 Lattice Semiconductor Corporation Highly secure and extensive scan testing of integrated circuits
US20140177626A1 (en) * 2012-12-23 2014-06-26 Advanced Micro Devices, Inc. Die-stacked device with partitioned multi-hop network
US20140197409A1 (en) * 2011-08-17 2014-07-17 Rambus Inc. Multi-chip package and interposer with signal line compression

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5737631A (en) * 1995-04-05 1998-04-07 Xilinx Inc Reprogrammable instruction set accelerator
US6732263B1 (en) * 2000-06-12 2004-05-04 Altera Corporation Configuring both a programmable logic device and its embedded logic with a single serialized configuration bit stream
US7299339B2 (en) * 2004-08-30 2007-11-20 The Boeing Company Super-reconfigurable fabric architecture (SURFA): a multi-FPGA parallel processing architecture for COTS hybrid computing framework
US7647475B2 (en) * 2006-09-06 2010-01-12 Mips Technologies, Inc. System for synchronizing an in-order co-processor with an out-of-order processor using a co-processor interface store data queue
US8635476B2 (en) * 2010-12-22 2014-01-21 Via Technologies, Inc. Decentralized power management distributed among multiple processor cores
US8972707B2 (en) * 2010-12-22 2015-03-03 Via Technologies, Inc. Multi-core processor with core selectively disabled by kill instruction of system software and resettable only via external pin
CN102289424B (zh) * 2011-08-09 2013-12-11 清华大学 一种动态可重构阵列处理器的构令流工作方法
US9785444B2 (en) * 2013-08-16 2017-10-10 Analog Devices Global Hardware accelerator configuration by a translation of configuration data
US20160283438A1 (en) * 2013-12-23 2016-09-29 Hu Tiger Chen System-on-a-chip (soc) including hybrid processor cores
US10083395B2 (en) * 2015-05-21 2018-09-25 Google Llc Batch processing in a neural network processor
US9698790B2 (en) * 2015-06-26 2017-07-04 Advanced Micro Devices, Inc. Computer architecture using rapidly reconfigurable circuits and high-bandwidth memory interfaces

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120089812A1 (en) * 2009-06-12 2012-04-12 Graeme Roy Smith Shared resource multi-thread processor array
US20140197409A1 (en) * 2011-08-17 2014-07-17 Rambus Inc. Multi-chip package and interposer with signal line compression
US20130268710A1 (en) * 2011-08-22 2013-10-10 Kerry S. Lowe Method for data throughput improvement in open core protocol based interconnection networks using dynamically selectable redundant shared link physical paths
US20140136914A1 (en) * 2012-10-16 2014-05-15 Lattice Semiconductor Corporation Highly secure and extensive scan testing of integrated circuits
US20140177626A1 (en) * 2012-12-23 2014-06-26 Advanced Micro Devices, Inc. Die-stacked device with partitioned multi-hop network

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP3384400A4 *

Also Published As

Publication number Publication date
US20170153892A1 (en) 2017-06-01
EP3384400A4 (en) 2019-08-21
EP3384400B1 (en) 2021-07-14
CN108351863A (zh) 2018-07-31
CN108351863B (zh) 2022-12-13
TW201723814A (zh) 2017-07-01
EP3384400A1 (en) 2018-10-10

Similar Documents

Publication Publication Date Title
EP3384400B1 (en) Instruction and logic for programmable fabric hierarchy and cache
US10310868B2 (en) Instruction and logic for programmable fabric heirarchy and cache
CN108351835B (zh) 用于高速缓存控制操作的指令和逻辑
US10346170B2 (en) Performing partial register write operations in a processor
US10191748B2 (en) Instruction and logic for in-order handling in an out-of-order processor
US20160179549A1 (en) Instruction and Logic for Loop Stream Detection
US9996449B2 (en) Instruction and logic for a convertible innovation and debug engine
US20170168819A1 (en) Instruction and logic for partial reduction operations
US10705845B2 (en) Instructions and logic for vector bit field compression and expansion
US20160364237A1 (en) Processor logic and method for dispatching instructions from multiple strands
US9851976B2 (en) Instruction and logic for a matrix scheduler
US20210096866A1 (en) Instruction length decoding
US10133582B2 (en) Instruction and logic for identifying instructions for retirement in a multi-strand out-of-order processor
US10268255B2 (en) Management of system current constraints with current limits for individual engines
US20160371089A1 (en) Instruction and logic for filtering of software prefetching instructions
US10884735B2 (en) Instruction and logic for predication and implicit destination
US20160378698A1 (en) Instruction and logic for real-time behavior of interrupts
US10990395B2 (en) System and method for communication using a register management array circuit

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16871210

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE