WO2015126439A1 - Procédé et appareil de passivation de cellules solaires en silicium cristallin - Google Patents

Procédé et appareil de passivation de cellules solaires en silicium cristallin Download PDF

Info

Publication number
WO2015126439A1
WO2015126439A1 PCT/US2014/035335 US2014035335W WO2015126439A1 WO 2015126439 A1 WO2015126439 A1 WO 2015126439A1 US 2014035335 W US2014035335 W US 2014035335W WO 2015126439 A1 WO2015126439 A1 WO 2015126439A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
substrates
processing
chambers
disposed
Prior art date
Application number
PCT/US2014/035335
Other languages
English (en)
Inventor
Hari K. Ponnekanti
Alexander S. Polyak
James L'heureux
Yongsheng Liu
Marulasiddeswara KARISIDDAPPA
Michael P. Stewart
Van H. Nguyen
Bahubali S. UPADHYE
Ch. P.P.C. Srinivasa MURTHY
Edward Hammond
Dionysio KAPSASKIS
Christopher T. Lane
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2015126439A1 publication Critical patent/WO2015126439A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/054Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means
    • H01L31/056Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means the light-reflecting means being of the back surface reflector [BSR] type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/52PV systems with concentrators

Definitions

  • Embodiments of the invention generally relate to an apparatus and method of forming layers on a substrate used to form a solar cell device.
  • the invention is particularly useful for fabrication of crystalline silicon solar cells.
  • PV Photovoltaic
  • a typical PV cell includes a p-type silicon wafer, or substrate, typically less than about 0.3 mm thick, with a thin layer of an n-type silicon material disposed on top of the p-type substrate.
  • the generated voltage, or photo- voltage, and generated current by the PV cell are dependent on the material properties of the p-n junction, the interfacial properties between deposited layers, and the surface area of the device.
  • the p-n junction of the PV cell When exposed to sunlight (consisting of energy from photons), the p-n junction of the PV cell generates pairs of free electrons and holes.
  • An electric field formed across a depletion region of the p-n junction separates the free electrons and holes, creating a voltage.
  • a circuit from n-side to p- side allows the flow of electrons when the PV cell is connected to an electrical load. Electrical power is the product of the voltage times the current generated as the electrons and holes move through the external electrical load and eventually recombine.
  • Each solar cell generates a specific amount of electrical power.
  • a plurality of solar cells is tiled into modules sized to deliver the desired amount of system power.
  • the PV market has experienced growth with annual growth rates exceeding above 30% for the last ten years. Some articles have suggested that solar cell power production world wide may exceed 10 GWp in the near future. It has been estimated that more than 90% of all photovoltaic modules are silicon wafer based. The high market growth rate in combination with the need to substantially reduce solar electricity costs has resulted in a number of serious challenges for silicon wafer based solar cell development for photovoltaics.
  • a passivation layer will have desirable optical properties to minimize light reflection and absorption as light passes through the passivation layer, and desirable functional properties to "surface" passivate the surface(s) it is disposed over, “bulk” passivate the adjacent regions and surface of the substrate, and store a desired charge to "field” passivate the solar cell substrate surface that it is disposed over.
  • the formation of a desirable passivation layer on a solar cell can greatly improve the efficiency of the solar cell, yet, the refractive index (n) and the inherent extinction coefficient (k) of the formed front side passivation layer(s) needs to be tuned with the surrounding layers to minimize light reflection and enhance light absorption by the solar cell device.
  • deposition rate and thus the ultimate number of substrates which can be processed in a set period of time, has an effect on the index of refraction and k values, as well as the physical properties of the film, such as the density.
  • the following solar cell processing requirements generally need to be met: 1 ) the cost of ownership (CoO) for substrate fabrication equipment needs to be improved ⁇ e.g., high system throughput, high machine up-time, inexpensive machines, inexpensive consumable costs), 2) the area processed per process cycle needs to be increased (e.g., reduce processing per Wp) and 3) the quality of the formed layers and film stack formation processes needs to be well controlled and sufficient to produce highly efficient solar cells. Therefore, there is a need to cost effectively form and manufacture silicon wafers, cells and modules for solar cell applications.
  • CoO cost of ownership
  • the area processed per process cycle needs to be increased (e.g., reduce processing per Wp)
  • 3) the quality of the formed layers and film stack formation processes needs to be well controlled and sufficient to produce highly efficient solar cells. Therefore, there is a need to cost effectively form and manufacture silicon wafers, cells and modules for solar cell applications.
  • aspects of the present invention generally provide a high throughput substrate processing system that is used to form one or more regions of a solar cell device.
  • a processing system one or more solar cell passivating or dielectric layers are deposited and further processed within one or more processing chambers contained within the high throughput substrate processing system.
  • the processing chambers may be, for example, plasma enhanced chemical vapor deposition (PECVD) chambers, low pressure chemical vapor deposition (LPCVD) chambers, atomic layer deposition (ALD) chambers, physical vapor deposition (PVD) or sputtering chambers, thermal processing chambers ⁇ e.g., RTA or RTO chambers), substrate reorientation chambers ⁇ e.g., flipping chambers) and/or other similar processing chambers.
  • PECVD plasma enhanced chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • sputtering chambers thermal processing chambers ⁇ e.g., RTA or RTO chambers
  • thermal processing chambers ⁇ e.g., RTA or RTO chambers
  • substrate reorientation chambers ⁇ e.g., flipping chambers
  • a substrate processing system comprises a substrate automation system for transferring one or more rows of substrates, one or more processing chambers, and an inspection and positioning system disposed downstream of the one or more processing chambers.
  • the inspection and positioning system comprises an inspection module and an alignment correction module disposed downstream of the inspection module, where the alignment correction module comprises a first centering device.
  • the inspection module comprises two conveyor belts configured to support and transfer one row of substrates, a center stage disposed between the conveyor belts, and an opening is formed in the center stage.
  • the inspection module further comprises a camera disposed above the opening of the center stage and a back light disposed below the opening of the center stage.
  • the alignment correction module further comprises a first channel for transferring one row of substrates, a second channel for transferring one row of substrates, and a divider disposed between the first and second channels, and the first centering device is disposed on a side of the first channel opposite the divider.
  • a substrate processing system comprises a substrate automation system for transferring one or more rows of substrates, one or more processing chambers, and an inspection and positioning system disposed downstream of the one or more processing chambers.
  • the inspection and positioning system comprises an inspection module, a dumping stage for each row of substrates, where the dumping stage is disposed downstream of the inspection module, an orientation correction module for each row of substrates, where the orientation module is disposed downstream of the inspection module, and an alignment correction module for each row of substrates, where the alignment correction module is disposed downstream of the inspection module.
  • the dumping stage comprises a first end, a second end downstream of the first end, and a camshaft configured to rotate the dumping stage with respect to the first end.
  • the orientation correction module comprises a first pair of wheels and a second pair of wheels, and the first pair of wheels is substantially parallel to the second pair of wheels.
  • a substrate processing system comprises a substrate automation system for transferring one or more rows of substrates, one or more processing chambers, an unloading zone disposed downstream of the one or more processing chambers, and a first load lock chamber disposed over the unloading zone.
  • the first load lock comprises one or more sub chambers, each sub chamber comprises an opening configured to transfer a cassette into and out of the unloading zone, and a lift device configured to move the cassette into and out of the unloading zone.
  • a solar cell processing system comprises a substrate automation system having one or more conveyors that are configured to transfer substrates serially through a processing region in a first direction, wherein the processing region is maintained at a pressure below atmospheric pressure, a first processing chamber having two or more first deposition sources disposed in the processing region, wherein each first deposition source is configured to separately deliver a processing gas to a surface of each of the substrates as the substrates are transferred through the processing region relative to the two or more first deposition sources, and a second processing chamber having two or more first deposition sources disposed in the processing region, wherein each second deposition source is configured to separately deliver a processing gas to the surface of each of the substrates as the substrates are transferred through the processing region relative to the two or more second deposition sources.
  • an apparatus for processing a substrate comprises a chamber body defining a processing region.
  • One or more first openings are formed in a first sidewall of the chamber body and one or more second opening are formed in a second sidewall of the chamber body.
  • One or more first doors are coupled to the first sidewall adjacent the one or more first openings.
  • the one or more first doors are configured to enclose the one or more first openings.
  • One or more second doors are coupled to the second sidewall adjacent the one or more second openings and the one or more second doors are configured to enclose the one or more second openings.
  • an apparatus for processing a substrate comprises a chamber body defining a processing region and a bracket is coupled to the chamber body adjacent the processing region.
  • One or more sliding assemblies are coupled between the bracket and one or more deposition sources.
  • the sliding assemblies comprise a first carriage assembly coupled to the bracket and a second carriage assembly coupled to the one or more deposition sources.
  • a plurality of telescopic members are disposed between the first carriage assembly and the second carriage assembly.
  • an apparatus for processing a substrate comprises a chamber body defining a processing region and one or more first openings are formed in a first sidewall of the chamber body.
  • One or more first doors are coupled to the first sidewall adjacent the one or more first openings.
  • a bracket is coupled to the chamber body adjacent the processing region and one or more sliding assemblies are coupled between the bracket and one or more deposition sources.
  • the one or more first doors are sized to allow for passage of the deposition sources therethrough.
  • the deposition sources are also sealably coupled to a second sidewall of the chamber body when the deposition sources are disposed in a processing position.
  • a substrate processing system includes a substrate automation system having one or more conveyors that are configured to transfer substrates serially through a processing region in a first direction.
  • Each of the one or more conveyors comprises a continuous drive member supported by two or more support rollers, and a tensioner in communication with the continuous drive member that varies tension on the continuous drive member based on temperatures in the processing region.
  • the tensioner comprises a spring form which may comprise a bi-metallic material, such as an austenitic material and a martensitic material.
  • the bi-metallic material comprises a first material having a first coefficient of thermal expansion and a second material having a second coefficient of thermal expansion that is different than the first coefficient of thermal expansion.
  • the first coefficient of thermal expansion is about 1 .5 times greater than the second coefficient of thermal expansion.
  • a substrate automation system in yet another embodiment, includes at least two brackets adapted to couple to a chamber wall, a chain support member movably coupled to each of the at least two brackets, a drive chain disposed on the chain support member, the drive chain being sized to span a length of the chain support member, and a chain bearing member disposed between the drive chain and the chain support member, the chain bearing member being sized to span a length of the chain support member.
  • the chain bearing member comprises a coefficient of expansion that is less than a coefficient of expansion of the chain support member.
  • the substrate automation system may further comprise a retainer disposed on each end of the chain bearing member, each retainer having a first end fixed to a respective pin and a second end in contact with the ends of the chain bearing member.
  • the chain bearing member comprises a coefficient of expansion that is less than a coefficient of expansion of the retainer. In other embodiments, the chain bearing member and the retainer expand and contract at substantially the same rate.
  • a substrate automation system in yet another embodiment, includes two or more continuous drive members disposed in parallel and mounted about a plurality of support rollers facilitating movement of the drive members along a first direction, and a plurality of support members coupled to each of the drive members in a second direction that is orthogonal to the first direction.
  • a temperature compensating chain tensioner includes a fixed support member, a first idler sprocket coupled to the fixed support member, the first idler sprocket adapted to contact a continuous drive member, a support arm having a proximal end pivotably coupled to the fixed support member, a second idler sprocket coupled to a distal end of the support arm, the second idler sprocket adapted to contact the continuous drive member, and a spring form coupled intermediate of the fixed support member and the support arm, wherein the spring form has a shape that changes with temperature, which causes the distal end of the support arm to move relative to the fixed support member to maintain contact between the continuous drive member and the second idler sprocket when the temperature of the continuous drive member and the spring form increases.
  • the tensioner comprises a bimetallic material, such as an austenitic material and a martensitic material.
  • the bi-metallic material comprises a first material having a first coefficient of thermal expansion and a second material having a second coefficient of thermal expansion that is different than the first coefficient of thermal expansion.
  • the first coefficient of thermal expansion is about 1 .5 times greater than the second coefficient of thermal expansion.
  • a substrate processing system may include one or more processing chambers, a substrate automation system for transferring one or more rows of substrates through the one or more processing chambers, and an inspection and positioning system disposed downstream of the one or more processing chambers, wherein the inspection and positioning system comprises an inspection module, and an alignment correction module disposed downstream of the inspection module, wherein the alignment correction module comprises a first centering device.
  • Figure 1A is a schematic isometric view of one embodiment of a substrate processing system.
  • Figure 1 B is a schematic isometric view of one embodiment of a substrate processing system.
  • Figure 2A is a schematic cross-sectional plan view of an automated substrate processing system according to one embodiment described herein.
  • Figure 2B is a schematic cross-sectional plan view of an automated substrate processing system according to one embodiment described herein.
  • Figure 2C is a schematic side cross-sectional view of an automated substrate processing system according to one embodiment described herein.
  • Figure 3 is a cross-sectional view of a solar cell substrate formed in the substrate processing system according to one embodiment described herein.
  • Figure 4 is a schematic side cross-sectional view of a processing chamber according to one embodiment of the present invention.
  • Figure 5A is a schematic side cross-sectional view of a deposition chamber according to one embodiment of the present invention.
  • Figure 5B is a schematic side cross-sectional view of the deposition chamber illustrated in Figure 5A according to an embodiment of the present invention.
  • Figure 5C is a schematic side cross-sectional view of a deposition chamber according to one embodiment of the present invention.
  • Figure 6A illustrates an aisle side view of a processing chamber according to one embodiment described herein.
  • Figure 6B illustrates a service side view of a processing chamber according to one embodiment described herein.
  • Figure 6C illustrates a perspective view of the aisle side of a processing chamber according to one embodiment described herein.
  • Figure 6D illustrates a side cross-sectional view of a chamber according to one embodiment described herein.
  • Figure 6E illustrates a schematic, cross-sectional view of a deposition source according to one embodiment described herein.
  • Figure 6F illustrates a perspective view of the service side of a processing chamber according to one embodiment described herein.
  • Figure 6G illustrates a perspective view of the aisle side of a processing chamber according to one embodiment described herein.
  • Figure 6H illustrates a perspective view of the service side of a processing chamber according to one embodiment described herein.
  • Figure 61 illustrates an end view of a processing chamber according to one embodiment described herein.
  • Figure 6J illustrates the end view of a processing chamber with deposition sources in a retracted position according to one embodiment described herein.
  • Figure 6K illustrates an end view of a processing chamber according to one embodiment described herein.
  • Figure 6L illustrates a perspective partial cut-away view of a processing chamber according to one embodiment described herein.
  • Figure 6M schematically illustrates a bracket and a sliding assembly of Figure 6L according to one embodiment described herein.
  • Figure 6N illustrates a partial perspective view of a door in an open position and a deposition source disposed within a processing region according to one embodiment described herein.
  • Figure 6O illustrates a cross-sectional side view of Figure 6N according to one embodiment described herein.
  • Figure 6P is a schematic, cross-sectional view of a portion of a deposition source and a sidewall of a chamber body according to one embodiment described herein.
  • Figure 7A is a side cross-sectional view of another embodiment of a processing chamber and peripheral chambers coupled thereto, according to one embodiment of the present invention.
  • Figure 7B is an isometric view of a portion of the substrate automation system that may be utilized in the processing chamber of Figure 7A, as well as the peripheral chambers of Figure 7A, according to one embodiment of the present invention.
  • Figure 7C is a side view of a portion of the continuous drive member of Figure 7B, according to one embodiment of the present invention.
  • Figure 7D is a cross-sectional view of a portion of the support assembly shown in Figure 7C, according to one embodiment of the present invention.
  • Figures 7E and 7F are cross-sectional views of various embodiments of structural shapes of a support member that may be used on the continuous drive members of the substrate automation system of Figure 7B, according to one embodiment of the present invention.
  • Figure 7G is a schematic side view of a portion of the support assembly shown in Figure 7C, according to one embodiment of the present invention.
  • Figure 7H is a sectional view of a portion of the temperature-compensating tensioner of Figure 7C, according to one embodiment of the present invention.
  • Figure 8 is an isometric view one embodiment of a pass-through conveyor device that may be used in the processing chamber shown in Figure 7A, according to one embodiment of the present invention.
  • Figure 9 is a side cross-sectional view of one of the bearing assemblies shown in Figure 8, according to one embodiment of the present invention.
  • Figures 10A - 10N illustrate an inspection and positioning system according to one embodiment of the present invention.
  • Figure 1 1 illustrates a processing sequence that may be performed in the automated substrate processing system according to an embodiment described herein.
  • Embodiments of the disclosure may generally provide a high throughput substrate processing system, or in-line cluster tool, for in-situ processing of a film stack used to form regions of a solar cell device.
  • a film stack formed on each of the substrates contains one or more passivating or dielectric layers that are deposited and further processed within one or more processing chambers contained within the high throughput substrate processing system.
  • the processing chambers may be, for example, plasma enhanced chemical vapor deposition (PECVD) chambers, low pressure chemical vapor deposition (LPCVD) chambers, atomic layer deposition (ALD) chambers, physical vapor deposition (PVD) chambers, thermal processing chambers ⁇ e.g., RTA or RTO chambers), substrate reorientation chambers ⁇ e.g., flipping chambers) and/or other similar processing chambers.
  • PECVD plasma enhanced chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • thermal processing chambers ⁇ e.g., RTA or RTO chambers
  • substrate reorientation chambers e.g., flipping chambers
  • the high throughput substrate processing system may include one or more deposition chambers in which substrates are exposed to one or more gas-phase materials and an RF plasma.
  • the processing system includes at least one plasma enhanced chemical vapor deposition (PECVD) processing chamber that has been adapted to simultaneously process a plurality of substrates as they pass through the system in a linear direction.
  • PECVD plasma enhanced chemical vapor deposition
  • solar cell substrates are simultaneously transferred in a vacuum or inert environment through the linear system to prevent substrate contamination and improve substrate throughput.
  • the substrates 200 are arranged in a linear array, such as shown in Figures 2A-2B, for processing as opposed to processing vertical stacks of substrates ⁇ e.g., batches of substrates stacked in cassettes) or planar arrays of substrates that are typically transferred on a substrate carrier in a batch.
  • a linear array such as shown in Figures 2A-2B
  • Such processing of substrates arranged in linear arrays allows each of the substrates to be directly and uniformly exposed to the generated plasma, radiant heat, and/or processing gases.
  • the linear array may contain sub-sets or groups of the substrates that are similarly processed as they are serially transferred through the processing system.
  • the sub-sets or groups of substrates are generally substrates disposed in the linear array that are similarly aligned in a direction perpendicular to the substrate transfer direction, and thus will be similarly processed at any given time during the processing sequence.
  • processing groups of substrates that are disposed in linear arrays does not rely on diffusion type processes or the serial transfer of energy from one substrate to the next, such as undesirably found in conventionally configured vertical stack or back-to-back batch substrate processing.
  • substrate processing systems that require the movement of batches of substrates in multiple directions as it is transferred through a processing system will require a structural element, such as a substrate carrier, to support and maintain the alignment and position of the substrates relative to each other during processing.
  • a structural element such as a substrate carrier
  • the addition of substrate carriers within the processing system leads to a number of undesirable processing issues, increased system complexity and device yield issues.
  • the rapid heating or cooling of the substrates will be much harder to achieve because of the increased thermal mass and thermal inertia of the chamber due to the addition of substrate carrier.
  • the addition of a substrate carrier also increases system complexity, due to the need to continually clean and return the substrate carrier after being processed in the system, so that it can receive the next batch of substrates.
  • the addition of substrate carriers creates a need for additional automation and robotic hardware to position the substrates in the substrate carrier prior to processing in the system and then remove the substrates from the substrate carrier after processing in the system.
  • the processing system 100 ( Figures 1 ) is configured so that no "pick and place" type robotic transferring steps are performed during the movement of the substrate through the processing system.
  • Pick and place type transferring processes generally include the steps of transferring substrates from one position to another in the processing system by use of a robot blade, vacuum chucking device, or other similar individual repositioning method that require repetitive interaction of an end-effector to enable the transfer of the substrate from one point in the system to the other. Also, typically "pick-and-place" type devices only minimally support the weight of the transferred substrate to reduce the number of particles created by the frequent interaction between the substrates and the end-effector that supports the substrates as they are transferred through the system.
  • Embodiments of the of the invention disclosed herein can be used to rapidly form the next generation solar cell devices in a high throughput substrate processing system, such as a processing system 100 that is illustrated in the figures discussed further below.
  • the next generation solar cell devices will contain multiple deposited layers, such as advanced passivation layers, that are formed on front and rear sides of a solar cell substrate in the processing system 100.
  • forming layers, such as high quality passivation layers, on at least one side of the substrate can reduce carrier recombination, redirect electrons and holes back into the solar cells to generate a desirable photocurrent, and act as a rear side reflector to better collect the incident solar energy.
  • the ability of a processing system to form and process multiple layers on one or both sides of a substrate, while maintaining a high substrate throughput (e.g., >3000 substrates per hour) and provide a repeatable and desirable film quality has been elusive for the solar cell fabrication industry.
  • the processing system configurations described herein are thus generally configured to reliably form a high quality advanced passivation layer on both surfaces of a solar cell substrate.
  • Figures 1A-1 B and 2A-2C illustrate one or more configurations of a substrate processing system 100 for performing one or more solar cell fabrication processes on a linear array of substrates according to an embodiment of the present invention.
  • the substrate processing system 100 may include a substrate receiving chamber 105, a load lock chamber 120, preprocessing chamber 130, at least one processing chamber, such as a first processing chamber 140 and a second processing chamber 160, an optional intermediate pre-processing chamber, such as second pre-processing chamber 155, an optional post processing chamber 170, an inspection and positioning system 180, a second load lock chamber 192 and a substrate unload chamber 195.
  • the processing chambers 130-180 may include one of the following types of chambers PECVD chambers, LPCVD chambers, hot wire chemical vapor deposition (HWCVD) chambers, ion implant/doping chambers, plasma nitridation chambers, atomic layer deposition (ALD) chambers, physical vapor deposition (PVD) or sputtering chambers, plasma or vapor chemical etching chambers, thermal processing chambers ⁇ e.g., RTA or RTO chambers), visual inspection chambers, substrate reorientation chambers ⁇ e.g., repositioning chambers), cool-down chambers and/or other similar processing chambers.
  • PECVD chambers PECVD chambers
  • LPCVD chambers hot wire chemical vapor deposition
  • HWCVD hot wire chemical vapor deposition
  • ion implant/doping chambers plasma nitridation chambers
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • sputtering chambers
  • the substrate processing system 100 may include a load lock chamber 120 disposed over a loading area 124, at least one pre-processing chamber, such as a first pre-processing chamber 130, a second pre-processing chamber 155, at least one processing chamber, such as a first processing chamber 140 and a second processing chamber 160, a post- treatment chamber 170, an inspection and positioning system 180 and a second load lock chamber 192 disposed over an unloading area 193.
  • the substrate processing system 100 may also include one or more support components, such as a control unit, user interface, buffer, and the like.
  • the inspection and positioning system 180 may include an inspection module 182, a dumping stage 184, an orientation correction module 186 and an alignment correction module 188 that can be used together to inspect the processed substrates, discard damaged substrates and/or correct the position and orientation of the processed substrates before they are delivered to the second load lock 192.
  • FIG. 3 illustrates a cross-sectional view of a solar cell substrate 310 that has a passivation/ARC layer stack 320 on a front surface ⁇ e.g., top surface 305) of a formed solar cell device 300, front side electrical contacts 307, a rear surface passivation layer stack 340 on a rear surface ⁇ e.g., rear surface 306) and a conductive layer 345 that forms rear side electrical contacts 346 that electrically contact the surface of the substrate 310 through via regions 347 formed in the passivation layer stack 340.
  • a substrate 310 comprises a silicon substrate that has a p-type dopant disposed therein to form part of the solar cell device 300.
  • the substrate 310 may have a p-type doped base region 301 and an n-doped emitter region 302 formed thereon, typically by a doping and diffusion/anneal process, although other processes including ion implant may be used.
  • the substrate 310 also includes a p-n junction region 303 that is disposed between base region 301 and emitter region 302 of the solar cell, and the substrate 310 is the region in which electron-hole pairs are generated when solar cell device 300 is illuminated by incident photons "I" of light from the sun 350.
  • the conductive layer 345 and front side electrical contacts 307 may comprise a metal, such as the aluminum (Al), silver (Ag), tin (Sn), cobalt (Co), nickel (Ni), zinc (Zn), lead (Pb), tungsten (W), titanium (Ti), tantalum (Ta), nickel vanadium (NiV), or other similar materials, and combinations thereof.
  • a metal such as the aluminum (Al), silver (Ag), tin (Sn), cobalt (Co), nickel (Ni), zinc (Zn), lead (Pb), tungsten (W), titanium (Ti), tantalum (Ta), nickel vanadium (NiV), or other similar materials, and combinations thereof.
  • the formed solar cell device 300 comprises a passivation/ARC layer stack 320 and a rear surface passivation layer stack 340 that each contain at least two or more layers of deposited material that are all formed on the substrate 310 in the processing system 100.
  • the substrate 310 which is similar to substrate 200 discussed herein, may comprise single crystal silicon, multi- crystalline silicon, or polycrystalline silicon, but may also be useful for substrates comprising germanium (Ge), gallium arsenide (GaAs), cadmium telluride (CdTe), cadmium sulfide (CdS), copper indium gallium selenide (CIGS), copper indium selenide (CulnSe 2 ), gallilium indium phosphide (GalnP 2 ), organic materials, as well as heterojunction cells, such as GalnP/GaAs/Ge or ZnSe/GaAs/Ge substrates, that are used to convert sunlight to electrical power.
  • germanium germanium
  • GaAs gallium arsenide
  • CdTe cadmium telluride
  • CdS cadmium sulfide
  • CGS copper indium gallium selenide
  • CulnSe 2 copper indium selenide
  • the passivation/ARC layer stack 320 may comprise a first layer 321 that is in contact with the substrate surface 305 and a second layer 322 that is disposed on the first layer 321 .
  • the first layer 321 may comprise a silicon nitride (SiN) layer formed by a plasma enhanced chemical vapor deposition (PECVD) process that is between about 50 Angstroms (A) and about 350 A thick, such as 150 A thick, and has a desirable quantity (C ⁇ ) of trapped charge formed therein, to effectively passivate the substrate surface 305.
  • PECVD plasma enhanced chemical vapor deposition
  • the second layer 322 may comprise a silicon nitride (SiN) layer formed by a PECVD process that is between about 400 A and about 700 A thick, such as 600 A thick, which may have a desirable quantity (Q 2 ) of trapped charge formed therein, to effectively help bulk passivate the substrate surface 305.
  • SiN silicon nitride
  • Q 2 desirable quantity
  • a total net positive charge of between about 8 x 10 "8 Coulombs/cm 2 to about 1 .6 x 10 "6 Coulombs/cm 2 is desirably achieved over an n-type substrate surface
  • a total net negative charge of between about 8 x 10 "8 Coulombs/cm 2 to about 1 .6 x 10 " 6 Coulombs/cm 2 would desirably be achieved over a p-type substrate surface.
  • a passivation/ARC layer 218 may have a total net positive or negative charge density within a range of 5 x 10 1 1 /cm 2 to about 1 x 10 13 /cm 2 .
  • the rear surface passivation layer stack 340 may comprise a first backside layer 341 that is in contact with the substrate rear surface 306 and a second backside layer 342 that is disposed on the first backside layer 341 .
  • the first backside layer 341 may comprise an aluminum oxide (Al x Oy) layer formed by a formed by a PECVD process that is between about 200 Angstroms (A) and about 1300 A thick, and has a desirable quantity (Q 3 ) of trapped charge formed therein, to effectively passivate the substrate rear surface 306.
  • the second backside layer 342 may comprise a silicon nitride (SiN) layer formed by a PECVD process that is between about 600 A and about 2500 A thick, which may have a desirable quantity (Q 4 ) of trapped charge formed therein, to effectively help passivate the substrate rear surface 306.
  • SiN silicon nitride
  • Q 4 a desirable quantity of trapped charge formed therein, to effectively help passivate the substrate rear surface 306.
  • the type of charge such as a positive or negative net charge based on the sum of Q3 and Q 4 , is preferentially set by the type of substrate over which the passivation layers are formed, as discussed above.
  • the selection of the passivation/ARC layer stack 320 and a rear surface passivation layer stack 340 will minimize the front surface reflection Ri and maximize the rear surface reflection R 2 in the formed device, respectively, as shown in Figure 3, to improve the efficiency of the solar cell device.
  • the substrate processing systems 100 can be used to process and/or form of one or more parts of the solar cell device 300 at a high throughput, such as greater than or equal to 3000 substrates per hour.
  • a high throughput such as greater than or equal to 3000 substrates per hour.
  • one or more passivating or dielectric layers of the stacks, such as stacks 320, 340, formed on each of the substrates 310 are deposited and further processed within one or more processing chambers 140, 160 contained within the high throughput substrate processing system 100.
  • the high throughput substrate processing system 100 may include one or more deposition chambers, such as process chambers 140, 160 in which substrates 310 are exposed to one or more gas-phase materials and an RF plasma.
  • the processing system 100 includes at least one PECVD processing chamber that has been adapted to process a plurality of substrates 310, as the substrates 310 pass through the processing system 100 in a linear direction.
  • the substrates 310 are simultaneously transferred in a vacuum or inert environment through the high throughput substrate processing system 100 to prevent substrate contamination and improve substrate throughput.
  • the substrate processing system 100 is configured to form the rear surface passivation layer stack 340 having the first back side layer 341 and the second back side layer 342, as will be discussed in more detail below.
  • the first pre-processing chamber 130 is a pre-heating chamber that heats the substrates 310 to a temperature ranging from about 100 °C to about 450 °C.
  • the first processing chamber 140 is a PECVD chamber for depositing Al x O y first back side layer 341 .
  • the second pre-processing chamber 155 is another preheating chamber that heats the substrates 310 to a temperature ranging from about 100 °C to about 450 °C.
  • the second processing chamber 160 is a PECVD chamber for depositing SiN second back side layer 342.
  • the post-treatment chamber 170 is a cool down chamber for cooling the substrates 310 to a temperature ranging from about 20 °C to about 200 °C.
  • the substrate processing system 100 has a processing region 210 through which the linear array of substrates are transferred during processing in a direction "M" from a first load lock chamber 120 to the second load lock chamber 192 using the substrate automation system 515 ( Figures 5A-5B).
  • the first load lock chamber 120 and the second load lock chamber 192 are each positioned adjacent to the substrate automation system 515.
  • this configuration is not intended to be limiting as to the scope of the invention described herein.
  • the substrate processing system 100 includes a substrate receiving chamber 105 that comprises one or more automation devices, such as actuator assembly 122 that is configured to receive substrates ⁇ e.g., substrates 200) from a substrate transport interface 121 and then load them into a cassette that is then positioned within the load lock 120.
  • the substrates 200 may be the substrates 310 shown in Figure 3.
  • the load lock 120 can then sequentially position the substrates positioned within the cassette on a portion of the substrate automation system 515 so that they can be transferred through the various processing chambers found in the processing system 100.
  • the substrate transfer interface 121 will generally receive substrates 200 from an upstream location ⁇ e.g., an upstream processing module in a solar cell fabrication line).
  • the substrate automation system 515 is generally loaded with unprocessed substrates 200 in the substrate receiving chamber 105.
  • the substrates 200 are transported to the substrate transport interface 121 via one or more modular substrate conveyors 123 that are configured to receive cassettes or stack boxes containing multiple substrates 200.
  • the actuator assembly 122 is adapted to transfer substrates 200 from substrate transport interface 121 to a cassette for insertion into the load lock 120.
  • the actuator assembly 122 comprises one or more roller or belt conveyors that are available from the Applied Materials Italia S.r.l. division of Applied Materials, Inc. of Santa Clara, California.
  • the substrate automation system 515 has a first end 209 where substrates 200 enter the substrate automation system, and a second end 21 1 where the processed substrates 200, having materials deposited thereon, are removed from the substrate automation system 515.
  • an input conveyor 220 contained in the substrate automation system 515 supports and guides substrates 200 from the load lock chamber 120 to the preprocessing chamber 130.
  • a series of intermediate conveyors 221 are generally used to support and guide the substrates through the various processing chambers found in the processing system 100.
  • an exit conveyor 222 contained in the substrate automation system 515 receives the substrates 200 that have been processed in the processing system 100.
  • an exit conveyor 222 then delivers the processed substrates 200 to a region where a cassette positioned within the second load lock chamber 192 can sequentially remove the substrates 200 from the exit conveyor 222. While the substrate automation system 515 has been shown with a number of individual conveyors 220, 221 and 222, a single conveyor with a continuous web of material that extends between the first end
  • the conveyors in the substrate automation system 515 include support rollers 512 ( Figures 5A-5B) that support and drive a supporting material that is configured to support the substrates.
  • the supporting material comprises a continuous web 513 of material that is capable of withstanding the processing environment gases and temperatures achieved by the substrates during processing ⁇ e.g., stainless steel mesh, high temperature polymeric materials).
  • the rollers 512 may be mechanically driven by a common drive system (not shown) such that they are moved in unison.
  • the various drive signals for the rollers 512, transfer ports 418 and other system actuators are provided by a system controller 1 10.
  • the processing system 100 may include two deposition chambers (e.g., chambers 140, 160) and two processing chamber (e.g., chambers 130 and 155).
  • the substrate automation system 515 is configured to rapidly transfer one or more rows of substrates 200 through the processing region
  • the substrate automation system 515 is adapted to transfer multiple rows of substrates 200 that are serially transferred from the first end 209 to the second end 21 1 through the processing region 210.
  • the substrate automation system 515 is adapted to transfer two rows of substrates 200 (i.e. , rows R1-R2) that are serially transferred from the first end 209 to the second end 21 1 .
  • a substrate automation system 515 may be adapted to transfer a single row of substrates 200 (i.e. , row Ri) that are serially transferred through the processing region 210 from the first end 209 to the second end 21 1 .
  • the substrate automation system 515 is adapted to transfer two rows Ri and R2 of substrates through the processing region 210 found in the processing system 100. It is believed that processing substrates in a single row, or even two or three rows, has an advantage over configurations that transfer more than three serial rows of substrates (e.g. , greater than 5 rows).
  • the width, and, thus, volume of the processing regions of the deposition and processing chambers can be reduced by the proper selection of the number of rows of substrates that are serially delivered through the system in an effort to improve substrate throughput, reduce system cost, improve deposition and processing chambers' structural integrity and improve device yield ⁇ e.g., reduce robot transferring errors, reduce automation induced contamination).
  • the required width Wi of a processing system having five rows is greater than the required width W 2 of a processing system that has two rows.
  • the reduction in width of the processing system will also improve the system serviceability, reduce maintenance time to fix system problems and reduce the system start-up time after maintenance was performed on one of the chambers, due to the smaller amount of chamber volume and wall surface area that can outgas when it is pumped down to a vacuum pressure and the smaller amount of material that must be cooled down for service or heated up for operation.
  • the substrate unload chamber 195 comprises one or more automation devices, such as actuator assembly 122 which are discussed above, that are configured to transfer the processed substrates (e.g., substrates 200) from a cassette that is positioned with the second load lock 192 to a substrate transport interface 126.
  • the substrate transfer interface 126 will generally transfer substrates to a downstream location ⁇ e.g., a downstream processing module in a solar cell fabrication line).
  • the actuator assembly 122 will generally remove the processed substrates 200 from the second end 21 1 and transfer them out of the processing system 100.
  • the substrates 200 are transported from the substrate transport interface 126 position via one or more modular substrate conveyors 127 that are configured to transfer the received cassettes or stack boxes containing multiple substrates to other parts of the solar cell fabrication plant.
  • the chambers 130-180 disposed in the processing system 100 are selectively isolated from each other by use of slit valve assemblies 417, which are discussed below.
  • Each slit valve assembly 417 is configured to selectively isolate the processing region in one of the chambers 130-180 from the substrate automation system 515 and is disposed adjacent to the interface between the chambers 130-180 and the substrate automation system 515.
  • the substrate automation system 515 is maintained within a vacuum environment to eliminate or minimize pressure differences between the transfer regions and the individual chambers 130-180, which are typically used to process the substrates under a vacuum condition.
  • the transfer region 210 and the individual chambers 130-190 may be used to process the substrates in a clean and inert atmospheric pressure environment.
  • the processing system 100 includes a system controller 1 10 configured to control the automated aspects of the system.
  • the system controller 1 10 facilitates the control and automation of the overall substrate processing system 100 and may include a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I/O) (not shown).
  • the CPU may be one of any form of computer processors that are used in industrial settings for controlling various chamber processes and hardware ⁇ e.g., conveyors, motors, fluid delivery hardware, etc.) and monitor the system and chamber processes ⁇ e.g., substrate position, process time, detector signal, etc.).
  • the memory is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • Software instructions and data can be coded and stored within the memory for instructing the CPU.
  • the support circuits are also connected to the CPU for supporting the processor in a conventional manner.
  • the support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • a program (or computer instructions) readable by the system controller 1 10 determines which tasks are performable on a substrate.
  • the program is software readable by the system controller 1 10, which includes code to generate and store at least substrate positional information, the sequence of movement of the various controlled components, and any combination thereof.
  • FIGS 1A-1 B and 2A-2C are schematic views of one embodiment of a substrate processing system 100 that includes multiple processing chambers (e.g., reference numerals 140 and 160). While the type of processing technique performed in the processing chambers disposed in the processing system 100 may include PVD, PECVD, a LPCVD, etc., as discussed above, it is believed that a PECVD deposition chamber, which is similar to one of the configurations illustrated in Figures 5A-5D, is advantageous to form high quality layers on both surfaces of a solar cell substrate 200.
  • a PECVD deposition chamber which is similar to one of the configurations illustrated in Figures 5A-5D, is advantageous to form high quality layers on both surfaces of a solar cell substrate 200.
  • FIG. 2C is a side cross-sectional view of a portion of the substrate processing system 100 illustrated in Figure 2B.
  • a plurality of processing chambers are disposed such that the individual conveyors 220, 221 and 222, within the substrate automation system 515, are adapted to transfer the substrates through each of the different portions of processing region 210 found within the processing system 100.
  • the processing region 210 may comprise processing regions 131 , 141 , 151 , 161 , 171 , 181 and 191 ( Figures 2A-2B), which are found in the selectively isolatable processing chambers 130-180.
  • the portions of the processing region 210 may be intermittently isolatable from each other by use of one or more slit valve assemblies 417 disposed at the entrance and/or exit of each of the processing chambers 130-180. While the slit valve assemblies 417 are discussed in conjunction with the processing chamber 400, which are schematically illustrated in Figure 4, this configuration is not intended to be limiting as to number and/or positions where the slit valve assemblies can be used in the processing system 100. In one embodiment of the processing system 100, each of the slit valve assemblies 417 are closeable and are mounted on one of the processing chamber walls.
  • the slit valve assemblies 417 may contain a closeable door 417B that forms a seal with a portion of the wall 402 by use of an elastomeric strip 402A disposed on top of the wall 402 to seal the substrate transfer port 418.
  • An actuator 417A extends and retracts the door 417B, based on commands received from the support circuits 162 of the system controller 1 10.
  • the door 417B is a conventional gate valve that is configured to prevent gas leakage through the substrate transfer port 418.
  • the doors 417B may be closed so that one or more substrate processing steps may be performed in the portion of the processing region 210 disposed between the processing chamber walls 402.
  • the doors 417B of each chamber are opened.
  • the conveyors 220, 221 and 222 advance the substrates 200 in the direction "M" into the subsequent processing chamber, based on commands received by a drive mechanism from the support circuits 162 of the system controller 1 10.
  • the substrate transfer ports 418 remain at least partially open during substrate processing, and, thus, only obstruct the movement of the substrates (i.e., transfer ports are "closed") when maintenance activities are performed on the processing system.
  • the load lock chamber 192 may be a tower load lock chamber and may be disposed over the processing region 191 , which may be the unloading zone 193.
  • the load lock chamber 192 may include one or more sub chambers, each having a cassette 192A disposed therein.
  • a lifting device 192B may be disposed in each sub chambers and is configured to lower an empty cassette 192A into the unloading zone 193 and to lift the full cassette 192A out of the unloading zone 193 and into the load lock chamber 192.
  • a cavity 194 may be formed below the conveyor 222 for allowing a top slot in the cassette 192A to align with the conveyor 222.
  • the load lock chamber 192 is described in detail in Figure 10J.
  • Figure 4 is a side cross-sectional view of one embodiment of a processing chamber 400 that may form one or more of the processing chambers, such as processing chambers 130-190 ( Figures 1 -2B), disposed in the processing system 100.
  • Figure 4 is a side cross-sectional view of the processing chamber 400 that is aligned relative to the transfer direction, or parallel to the X-direction of the processing system 100.
  • the processing chamber 400 comprises one or more energy sources, such as sources 410, chamber walls 402 that at least partially enclose a portion of the processing region 210, or processing region 406, and at least a portion of the substrate automation system 515.
  • the walls 402 generally comprise a material that can structurally support the loads applied by the external environment 543, which is external to the processing region 406, when it is heated to a desirable temperature and pumped to a vacuum pressure by a vacuum pump 542.
  • the sources 410 each comprise a reflector 412 and a radiant source, such as an IR lamp, tungsten lamp, arc lamp, microwave heater or other radiant energy source that is configured to deliver energy "E" to a surface of the substrates 200 disposed in the processing region 406 of the processing chamber 400 as they are transferred by the substrate automation system 515.
  • the processing chamber 400 can be used to deliver a desired amount of energy to the substrates 200 prior to the substrates being received by a subsequent processing chamber, such as the deposition chamber 140, 160 or 180, so that the substrates reach a desired processing temperature when they enter the processing region of the subsequent processing chamber.
  • Figures 5A-5C are side cross-sectional views of one embodiment of a processing chamber 500 that may be positioned within or replace one or more of the processing chambers, such as processing chambers 140, 160 and 180 ( Figures 1 - 2C) disposed in the processing system 100.
  • Figure 5A is a side cross-sectional view of the processing chamber 500 that is aligned relative to the transfer direction, or parallel to the X-direction of the processing system 100.
  • Figure 5B is a side cross-sectional view of the processing chamber 500 that is aligned relative to a direction that is orthogonal to the transfer direction, or parallel to the Y-direction.
  • the processing chamber 500 comprises one or more deposition sources, such as deposition sources 560A-560D shown in Figure 5A, gas sources 528 and 529, a power source 530, chamber walls 502 that at least partially enclose a portion of the processing region 210 (e.g., processing region 506), and at least a portion of the substrate automation system 515.
  • the walls 502 generally comprise a material that can structurally support the loads applied by the environment 543, which is external to the processing region 506, when it is heated to a desirable temperature and pumped to a vacuum pressure by a vacuum pump 542.
  • the walls 502, which are similar to the walls 202 shown in Figures 2A-2C, generally comprise a material such as an aluminum material or stainless steel.
  • the portion of the substrate automation system 515 comprises an intermediate conveyor 221 that is adapted to support, guide move the substrates 200 through the processing chamber by use of one or more actuators (not shown), for example, a stepper motor or servo motor.
  • the intermediate conveyor 221 comprises a two or more rollers 512 and a belt 513 that are configured to support and move the rows of substrates 200 in a positive +X- direction during processing.
  • each of the deposition sources 560A-560D are coupled to at least one gas source, such as gas sources 528 and 529, that is configured to deliver one or more processing gases to a processing region 525 formed with the processing region 506, and below each of the deposition sources and over the surface of a substrate 200 disposed there under.
  • Gas lines 524 and 526 facilitate transfer of gases from the gas sources 528, 529 to the deposition sources 560A-560D
  • the deposition sources 560A-560D are generally configured to extend over the substrates 200 disposed on the substrate automation system 515.
  • the electrode 580 may include a heating element 584, such as resistive heating element 584 that may be powered by a separate heater power supply (not shown).
  • the electrode 580 is positioned proximal to the substrates 200 in order to heat the substrate 200 to a temperature of about 200 °C to about 550 °C during processing.
  • the electrode 580 and/or heating element 584 may be fabricated from an electrically conductive material to function as a ground or radio frequency (RF) electrode to act as an electrode in a capacitively coupled plasma.
  • RF radio frequency
  • deposition sources 560A, 560B, 560C, 560D to process or deposit a layer on the substrates in a serial manner the properties of the deposited layer can be greatly improved over conventional processing techniques.
  • films that have differing composition, graded composition and/or differing physical structure ⁇ e.g., mass density, crystal structure can be created during the deposition sequence performed in the processing system.
  • a high quality passivation layer is first deposited on the surface of a substrate, such as the first layer 321 that is disposed on the substrate surface 305 of the substrate 310 ( Figure 3), is deposited at a first deposition rate using a first mixture of processing gasses and plasma power, and then a passivation layer having different properties (e.g., refractive index, stress, etc.) and/or a lower quality is deposited over the surface of the high quality passivation layer, such as the second layer 322 illustrated in Figure 3, is deposited at a second deposition rate, which is higher than the first deposition rate, using a second mixture of processing gases and plasma power.
  • a passivation layer having different properties e.g., refractive index, stress, etc.
  • Figure 5C is a schematic side cross-sectional view of an embodiment of the processing chamber 500, that may be positioned within or replace one or more of the processing chambers, such as processing chambers 140 and 160 ( Figures 1A- 2C) disposed in the processing system 100.
  • the processing chamber 500 comprises one or more deposition sources, such as deposition sources 560A-560D, gas sources 528 and 529, one or more power sources 531 (four are shown), chamber walls 502 that at least partially enclose a portion of the chamber volume 506, and at least a portion of the conveyor transfer system 221 .
  • Deposition sources 560A-560D are adapted to form a layer on the surface of the substrates 200 as the substrates 200 pass under and adjacent to the deposition sources 560A-560D.
  • each of the deposition sources 560A-560D are coupled to at least one gas source, such as gas sources 528 and 529, that is configured to deliver one or more process gases to a processing region 525 formed with the chamber volume 506, and below each of the deposition sources 560A-560D and over the surface of a substrate 200 disposed there under.
  • gas sources 528 and 529 that is configured to deliver one or more process gases to a processing region 525 formed with the chamber volume 506, and below each of the deposition sources 560A-560D and over the surface of a substrate 200 disposed there under.
  • the deposition sources 560A-560D will generally include at least one gas delivery element, such as a first gas delivery element 581 and second gas delivery element 582, which are each configured to direct the process gases to the processing region 525.
  • the first gas delivery element 581 includes a fluid plenum 561 that is configured to receive the process gas from a gas source 528 and deliver the received gas to the processing region 525 through one or more openings 563 formed therein.
  • the second gas delivery element 582 comprises a fluid plenum 562 that is configured to receive the process gas from a gas source 529 and deliver the received gas to the processing region 525 through one or more openings 564 formed therein.
  • the gas sources 528 and 529 are generally configured to provide one or more precursor gases and/or carrier gases that are used to deposit a layer on the surface of the substrates 200 via deposition process, such as a PECVD process.
  • At least one of the gas sources 528 and 529 is configured to deliver a silicon-containing gas to the deposition sources 560A-560D.
  • the silicon-containing gas may be selected from a group consisting of silane, disilane, chlorosilane, dichlorosilane, trichlorosilane, dibromosilane, trimethylsilane, tetramethylsilane, tridimethylaminosilane (TriDMAS), tetraethoxysilane (TEOS), triethoxyfluorosilane (TEFS), silicon tetrachloride, silicon tetrabromide, 1 ,3,5,7- tetramethylcyclotetrasiloxane (TMCTS), dimethyldiethoxy silane (DMDE), octomethylcyclotetrasiloxane (OMCTS), methyldiethoxysilane (MDEOS), bis(tertiary- butylamin
  • the oxygen-containing gas may be selected from a group consisting of oxygen (O2), nitrous oxide (N 2 O), ozone (O3), and combinations thereof.
  • the silicon-containing gas is silane and the oxygen-containing gas is O2.
  • the silicon-containing gas and the oxygen-containing gas may form a dielectric layer on the surface of the substrates 200.
  • At least one of the gas sources 528 and 529 is configured to deliver an aluminum containing gas and an oxygen containing gas to a deposition source 560A-560D.
  • the oxygen-containing gas may be, for example, oxygen O 2 .
  • FIG 6A illustrates an aisle side view of the processing chambers 140, 160, according to one embodiment of the invention.
  • the processing chambers 140, 160 may each comprise chamber body 502, vacuum pumps 542, and power supply 530 which are described in greater detail with regard to Figures 5A-5C.
  • An exhaust conduit 601 is coupled to the vacuum pumps 542 and enables the evacuation of gases from the chambers 140, 160.
  • the exhaust conduit 601 is coupled between the vacuum pumps 542 and a facilities exhaust (not shown).
  • a first door 602 and a second door 603 are coupled to a first sidewall 502a of the chamber body 502.
  • the first sidewall 502a may at least partially define an aisle side of the processing chamber 140, 160.
  • the first door 602 and the second door 603 are disposed adjacent one another and at least partially define the processing region 525 formed within the processing chamber 140, 160.
  • the processing region 525 may form part of the processing region 210 ( Figures 2A-2C).
  • the doors 602, 603 are coupled to the chamber body 502 by one or more first hinged brackets 605.
  • the first hinged brackets 605 may be fastened to both the chamber body 502 and the doors 602, 603 by fastening members, such as bolts or screws.
  • the first hinged brackets 605 are mounted to the chamber body 502 and provide a pivot point about which the doors 602, 603 can be pivoted between an open position (shown in Figure 6C) and a closed position (shown in Figure 6A).
  • the first hinged brackets 605 may be floating brackets, such that the first hinged brackets are moveable relative to the first sidewall 502a. It is contemplated that utilizing a floating bracket may improve the sealing of the doors 602, 603 to the chamber body 502 when the processing chambers 140, 160 are maintained under vacuum.
  • the first hinged brackets 605 are coupled to the chamber body 502 above the doors 602, 603.
  • first gas springs 604 are also coupled between the doors 602, 603 and the chamber body 502.
  • the first gas springs 604 are coupled to the doors 602, 603 at or near the first hinged brackets 605.
  • the first gas springs 604 assist in the opening and closing of the doors 602, 603.
  • two first gas springs 604 are provided for each door 602, 603.
  • the first gas springs 604 may be hydraulic springs or may utilize various compressed fluids to assist in opening and closing of the doors 602, 603.
  • a first plurality of clamps 606 are also disposed on the first sidewall 502a of the chamber body 502. When the doors 602, 603 are closed, the first clamps 606 engage a portion of the doors 602, 603 to cause a seal to form between the doors 602, 603 to the chamber body 502.
  • an o-ring (not shown) is positioned between each door 602, 603 and the chamber body 502 to create a reliable seal.
  • the first clamps 606 may be disengaged when it is desirable to open the doors 602, 603.
  • the first clamps 606 may be mechanically or electrically operated to engage and disengage the doors 602, 603.
  • a base 607 is coupled to the chamber body 502 to support and position the chamber body 502 relative the floor on which the chamber body 502 rests.
  • FIG. 6B illustrates a service side view of the processing chambers 140, 160.
  • a third door 612 and a fourth door 613 are coupled to a second sidewall 502b of the chamber body 502.
  • the second sidewall 502b may at least partially define a service side of the chamber 140, 160.
  • the third door 612 and the fourth door 613 are disposed adjacent one another and at least partially define the processing region 525 that is internal to the chamber 140, 160.
  • the doors 612, 613 are coupled to the chamber body 502 by one or more second hinged brackets 615.
  • the second hinged brackets 615 may be fastened to both the chamber body 502 and the doors 612, 613 by fastening members, such as bolts or screws.
  • the second hinged brackets 615 are mounted to the chamber body 502 and provide a pivot point about which the doors 612, 613 can be pivoted between an open position (shown in Figure 6F) and a closed position (shown in Figure 6B).
  • the second hinged brackets 615 may also include floating brackets, similar to the first hinged brackets 605 described above.
  • the second hinged brackets 615 are coupled to the chamber body 502 below the doors.
  • One or more second gas spring 614 are also coupled between the doors 612, 613 and the chamber body 502 or an extension of the chamber body 502.
  • the second gas springs 614 are coupled to the doors 612, 613 at or near the second hinged brackets 615.
  • the second gas springs 614 assist in opening and closing of the doors 612, 613.
  • two second gas springs 614 are provided for each door 612, 613.
  • the second gas springs 614 may be hydraulic springs or may utilize various compressed fluids to assist in opening and closing of the doors 612, 613.
  • locking members such as quick release pins, may be coupled to the first hinged brackets 615 and second hinged brackets 615 to assist in locking the doors, 602, 603 and 612, 613, respectively, in a desired position.
  • the locking members may secure the doors 602, 603, 612, 613 in a fully opened position or a partially opened position.
  • a second plurality of clamps 616 are also disposed on the first sidewall 502a of the chamber body 502. When the doors 612, 613 are closed, the second clamps 616 engage a portion of the doors 612, 613 to seal the doors 612, 613 to the chamber body 502. In one configuration, an o-ring (not shown) is positioned between each door 612, 613 and the chamber body 502 to create a reliable seal. The second clamps 616 may be disengaged when it is desirable to open the doors 612, 613. The second clamps 616 may be mechanically or electrically operated to engage and disengage the doors 612, 613. [0103] A gas coupling assembly 610 is coupled to the second sidewall 502b of the chamber body 502.
  • the gas coupling assembly 610 connects gas conduits that are coupled to gas sources that are positioned outside of the chamber 140, 160 to the gas delivery element 581 and the deposition sources 582 positioned within the processing region 525.
  • the gas coupling assembly 610 is disposed through the chamber body 502, such that the gas delivery element 581 and deposition sources 582 sealably couple to the gas conduits for delivering gas into the processing region 525 of the chamber 140, 160.
  • the gas coupling assembly 610 comprises ports which extend through the second sidewall 502b, and are used to enable a flow of fluid between a gas source and the processing region 525.
  • a flexible housing 609 ( Figures 6H-6K), which is part of a gas delivery system, couples gas conduits to the gas coupling assembly 610.
  • the flexible housing 609 may extend through the second sidewall 502b at the gas coupling assembly 610.
  • the gas conduits and associated electrical components may be maintained within the flexible housing 609.
  • the chambers 140, 160 may be maintained under vacuum during processing, a volume defined by the flexible housing may remain at atmospheric or near atmospheric pressure.
  • the gas delivery system is described in greater detail with regard to Figures 6H-6K.
  • Figure 6C illustrates a perspective view of the aisle side of the chamber 140, 160.
  • the first door 602 is in an opened, or a raised position, to expose a first door opening 602a through the first sidewall 502a of the chamber body 502.
  • the second door 603 is in an opened, or raised position, to expose a second door opening 603a.
  • the shape of the first door 602 is similar to the shape of the first door opening 602a.
  • the shape of the second door 603 is similar to the shape of the second door opening 603a.
  • the doors 602, 603 are sized slightly larger than the openings 602a, 603a, respectively, such that the doors 602, 603 completely cover the openings 602a, 603a when the doors 602, 603 are in a closed position.
  • the clamps 606 are disengaged and the gas springs 604 are extended to enable the doors 602, 603 to open and expose the first openings 602a, 603a, respectively.
  • a first sealing member 690 may be disposed on the first sidewall 502a around the perimeter of the first door opening 602a and the second door opening 603a.
  • the first sealing member 690 such as an o-ring, may be made of an elastomeric material.
  • the first sealing member 690 functions to provide continuous contact between the first sidewall 502a and the doors 602, 603 when the doors 602, 603 are in a closed position.
  • the first sealing member 690 may be disposed on the doors 602, 603 instead of the first sidewall 502a.
  • FIG. 6D illustrates a side cross-sectional view of the chamber 140, 160.
  • Deposition sources 560A-560D are disposed in the processing region 525.
  • the deposition sources 560A-560D comprise the first gas delivery element 581 and the second gas delivery element 582.
  • the deposition sources 560A-560D are each coupled to a sliding assembly 625.
  • the sliding assembly 625 is coupled to a bracket 620 and the bracket 620 is coupled to the chamber body 502.
  • the bracket 620 may be T-shaped and a central member 621 of the bracket 620 may be coupled to the sliding assembly 625.
  • the sliding assembly 625 translates relative to the bracket 620 which is fixed in place such that the deposition sources 560A-560D can extend and retract along a datum axis, which is aligned into and out of the page of Figure 6D.
  • the sources 560A-560D may be configured to translate in concert with one another or independently of each other. For example source 560A may be translated along the datum axis, while sources 560B-560D remain within the processing region 525 of the chamber body 502.
  • the gas delivery element 581 may remain in a fixed position when the sources 560A-560D are translated along the datum axis.
  • four brackets 620, four sliding assemblies 625 and four deposition sources 560A-560D are provided in the chamber 140, 160.
  • brackets 620, sliding assemblies 625, and deposition sources 560 may be provided in the chamber 140, 160.
  • the deposition sources 560A-560D are discussed in greater detail with regard to Figure 6E.
  • FIG. 6E illustrates a schematic, cross-sectional view of the deposition source 560A.
  • the gas delivery element 581 which may include a nozzle, is typically used for introducing processing gas into the processing region 525.
  • Gas delivery elements 582 (two are shown) are disposed adjacent to the gas delivery element 581 , and are adapted to deliver a second process gas to the processing region 525.
  • Each of the gas delivery elements 581 , 582 are coupled to a gas source, such as the gas source 528 or 529 (See Figure 5C), which are described above.
  • the gas delivery element 581 may be coupled to the gas source 528, and the gas delivery element 582 may be coupled to the gas source 529.
  • the central member 621 of the bracket 620 is coupled to the sliding assemblies 625.
  • the sliding assemblies 625 comprise a first member 626 and a second member 627 which move along a single axis relative to one another.
  • the first member 626 is slidably coupled to the second member 627, which is fixably coupled to the central member 621 .
  • the bracket 620, central member 621 , and sliding assembly 625 are described in greater detail with regard to Figures 6L-6M.
  • the deposition source 560A also includes a housing 660 in which electrodes 662 are enclosed.
  • the electrodes 662 may be coupled to a common power supply 530 (shown in Figure 6A).
  • the power supply 530 is an AC power supply.
  • the electrodes 662 are encircled by a target 664, such as a silicon target, that protects the electrodes 662 from plasma erosion during deposition processes.
  • the target 664 is a sacrificial material and may contribute to the formation of material on the substrate 200 via sputtering.
  • the target may also include materials other than silicon, such as aluminum.
  • the target composition may share a common element with a precursor gas.
  • a cooling block 668 is also disposed in the housing 660 and includes cooling passages 670a formed therein to facilitate cooling of deposition source components.
  • a cooling jacket having cooling passages 670b formed therein may also be disposed within the housing 660 to further enhance cooling.
  • the gas delivery elements 582 include cavity portions 672 that are bound by a shield 676.
  • magnets or magnet shunts facilitate plasma formation and shape a magnetic field that affects the deposition.
  • a pole cover 682 may shield the magnets or magnetic shunts.
  • the power supply 530 is an alternating current power supply with a frequency range between 20 kHz to 500 kHz, such as 40 kHz.
  • reactive and/or inert gases are supplied from a gas source, such as gas source 529, and introduced to the processing region 525 through the cavity portions 672.
  • a second gas is introduced to the processing region 525 through the gas delivery element 581 .
  • the magnets and magnet shunts facilitate formation of plasma from process gases located in the processing region 525, thereby inducing deposition of material on a substrate located within the processing region 525.
  • the formation of the plasma adjacent the gas delivery elements 582 often undesirably results in film formation on surfaces of the deposition source 560A, particularly the shield 676 and the pole cover 682.
  • the deposited film is subject to flaking off, especially when the film contains silicon nitride, which can negatively impact the performance of processed devices. While processing can be stopped after every deposition to remove the film from the shield 676, the cleaning process results in a significant reduction in production, and therefore, minimizing cleaning frequency is desirable.
  • FIG. 6F illustrates a perspective view of the service side of the chamber 140, 160.
  • the third door 612 is in an opened, or lowered position, to expose a third door opening 612a through the second sidewall 502b of the chamber body 502.
  • the fourth door 613 is in an opened, or lowered position, to expose a fourth door opening 613a.
  • the shape of the third door 612 is similar to the shape of the third door opening 612a.
  • the shape of the fourth door 613 is similar to the shape of the fourth door opening 613a.
  • the doors 612, 613 are sized slightly larger than the openings 612a, 613a, respectively, such that the doors 612,
  • a second sealing member 692 may be disposed on the second sidewall 502b around the perimeter of the third door opening 612a and the fourth door opening 613a.
  • the second sealing member 692 may be similar to the first sealing member 690.
  • the second sealing member 692 functions to provide continuous contact between the second sidewall 502b and a door 612, 613 when the door 612, 613 is in a closed position.
  • the second sealing member 692 may be disposed on the door 612, 613 instead of the second sidewall 502b.
  • the gas coupling assembly 610 are disposed on the second sidewall 502b above the door openings 612a, 613a. In one embodiment, the gas coupling assembly 610 are disposed on the second sidewall 502b adjacent the second clamps 616.
  • Figure 6G illustrates a perspective view of the aisle side of the chamber 140, 160.
  • the first door 602 and the second door 603 are in an opened position to expose the first door opening 602a and the second door opening 603a, respectively.
  • the fourth deposition source 560D which is coupled to the sliding assembly 625, is fully extended from the processing region 525 beyond the second door opening 603a.
  • the third deposition source 560C is partially extended through the second door opening 603a.
  • first deposition source 560a and the second deposition source 560b are not extended, one will appreciate that the first deposition source 560a and the second deposition source 560b may also be extended from the processing region 525 beyond the first door opening 602a similar to the deposition sources 560C-560D. It is contemplated that the deposition sources 560A-560D may extend fully beyond the openings 602a, 603a such that the entire deposition source 560A-560D is outside of the processing region 525.
  • the door openings 602a, 603a are sized such that the sources 560A- 560D may move easily through the openings 602a, 603a. As such, the deposition sources 560A-560D are easily accessed and may be cleaned, serviced, and maintained in an efficient manner.
  • FIG. 6H illustrates a perspective view of the service side of the chamber 140, 160.
  • the deposition sources 560A-560D are extended out from the processing region 525. Although only the deposition source 560D is shown, the remainder of the deposition sources 560A-560C are also extended.
  • Flexible housings 609 which are each separately coupled to the sources 560A-560D, extend through the gas coupling assembly 610 formed on the second sidewall 502b.
  • the flexible housing 609 is positioned by a frame member 61 1 which is coupled to the second sidewall 502b.
  • the flexible housing 609 and the frame member 61 1 are described in greater detail below.
  • Figure 6I illustrates an end view of the chamber 140, 160.
  • the doors 603, 612 are in an open position and the source 560D is extended out from the processing region 525 through the second door opening 603a.
  • Fluid conduits which are disposed in the flexible housing 609, are coupled to the deposition sources 560A-560D, and extend with the deposition sources 560A-560D.
  • the flexible housing 609 is formed from a flexible material, such as plastic or rubber tubing, which is capable of moving with the deposition sources 560A-560D as the deposition sources 560A-560D translate into and out from the processing region 525 by use of the sliding assembly 625 ( Figure 6G).
  • the flexible housing 609 is positioned adjacent the second sidewall 502b by the frame member 61 1 .
  • the frame member 61 1 is coupled to the chamber body 502 and provides a pathway along which the flexible housing 609 moves.
  • the frame member 61 1 may be formed from a rigid material, such as aluminum or stainless steel, and provide a track or pathway through which the flexible housing 609 translates.
  • Figure 6J illustrates the end view of the chamber 140, 160 with the deposition sources 560A-560D in a retracted position.
  • the deposition sources 560A- 560D are retained within the processing region 525 and the flexible housing 609 is in a retracted position.
  • the flexible housing's position is maintained by the frame member 61 1 , however, the flexible housing 609 extends further above the frame member 61 1 as a result of the retracted position of the deposition sources 560A-560D.
  • frame member 61 1 guides the flexible housing 609 between an extended and retracted position.
  • the flexible housing 609, and the conduits contained therein, enable the deposition sources 560A-560D to remain coupled to the fluid sources while the deposition sources 560A-560D are extended from the processing region 525 for cleaning or maintenance. As such, downtime during cleaning and maintenance are reduced because the necessity of decoupling and recoupling the fluid conduits to the deposition sources 560A-560D is eliminated by the use of the flexible housing 609.
  • the hoses disposed within the flexible housing 609 may be isolated from vacuum and maybe maintained at or have an atmospheric pressure during processing.
  • Figure 6K illustrates an end view of the chamber 140, 160.
  • the second door 603 and the third door 612 are disposed in partially opened positions.
  • the second door 603 and first door 602 (not shown) open upward from a closed position to an open position to expose the second door opening 603a and the first opening 602a (not shown).
  • the second door 603 pivots about the first hinged bracket 605 with assistance from the gas springs 604.
  • the third door 612 and fourth door 613 (not shown) open downward from a closed position to an open position to expose the third door opening 612a and fourth door opening 613a (not shown).
  • the third door pivots about the second hinged brackets 615 with assistance from the gas springs 614.
  • Figure 6L illustrates a perspective partial cut-away view of the chamber 140, 160.
  • the bracket 620 is coupled to the chamber body 502 and the sliding assembly 625 is coupled between the bracket 620 and the deposition sources 560A-560D.
  • the sliding assembly 625 extends relative to the bracket 620 such that the deposition sources 560A-560D may extend and retract from the processing region 525 inside the chamber body 502.
  • the sliding assembly 625 is described in greater detail with regard to Figure 6M.
  • FIG. 6M schematically illustrates the bracket 620 and the sliding assembly 625 of Figure 6L.
  • the bracket 620 comprises the central member 621 which is coupled to the sliding assembly 625.
  • the sliding assembly 625 comprises a first carriage assembly 630, a second carriage assembly 632, end plates 636, 638, and a telescopic member 634.
  • the first carriage assembly 630 is coupled to the central member 621 of the bracket 620.
  • the first carriage assembly 630 may also be coupled to a first end plate 636.
  • the first end plate 636 may be coupled to the central member 621 .
  • the telescopic member 634 is disposed between the first carriage assembly 630 and the second carriage assembly 632.
  • the telescopic member 634 is sildably coupled to the first carriage assembly 630 at a first end (adjacent the first end plate 636) such that the telescopic member 634 may translate relative to a fixed position of the first carriage assembly 630.
  • the second carriage assembly 632 is coupled to the telescopic member 634 at a second end (adjacent to a second end plate 638).
  • the second carriage assembly 632 is fixably coupled to the telescopic member 634.
  • the telescopic member 634 translates along the datum axis relative to the first carriage assembly 630.
  • the second carriage assembly 632 is movably coupled to the telescopic member 634.
  • the telescopic member 634 translates along the datum axis relative to both the first carriage assembly 630 and the second carriage assembly 632.
  • the deposition sources 560A-560D are fixably coupled to the second carriage assembly 632 (See Figure 6L).
  • the telescopic member 634 may comprise a plurality of ball bearings, linear bearings, or the like to enable the translative capabilities of the sliding assembly 625.
  • a first plurality of telescoping rods are coupled between the first carriage assembly 630 and the second end plate 638 and a second plurality of telescoping rods are coupled between the first end plate 636 and the second carriage assembly 632.
  • the telescoping rods may also comprise linear bearings.
  • Figure 6N illustrates a partial perspective view of the second door 603 in an open position and the deposition source 560D disposed within the processing region 525.
  • a pull member 644 and a first support element 646 are coupled to the housing 660 of the second gas delivery element 582.
  • the pull member 644 may be sized to enable an individual to grip the pull member 644 and pull the deposition source 560D through the second door opening 603a out from the processing region 525.
  • the pull member 644 is U-shaped and made from a metallic material, such as aluminum or stainless steel.
  • the pull member 644 may be coupled to the housing 660 by one or more fasteners, such as bolts or screws.
  • the first support element 646 is also coupled to the housing 660.
  • the first support element 646 is coupled to the housing 660 below the pull member 644. Similar to the pull member 644, the first support member may be made from a metallic material, such as aluminum or stainless steel.
  • the first support element 646 is trapezoidal in shape and configured to abut with a second support element 648 that is mounted to a door (e.g., second door 603) which is described in greater detail in Figure 6O. It is contemplated that the pull member 644 and the first support element 646 may not extend beyond a plane of the second door opening 603a when the deposition source 560D is in a fully retracted position.
  • Figure 6O illustrates a cross-sectional side view of Figure 6N.
  • the second support element 648 is coupled to an interior surface 649 of the second door 603.
  • the second support element 648 may be formed from a metallic material, such as aluminum or stainless steel, and may be fastened to the interior surface 649 of the second door 603 by fasteners, such as bolts or screws.
  • the second support element 648 is trapezoidal in shape and configured to abut the first support element 646. As such, when the second door 603 is in a closed position, the first support element 646 and the second support element 648 abut one another on at least one surface of each element 646, 648 so that the housing 660 is at least partially supported by the door 603. Therefore, the second support element 648 functions to support the deposition source 560D during processing when the second door 603 is in a closed position.
  • first support element 646 and the second support element 648 may be employed on all the deposition sources 560A- 560D and the appropriate interior surfaces 649 of the respective doors 602, 603.
  • Figure 6P is a schematic, cross-sectional view of a portion of a deposition sources 560A-560D and the second sidewall 502b.
  • a dowel 650 may be coupled to each housing 660 of the deposition members 560A-560D.
  • the dowel 650 may be fastened to the housing by bolts or the like or may be press fit into a channel formed in the housing 660.
  • the bullet dowel may be made from a metallic material, such as aluminum or stainless steel, or may be a ceramic material. At least a portion of the dowel 650 extends beyond the housing 660 and may couple with one or more channels 652, 654 formed in an interior surface 655 of the second sidewall 502b.
  • One or more compliant members 656 may be disposed between the interior surface 655 of the second sidewall 502b and the housing 660.
  • a first compliant member 656 is coupled to the housing 660.
  • the first compliant member 656, such as an o-ring made of an elastomeric material, may be configured to contact the interior surface 655 of the second sidewall 502b.
  • the first compliant member is coupled to the interior surface 655 of the second sidewall 502b.
  • the first compliant member 656 is configured to contact the housing 660.
  • the first compliant member 656 may be disposed above the dowel 650 on either the housing 660 or the interior surface 655 of the second sidewall 502b and surround the opening 61 OA in the gas coupling assembly 610 in which the flexible housing 609 is disposed.
  • the deposition sources 560A-560D are in a fully retracted processing position, fluids which are provided through the gas coupling assembly 610 to the first gas delivery element 581 and the second gas delivery element 582 are sealed from the processing region 525 by the compliant members 656.
  • the dowel 650 helps to properly align the deposition sources 560A-560D when the housing 660 abuts the interior surface 655 of the second wall 502b.
  • the dowel 650 comprises a flat end region 657 and an angled portion 659. It is believed that the angled portion 659 provides for smooth insertion of the dowel 650 into the channels 652, 654.
  • Fluctuations in the temperature of the substrate automation system 515 during maintenance and normal processing activities can create problems that prevent the substrate automation system 515 from reliably transferring substrates through the processing system 100.
  • one or more of the embodiments of the substrate automation system 515 described herein may be utilized to reliably transfer substrates within an environment where the temperature may fluctuate between room temperature ⁇ e.g., about 20 degrees C to about 25 degrees C) and processing temperatures of about 300 degrees C, or greater.
  • embodiments of the invention may be used in other apparatus or automation devices for other processes.
  • embodiments of the invention may be used in other apparatus where temperatures may vary between low temperature extremes ⁇ e.g., 0 degrees C, or below) to room temperature, or greater.
  • the substrate automation system 515 may be used for transferring substrates in or through processing chambers of the processing system 100 (shown in Figures 1A- 1 B and 2A-2C).
  • Figure 7A is a side cross-sectional view of one embodiment of a processing chamber 700 that may form one or more processing chambers in the processing system 100.
  • the processing chamber 700 may be the processing chamber 140 or 160 and one or more peripheral chambers 705A and 705B on each side thereof may be the processing chambers 130 or 155 as shown in Figures 1A-1 B and 2A-2C.
  • the processing chamber 700 may comprise one or more processing sources, such as sources 710, chamber walls 702 that at least partially enclose a portion of a processing region 210, and at least a portion of the substrate automation system 515.
  • a vacuum pump 799 may be coupled to the processing chamber 700 to facilitate vacuum pressure within the processing region 210.
  • Each of the sources 710 may include a deposition device, such as a gas distribution device having an energy source coupled thereto, which is configured to flow a precursor gas therethrough, and deliver energy to the gas in the processing region 210 and/or the substrates 200 to facilitate the deposition of thin films on the substrates 200.
  • the sources 710 each comprise a radiant source 71 1 , such as an IR lamp, tungsten lamp, arc lamp, microwave heater or other radiant energy source that is configured to deliver energy to a surface of the substrates 200 disposed in the processing region 210 of the processing chamber 700 as they are transferred by the substrate automation system 515.
  • each of the sources 710 include a reflector 713.
  • the sources 710 include a gas distribution device 714 that supplies a precursor gas to a volume of the processing region 210 proximate to the source 710.
  • the substrate automation system 515 comprises an embodiment of a conveyor 716 comprising one or more continuous drive members 718 (only one is shown in the side view of Figure 7A ) as well as support rollers 512 that support and drive the one or more continuous drive members 718.
  • the continuous drive members 718 may comprise an endless drive member, such as a belt, a chain, or a cable.
  • the endless drive member may be fabricated from metallic materials capable of withstanding the processing environment gases and temperatures endured by the substrates 200 during processing, such as stainless steel, aluminum, alloys thereof and combinations thereof.
  • the one or more continuous drive members 718 may be coupled to a supporting material 709 that is configured to support the substrates 200 thereon.
  • the supporting material 709 comprises a continuous web of material that provides friction between the substrates 200 and the supporting surface thereof, and is capable of withstanding exposure to the processing environment gases and temperatures endured by the substrates 200 during processing ⁇ e.g., a mesh of stainless steel or high temperature resistant polymeric materials).
  • the supporting material 709 may comprise a plurality of support members, such as bars or slats, that are coupled between each of the continuous drive members 718.
  • the supporting material 709 may be made of a metallic material or a ceramic material.
  • the peripheral chambers 705A, 705B may also include a conveyor that is similar to the conveyor 716 as well as the pass-through conveyor device 721 shown in the processing chamber 700.
  • the conveyor 716 supports and transfers substrates 200 within and through the processing chamber 700.
  • the conveyor 716 may also facilitate transfer of substrates 200 between the processing chamber 700 and the peripheral chambers 705A, 705B through transfer ports 717 utilizing a pass-through conveyor device 721 .
  • the conveyor 716 may be a main or first conveyor and the pass-through conveyor device 721 may be a secondary or second conveyor.
  • the pass-through conveyor device 721 may be disposed at one or both ends of the conveyor 716.
  • the transfer ports 717 may be configured as the slit valve assemblies 417 shown in Figure 2C and include a movable door 719A that is driven to open and close by an actuator 719B.
  • At least one of the support rollers 512 is configured to interface with the continuous drive member 718 to facilitate positive drive of the conveyor 716.
  • Examples of configurations of the support rollers 512 include a sheave, a pulley, or a sprocket.
  • the rollers 512 may be electrically and/or mechanically driven by a common drive system (not shown) such that they are moved in unison.
  • one of the support rollers 512 may be coupled to a drive system (not shown) and the remainder of the support rollers 512 may be idler rollers.
  • the various drive signals for the drive system used to drive the support rollers 512, and control signals for the transfer ports 717 and other system actuators are provided by a system controller 1 10.
  • One or more electrodes 580 shown in Figure 5A
  • One or more electrodes 580 that may include a heating element as described in Figure 5A may be disposed between the support rollers 512 to heat the substrates 200 while being supported by the conveyor 716.
  • the electrodes 580 and/or the sources 710 can be used to deliver a desired amount of energy to the substrates 200 to heat them to a desired temperature for deposition of materials thereon.
  • the desired temperature may be 300 degrees C, or greater, depending on the process.
  • Elements within the processing region 210 are exposed to the provided heat and are made of materials having properties that resist failure under these conditions.
  • the continuous drive members 718 will elongate proportionally, due to thermal expansion caused by the increase in temperature created by the heating of the chamber components during processing. Depending on the length of the continuous drive members 718, the elongation may be substantial.
  • the elongation of the continuous drive members 718 may cause slippage, as well as unsteady and/or uncontrollable drive motion, as the slack in the continuous drive members 718 compromises the interaction between the support rollers 512 and the continuous drive members 718.
  • one or more drive member supports 720 and 725 may be positioned to contact the continuous drive members 718.
  • the drive member supports 720 and 725 provide support for the continuous drive members 718, and may also provide controllable tensioning of the continuous drive members 718.
  • one or more of the drive member supports 720 may be configured solely for support and may be manually adjusted during maintenance intervals to take up slack in the continuous drive members 718.
  • the drive member support 725 is a temperature-compensating tensioner that continually takes up slack in the continuous drive members 718 in-situ before, during, and/or after processing.
  • the number and/or spacing of the drive member supports 720, 725 is only exemplary, and any one or combination of the drive member supports 720 and 725 may be used alone or in conjunction with other drive member supports and/or tensioners.
  • FIG. 7B is an isometric view of a portion of the substrate automation system 515 having conveyors 716 that may be utilized in the processing chamber 700 of Figure 7A, as well as the peripheral chambers 705A, 705B of Figure 7A, according to one embodiment of the invention.
  • each conveyor 716 comprises a first continuous drive member 723A and a second continuous drive member 723B that corresponds to the one or more continuous drive members 718 as described in Figure 7A.
  • the first continuous drive member 723A and the second continuous drive member 723B may be spaced-apart a distance that facilitates support of a substrate 200 thereon.
  • the first continuous drive member 723A and the second continuous drive member 723B comprise a drive chain 724.
  • Each drive chain 724 is at least partially supported by the support rollers 512, which may comprise sprockets, and one or more drive member supports 720 and/or 725.
  • the drive member support 725 comprises a temperature- compensating tensioner 726.
  • the temperature-compensating tensioner 726 may be configured to tension the drive chain 724 based on temperature changes found in the drive chain 724. In one configuration, the temperature-compensating tensioner 726 tensions the first continuous drive member 723A and the second continuous drive member 723B during temperature changes that may be encountered during processing in the processing chamber 700.
  • the supporting material 709 comprises a plurality of support members 727.
  • Each of the plurality of support members 727 may comprise a bar or tubular member that spans a width between the drive chains 724. A portion of the plurality of support members 727 are not shown in Figure 7B in order to show portions of the first continuous drive member 723A.
  • Each of the plurality of support members 727 may comprise a metallic material, such as titanium, stainless steel, aluminum, or other process compatible material that resists bending moments at elevated temperatures.
  • the plurality of support members 727 comprise a ceramic material, such as silicon carbide (SiC).
  • the plurality of support members 727 comprise a metallic or ceramic base material that is coated with another material that resists film formation on surfaces thereof. Spacing of the plurality of support members 727 in the X-Y plane may be as close as possible while allowing enough space therebetween to allow bending of the drive chains 724 about the support rollers 512 and also preventing binding of the support members 727 when the support members 727 are on the flat portions of the conveyor 716 ⁇ e.g., between the outermost support rollers 512). Having the support members 727 spaced closely together prevents or minimizes deposition on the backside of the substrates during processing.
  • the plurality of support members 727 may be joined to the drive chains 724 by fasteners, such as bolts, screws or rivets.
  • a support member 727 is coupled to each link of the drive chains 724 in such a way that they do not interfere with bending of the drive chains 724 about the support rollers 512 and/or binding on the flat portions of the conveyor 716.
  • the drive chains 724 may include a single protruding member 728 (only one is shown on the lowermost conveyor 716) that is coupled with a link of the drive chains 724.
  • the protruding member 728 is utilized to monitor movement of the drive chains 724 as it passes a sensor 729 which may be coupled to the temperature-compensating tensioner 726.
  • the protruding member 728 may be disposed on a connecting link of the respective drive chain 724, in one embodiment, and is used for monitoring the movement of the conveyors 716.
  • the sensor 729 may be a proximity sensor that operates capacitively, magnetically, mechanically, and combinations thereof.
  • FIG. 7C is a side view of a portion of the continuous drive member 723B of Figure 7B.
  • the temperature-compensating tensioner 726 comprises a fixed support member 730 and a movable support arm 731 that is pivotably coupled to the fixed support member 730 by a fastener 732A.
  • the temperature-compensating tensioner 726 also includes a first idler roller 733 coupled to the fixed support member 730 by a fastener 732B.
  • the periphery of the first idler roller 733 is adapted to interface with an inside surface 734A of the drive chain 724 of the continuous drive member 723B.
  • the support arm 731 includes a proximal end 735A that is coupled to the fixed support member 730 and a distal end 735B having a second idler roller 736 coupled thereto by a fastener 732C.
  • first idler roller 733 is adapted to contact the inside surface 734A of the drive chain 724
  • the periphery of the second idler roller 736 is adapted to interface with an outside surface 734B of the drive chain 724.
  • the interface between the first idler roller 733 and the second idler roller 736 with the drive chain 724 may be changed such that the second idler roller 736 contacts the inside surface 734A of the drive chain 724 and the first idler roller 733 contacts the outside surface 734B of the drive chain 724.
  • the temperature-compensating tensioner 726 also includes a spring form 737 coupled between the fixed support member 730 and the support arm 731 .
  • the spring form 737 may be a shape-changing member that changes shape based on temperature fluctuations experienced by the drive chain 724.
  • the spring form 737 is coupled intermediate of the support arm 731 and the fixed support member 730 and is configured to bias the support arm 731 from the fixed support member 730.
  • the spring form 737 comprises a continuous wound spring member having a central loop region 738 that terminates at the opposing ends 739A, 739B.
  • the opposing ends 739A, 739B are disposed on fasteners 740A, 740B configured as stops on the support arm 731 and the fixed support member 730, respectively.
  • the spring form 737 changes shape based on temperature, which enables a variable spring constant of the spring form 737 during the shape change.
  • This shape-change may provide a differential bias that may move the support arm 731 relative to the fixed support member 730 based on temperature.
  • the variable spring constant enables a variable biasing (i.e., torque value) of the support arm 731 based on temperature, which may move the support arm 731 relative to the fixed support member 730.
  • Movement of the support arm 731 causes the distal end 735B thereof, having the second idler roller 736 coupled thereto, to move in an arc A toward (+a direction) or away (-a direction) from the drive chain 724. Movement of the distal end 735B in the +a direction tensions the drive chain 724, while movement of the distal end 735B in the -a direction relieves tension on the drive chain 724.
  • the temperature-compensating tensioner 726 provides minimal or no tension to the drive chain 724 when a length of the drive chain 724 is at a desired length at or near room temperature. However, in one aspect, as the length of the drive chain 724 increases with increasing temperature (due to thermal expansion); the temperature-compensating tensioner 726 maintains a predetermined minimal tension on the drive chain 724. In another aspect, as the length of the drive chain 724 increases with increasing temperature, the temperature- compensating tensioner 726 provides a tensioning force to the drive chain 724 that is substantially related (i.e., proportional) to the elongation of the drive chain 724.
  • the spring form 737 of the temperature-compensating tensioner 726 provides a negligible tensioning force to the drive chain 724.
  • the negligible tensioning force may be a minimal force in the +a direction that provides contact between a surface of the second idler roller 736 and the drive chain 724.
  • the length of the drive chain 724, as well as the travel path of the drive chain 724 will increase due to thermal expansion of the material of the drive chain 724.
  • the increase in length of the drive chain 724 causes slack in, and between, the drive chain 724 and the support rollers 512.
  • the spring form 737 of the temperature-compensating tensioner 726 provides a negligible tensioning force to the drive chain 724.
  • the negligible tensioning force may be a minimal force in the +a direction that provides contact between a surface of the second idler roller 736 and the drive chain 724.
  • the spring form 737 of the temperature-compensating tensioner 726 shares the same temperature environment of the drive chain 724, the spring form 737 maintains the minimal force in the +a direction such that contact between the surface of the second idler roller 736 and the drive chain 724 is maintained. Thus, slack is not created in the drive chain 724 due to the elongation in the drive chain 724.
  • the spring form 737 may increase tension to a level slightly greater than the minimal force to further mitigate the slack in the drive chain 724.
  • the temperature- compensating tensioner 726 gradually compensates for elongation of the drive chain 724 and/or the travel path of the drive chain 724, without additional, unnecessary tensional force on the drive chain 724 that may cause undue loading on the drive chain 724 and the support rollers 512.
  • the temperature-compensating tensioner 726 therefore provides minimal tension to the drive chain 724 to ensure controllable operation of the conveyor 1 16 while also minimizing loading on the drive chain 724, which may shorten the service life of the conveyor 716.
  • the support assembly 741 for supporting the drive chain 724.
  • the support assembly 741 comprises a chain support member 742 that spans a length of the drive chain 724 between the support rollers 512 on the ends of the conveyor (only one roller 512 is shown in Figure 7C).
  • the chain support member 742 is movably coupled to and supported in at least the vertical direction (Z direction) by a bracket 743 having a pin 744 protruding through an opening 745 formed in the chain support member 742.
  • the bracket 743 is coupled to a sidewall 746, which may be one of the chamber walls 702 of the processing chamber 700 of Figure 7A.
  • the opening 745 is sized to allow movement of the chain support member 742 relative to the pin 744 and/or the bracket 743 in at least the horizontal direction (X direction). This allows the chain support member 742 to expand and contract in response to temperature variations during processing.
  • the opening 745 includes elongated ends in the X direction to allow for lateral movement of the chain support member 742 due to the temperature variations created during processing.
  • the chain support member 742 comprises an aluminum material and the opening 745 allows enough space for expansion and contraction of the chain support member 742, such that no buckling or binding occurs in the chain support member 742.
  • the support assembly 741 also includes a chain bearing member 747 that is disposed between the chain support member 742 and the drive chain 724.
  • a keeper or retainer 748 is also disposed between the chain bearing member 747 and the chain support member 742.
  • a first end 749A of the retainer 748 may be fixed to the pin 744 while a second end 749B is free.
  • the first end 749A may include a looped end that interfaces with the pin 744 or a fastener may be used to fix the first end 749A to the pin 744.
  • the second end 749B includes a lip 750 that interfaces with the end of the chain bearing member 747.
  • the lip 750 is configured to maintain contact with the end of the chain bearing member 747 before, during and after processing thereby preventing displacement of the chain bearing member 747 in the X direction. While only one end of the second continuous drive member 723B is shown in Figure 7C, the other end of the continuous drive member 723B may include an oppositely oriented support assembly 741 to support the opposing end of the chain bearing member 747. Additionally, the first continuous drive member 723A (shown in Figure 7B) may include the support assembly 741 as described herein at one or both ends thereof.
  • Figure 7D is a cross-sectional view of a portion of the support assembly 741 shown in Figure 7C.
  • the chain bearing member 747, the retainer 748, and the chain support member 742 will expand and contract based on temperature fluctuations. Due to the length of the components in the X- direction, the bulk of the expansion will occur in the in the X direction (into and out of the page). However, the materials and/or the structure of at least the chain bearing member 747 and the retainer 748 are utilized to allow this movement without any binding or loss of physical contact therebetween.
  • FIG. 7D Also shown in Figure 7D is a cross-sectional view of a portion of a support member 727 as seen in the cross-machine direction, or perpendicular to the substrate travel direction.
  • the support member 727 is coupled to a protruding support member 751 coupled to a link 752 of the drive chain 724.
  • a blind rivet 753 is utilized to couple the support member 727 to the protruding support member 751 .
  • the support member 727 comprises a structural shape such as a channel 754 as shown in Figure 7E.
  • the support member 727 comprises a structural shape such as a substantially tubular member 755 as shown in Figure 7F.
  • the structural shape as shown in cross-section in Figures 7E and 7F is configured to provide structural rigidity of the support member 727 and prevent flexing of the support member 727 when it supports a substrate. While the substantially tubular member 755 shown in Figure 7F includes a gap 756, the gap 756 may be welded or, alternatively, the support member 727 may be a complete rectangle in cross-section.
  • the support member 727 also includes bumps or raised regions 757 along a length of the support member 727.
  • the raised regions 757 are utilized to retain a substrate (not shown) between the raised regions 757.
  • the apex 758 of the raised regions may extend above an upper surface 759 of the support member 727 by about 1 mm to about 5 mm, or greater.
  • the raised regions 757 may be utilized to support a substrate, and thus space the substrate away from the upper surface 759 so that any film deposition that may occur on the support member 727 would not contact the substrate. Thus, film deposition may be allowed to occur on the support member 727 without affecting the supporting surface of the substrate since the substrate is spaced away from the upper surface 759.
  • a film or coating 760 may be provided onto the upper surface 759 and/or the raised region 757.
  • the coating 760 is utilized to prevent adhesion of films that are deposited on the substrate during processing (such as silicon nitride or aluminum oxide) onto the support member 727.
  • the coating 760 may be a silicon containing material, such as silicon oxynitride (SiON), silicon oxide (S1O2), and silane (SiH ), or other nitridizing film.
  • the coating 760 may also be used to prevent adhesion of silicon and/or hydrogen that may otherwise be deposited on the support member 727 during processing, which may cause the support member 727 to bow.
  • Figure 7G is a schematic side view of a portion of the support assembly 741 shown in Figure 7C (e.g., right hand side of the Figure 7C). Additionally, the other end of the chain bearing member 747 is also shown in Figure 7G.
  • the chain bearing member 747 includes a first end 761 A and a second end 761 B that would be positioned between and adjacent the support rollers 512 of each of the conveyors 716 shown in Figure 7B (in the X direction (See also Figure 7C)).
  • the materials of the support assembly 741 are chosen to provide for expansion and contraction of the various elements without damaging the elements and/or preventing particle generation.
  • the materials of the support assembly 741 may experience a change in length during processing without damage thereto or excessively rubbing against each other due to the variation in length created by the change in temperature.
  • the materials of the components of the support assembly 741 comprise materials having different coefficients of thermal expansion (linear) (CTE)
  • the chain support member 742 may be made of a material having a CTE greater than that of the chain bearing member 747 and/or the retainer 748.
  • the retainer 748 may be made of a material having a CTE that is greater than that of the chain bearing member 747.
  • a length of the components of the support assembly 741 such as the chain support member 742 and the retainer 748 may be chosen to mitigate the differences in CTE between the components.
  • the chain bearing member 747 may be made of a material, such as a quartz material, for example fused quartz, that includes a first CTE of about 5.5X10 "7 micrometers/meter - degrees C ( m/m-°C) at temperatures between about 20 degrees C to about 300 degrees C.
  • a ceramic material, such as quartz may be advantageously used as the chain bearing member 747, due to its hardness and ability to resist wear created by the movement of the links 752 along the surface of the chain bearing member 747 during the normal movement of the drive chain 724 during processing.
  • the retainer 748 may be made of a material, such as stainless steel, for example grade 304 stainless steel, having a second CTE of about 17.5 m/m-°C at temperatures between about 100 degrees C to about 250 degrees C.
  • the chain support member 742 may be made of a material, such as aluminum, that includes a third CTE of about 25.2 m/m-°C at temperatures between about 20 degrees C to about 300 degrees C.
  • the length l_i and CTE of the chain bearing member 747 and the length L 2 and CTE of the retainer 748 are selected and/or configured so that they will expand and contract at the same rate, thus maintaining contact between the lip 750 of the retainer 748 and the ends 761 A, 761 B of the chain bearing member 747.
  • the retainer 748 is supported at one end by the pin 744, such that the expansion of the retainer 748 away from the pin 744, due to the increase in temperature from room temperature to the processing temperature, is in the same direction as the expansion of the chain bearing member 747 due to the same increase in temperature.
  • Figure 7H is a sectional view of a portion of the temperature-compensating tensioner 726 of Figure 7C.
  • the first idler roller 733 of the temperature- compensating tensioner 726 is coupled to the fixed support member 730 by a shaft 762 of the fastener 732B.
  • a bearing 763 is disposed intermediate of a body 764 of the first idler roller 733 and the shaft 762 to facilitate reduced friction therebetween.
  • a bushing 765 may be disposed between the bearing 763 and a surface of the fixed support member 730 to maintain a desired spacing of the first idler roller 733 relative to the fixed support member 730.
  • the second idler roller 736 is not shown in this view, the second idler roller 736 may be coupled to the support arm 731 in a manner similar to the coupling of the first idler roller 733 to the fixed support member 730.
  • the support arm 731 is coupled to the fixed support member 730 by a shaft 766 of the fastener 732A.
  • a bearing 767 is disposed intermediate of the support arm 731 and the shaft 766 to facilitate reduced friction therebetween.
  • a first bushing 768A may be disposed between the bearing 767 and a surface of the fixed support member 730 to maintain a desired spacing of the support arm 731 relative to the fixed support member 730.
  • a second bushing 768B may be disposed opposite the bearing 767 to provide a land area for the spring form 737.
  • the spring form 737 comprises a torsion spring.
  • the size of the spring form 737 i.e., diameter of the member comprising the spring form 737
  • the number of loops in the central loop region 738 may be chosen based on the properties (i.e., length, size and/or weight) of the drive chain 724 such that a desired tension is applied thereto.
  • the desired tension may be a negligible tensional force at room temperature.
  • the spring form 737 comprises a metallic material having at least two materials that are clad or otherwise joined to provide the spring form 737.
  • the spring form 737 comprises a bi-metallic material comprising a first material and a second material that is different from the first material.
  • the first material may comprise a first crystal structure and the second material may comprise a second crystal structure that is different than the first crystal structure. Examples include a material comprising an austenitic structure clad with a material having a martensitic structure.
  • the first material may include a first coefficient of thermal expansion (linear) (CTE) and a second material having a second CTE that is different than the first CTE.
  • CTE coefficient of thermal expansion
  • the CTE of the one of the first material or the second material may be about 1 .5 times less than the CTE of the other material at a similar temperature.
  • the first CTE include materials with a CTE of about 10.2 micrometers/meter - degrees C ( m/m-°C) at temperatures between about 0 degrees C to about 100 degrees C to about 12 m/m-°C at temperatures between about less than or equal to about 650 degrees C.
  • the second CTE include materials having a CTE of about 16.9 m/m-°C at temperatures between about 0 degrees C to about 100 degrees C to about 18.7 m/m-°C at temperatures between about less than or equal to about 650 degrees C.
  • the first material and the second material may be stainless steel.
  • the first material may be grade 304 stainless steel and the second material may be grade 766 stainless steel.
  • the base material of the spring form 737 may comprise the material having the first CTE that is bonded to an outer layer comprising the material having the second CTE.
  • the base material of the spring form comprises a martensitic material while the outer layer disposed on the base material comprises an austenitic material.
  • the spring form 737 may comprise a base material comprising grade 766 stainless steel with a layer of grade 304 stainless steel bonded on the base material.
  • FIG 8 is an isometric view one embodiment of a pass-through conveyor device 721 that may be used in the processing chamber 700 shown in Figure 7A.
  • the pass-through conveyor device 721 includes one or more substrate support rollers 800 coupled at opposing ends thereof to a mounting bracket 805.
  • Each mounting bracket 805 is coupled to a support member 810 that may be a ledge formed on, or provided on, a sidewall 702 (shown in Figure 7A) of the process chamber 700.
  • the support member 810 may be a portion of a frame structure that is wider than the width of the conveyors 716 of the substrate automation system 515 shown in Figures 7A and 7B such that both of the conveyors 716 fit therebetween.
  • Fasteners 815 may be used to couple the mounting brackets 805 to each support member 810.
  • a shim 820 may be used to facilitate leveling of the substrate support rollers 800 with the upper plane of the support members 727.
  • the substrate support rollers 800 may be leveled relative to the upper plane of the support members 727 disposed between the first continuous drive member 723A and the second continuous drive member 723B (shown in Figure 7B) for a desired handoff of substrates 200 between the conveyors 716 in the processing chamber 700 and the peripheral chambers 705A, 705B of Figure 7A, as well as between the conveyor 716 and each of the pass-through conveyor devices 721 disposed at opposing ends thereof.
  • a drive assembly 825 is coupled between an end of the substrate support rollers 800 and the mounting bracket 805.
  • the drive assembly 825 includes a first sprocket 830A that is coupled to a first drive member 835A, and a second sprocket 830B disposed on each of the substrate support rollers 800 that is coupled to a second drive member 835B.
  • the first sprocket 830A may be coupled directly to a roller 512 (See Figure 7B) on an outer surface thereof in order to facilitate rotation of the first sprocket 830A according to the rotation of the roller 512.
  • the drive assembly 825 is directly coupled with the rotation of the conveyor 716.
  • the first drive member 835A and the second drive member(s) 835B may be a belt, a chain, or combinations thereof, and the diameter of the first drive member 835A and the second drive member(s) 835B may be chosen for desired gear ratio such that the linear velocity of a first outer surface 840A of the substrate support rollers 800 is substantially equal to the linear velocity of the conveyors 716.
  • One or both of the first drive member 835A and the second drive member 835B may comprise a ladder chain comprising a stainless steel material, such as grade 304 stainless steel.
  • the linear velocity of the substrate support rollers 800 may be substantially the same as the linear velocity of the upper plane of the support members 727 disposed on the conveyor 716.
  • the drive assembly 825 may also include bearing assemblies 837 coupled between the mounting bracket 805 and each end of the substrate support rollers 800.
  • the substrate support rollers 800 include the first outer surface 840A having a major diameter and a plurality of annular depressions 840B formed therebetween with a minor diameter providing a second outer surface.
  • the major diameter is greater than the minor diameter of the annular depressions 840B.
  • Each of the annular depressions 840B are aligned with the raised regions 757 of the support members 727.
  • the raised regions 757 interface, and are aligned with, the annular depressions 840B, while the first outer surface 840A of the substrate support rollers 800 may be substantially aligned along a plane of the height of each apex 758 of the raised regions 757 wherein a substrate (not shown) is supported.
  • the substrate support rollers 800 are made of a material that is resistant to high temperatures and low pressures occurring during processing.
  • the materials of the substrate support rollers 800 include titanium, stainless steel, ceramics or combinations thereof.
  • a central portion 848 of each of the substrate support rollers 800 which includes the length of each roller between the ends 845 outbound of the first outer surfaces 840A, is configured to support a substrate during a handoff.
  • the vertical position (Z-direction) of the first outer surface 840A of the central portion 848 of the substrate support rollers 800 is positioned level with the substrate supporting surface of the support members 727.
  • the vertical position (Z-direction) of the first outer surface 840A of the central portion 848 of the substrate support rollers 800 is positioned a few millimeters below the substrate supporting surface of the support members 727.
  • the temperature of a processed substrate may be between about 200 degrees C to about 700 degrees C and some of this heat is transferred to the substrate support rollers 800 during handoff.
  • the ends of the substrate support rollers 800 may include openings 850 formed in or through the ends 845 of the substrate support rollers 800.
  • the openings 850 may be blind holes or through holes that function to reduce the mass of the substrate support rollers 800 and reduce the transfer of thermal energy from the central portion 848 of the substrate support rollers 800 to the bearing assemblies 837.
  • Figure 9 is a side cross-sectional view of one of the bearing assemblies 837 shown in Figure 8.
  • the bearing assembly 837 includes a bearing 900 disposed in a housing 905.
  • the bearing 900 surrounds the end 845 of the substrate support roller 800 and the housing 905 is coupled to the mounting bracket 805.
  • a first seal 91 OA is provided inward of the bearing 900 and a second seal 910B is provided outward of the bearing 900.
  • the first seal 91 OA may interface with a recessed portion 914 formed in or on the end 845 of the substrate support roller 800.
  • an expansion limiter device 915 is disposed in the housing 905 outward of the bearing 900.
  • a spring form 920 is interposed between the inner surface of the housing 905 and an annular shoulder 925 of the expansion limiter 915.
  • the expansion limiter device 915 may be a tubular member having a length 930 that is sized to provide a gap 935 between an inner surface 940 of the housing 905.
  • the gap 935 is reduced. Due to manufacturing inconsistencies of the bearing assembly 837 that may occur, it is possible for the substrate support roller 800 to drift away from the drive chain 724. However, the size of the gap 935 is calculated to prevent excessive displacement of the substrate support roller 800 due to this effect.
  • the spring form 920 may be a compression spring that is utilized to preload the bearing assembly 837, to eliminate unnecessary spaces between seals thereby enhancing sealing of the bearing assembly 837.
  • the bearing assembly 837 includes a heat shield 930 disposed inward of the first seal 91 OA.
  • the heat shield 930 is utilized to reflect heat away from the bearing 900 and seals 91 OA, 910B.
  • the heat shield 930 may be made of a ceramic or a metal material and may be polished to further reflect heat inwardly.
  • a central passage 935 is formed in at least a portion of the length of the substrate support roller 800. The central passage 935 reduces the mass of the substrate support roller 800 and reduces heat transfer to the bearing assembly 837.
  • Figure 10A is an isometric view showing a portion of the inspection and positioning system 180 according to one embodiment.
  • the inspection and positioning system 180 is generally used to detect if a substrate is damaged, misoriented and/or misaligned on the substrate automation system 515.
  • the inspection and positioning system 180 is then used to correct the misorientation and/or misalignment (e.g., mispositioned) of the substrate relative to an optimal transfer position or remove the substrate from the flow of substrates that are being transferred through the processing system 100.
  • Figure 10K which is described further below, illustrates an example of the optimal substrate transfer position relative to the position of a substrate that is being transferred by the substrate automation system 515.
  • the inspection and positioning system 180 may include the inspection module 182 having an enclosure 1002, in which one or more cameras are disposed for capturing images of the substrates 200 passing by therebelow.
  • the number of cameras may depend on the number of rows of substrates 200 that are being transferred by the substrate automation system 515. In one embodiment, there are two rows of substrates 200 and one camera is disposed directly above each row of substrates 200.
  • the dumping stage 184 may be disposed between the inspection module 182 and the orientation correction module 186.
  • the inspection module 182, the dumping stage 184 and the orientation correction module 186 may be all disposed within a single chamber and subject to vacuum during operation.
  • Figures 10B and 10C illustrate the inspection module 182 according to one embodiment.
  • Figure 10B is a cross sectional side view of the inspection module 182.
  • a camera 1004 may be disposed above conveyor belts 1006 that are used to support and transfer substrates 200.
  • the camera 1004 may be any suitable camera, such as a moderate resolution camera (748 x 480) capable of measuring a position accurate to 0.5 mm or less.
  • the cameras 1004 may be disposed in the enclosure 1002.
  • a backlight 1008 may be disposed below the conveyor belts 1006.
  • the backlight 1008 may have a sloped, i.e., non- horizontal, top cover 1010 so any substrates 200 falling on the top cover 1010 from the conveyor belts 1006 may slide down and not cover the backlight 1008.
  • the top cover 1010 may include an actuator that is able to vibrate the backlight 1008 to shake off any substrates 200 falling thereon.
  • Figure 10C is an isometric view of the inspection module 182 according to one embodiment. For each row of substrates 200, there are two conveyor belts 1006 and a center stage 1012 disposed between the conveyor belts 1006. The conveyor belts 1006 are slightly above the center stage 1012 so substrates 200 are supported and transferred by the conveyor belts 1006 while not contacting the center stage 1012.
  • An opening 1014 may be formed in the center stage 1012 directly below the cameras 1004 for the backlight 1008 to shine through.
  • a sensor 1016 may be positioned in the center stage 1012 upstream of the opening 1014. The sensor 1016 signals the camera 1004 when to start analyzing the position or orientation of the substrate 200 after the substrate 200 has passed by the sensor 1016.
  • the camera 1004 takes at least one picture or image of each substrate 200, and the system controller 1 10 analyzes the picture and signals various modules downstream to either remove the defective and non-fixable substrates from the substrate automation system 515 or to correct any issues with the substrates that are detected by the inspection module 182, such as misalignment and/or misorientation (described in detail below).
  • a datum 1018 may be coupled to the center stage 1012 adjacent to the opening 1014.
  • the datum 1018 is fixed in a position relative to the center stage 1012, for example, the datum 1018 may be positioned perpendicular to the conveyor belts 1006. If the substrate 200 disposed on the conveyor belts 1006 is perfectly centered, the outer edge(s) of the substrate 200 would align with a defined set of pixels found in the image formed by the camera 1004. Therefore, the edge 1020 of the datum 1018 is used by the system controller 1 10 to help define the position of the pixels found in the image of the substrate 200 formed by the camera 1004 to the actual position of the center stage 1012.
  • the alignment correction module 188 is generally configured to correct a minor misalignment (will describe in detail below) relative to the optimal transfer position of the substrate 200.
  • the substrate's optimal transfer position is related to the actual position of the center stage 1012 and includes the position and orientation of the substrate 200 relative to the transfer path defined within the processing system 100.
  • the misaligned substrates 200 that are not fixable or are damaged are removed from the substrate automation system 515 by the dumping stage 184.
  • the datum 1018 may be also used to calibrate the camera 1004 by using the edge 1020 as a reference point.
  • substrates 200 may also be misoriented.
  • Each substrate 200 has outer and inner edges 1003, 1005 shown in Figure 10K, respectively, such that when they are in the optimal transfer position they are substantially parallel to the transfer path/direction defined by conveyor belts 1006.
  • Some substrates 200 may become warped as a result of heat exposure or deposited film stress created during processing, and the warp may cause the substrates 200 to rotate slightly during their transport through the processing system 100. As a result, the edges of the rotated substrates 200 are not parallel to the transfer path/direction defined by the conveyor belts 1006, making these substrates 200 misoriented.
  • the camera 1004 may also detect this misorientation by comparing the pixels that define the edges of the substrates 200 to the substrate's optimal transfer position defined by the conveyor belts 1006.
  • the misoriented substrates 200 may be corrected by the orientation corrections module 186.
  • the orientation correction module 186 corrects the orientation, the substrate 200 may end up being misaligned with the optimal transfer position and thus is not fixable by the alignment correction module 188.
  • the misoriented substrates 200 as mentioned above may be also removed from the substrate automation system 515 by the dumping stage 184.
  • Figures 10D - 10E illustrate the dumping stage 184 according to one embodiment.
  • Figure 10D is an isometric view of the dumping stage 184 and
  • Figure 10E is a side view of the dumping stage 184.
  • Each dumping stage 184 includes two conveyor belts 1022 for supporting and transferring substrates 200.
  • the dumping stage 184 has a first end 1024 and a second end 1026.
  • a feed spool 1028 is disposed at the first end 1024 and is coupled to a drive shaft 1030.
  • the drive shaft 1030 may be rotated by a motor 1031 , which in turn rotates the conveyor belts 1022.
  • a camshaft 1032 may be coupled to a lower side 1034 of the dumping stage 184 and the rotation of the camshaft 1032 by another motor 1033 may cause the second end 1026 to rotate downward with respect to the feed spool 1028. This downward rotation causes the defective substrates 200 to be dumped into a bin 1036 that is disposed below the second end 1026 of the dumping stage 184. Once the defective substrates 200 are dumped, the camshaft 1032 rotates again so that the second end 1026 is moved back to its original position, such as its normal horizontal transfer position.
  • the defective substrates 200 may be identified by the inspection module 182, and these defective substrates may include chipped or broken substrates 200, or the misaligned and/or misoriented substrates 200 that are not fixable by the components in the inspection and positioning system 180.
  • FIG 10F is an isometric view of the orientation correction module 186 according to one embodiment.
  • Each orientation correction module 186 includes a first pair of wheels 1040 and a second pair of wheels 1042.
  • the wheels of the first pair of wheels 1040 are aligned in the direction which the substrates are transferred and the wheels of the second pair of wheels 1042 are aligned in the direction which the substrates are transferred.
  • the first pair of wheels 1040 may be substantially parallel to the second pair of wheels 1042.
  • the first pair of wheels 1040 and the second pair of wheels 1042 may be rotating at the same speed as the conveyor belts 1006, 1022.
  • the first pair of wheels 1040 may be driven at one speed, such as the same speed as the conveyor belts 1006, 1022, and the second pair of wheels 1042 may be driven at a speed that is faster or slower than the first pair of wheels 1040, depending on the misorientation of the substrate 200 that needs to be corrected.
  • the second pair of wheels 1042 is rotated slower than the first pair of wheels 1040, so that the misoriented substrate 200 is rotated to the optimal orientation relative to the optimal transfer position.
  • the second pair of wheels 1042 may be rotated faster than the first pair of wheels 1040, so the misoriented substrate 200 is rotated to the optimal orientation relative to the optimal transfer position.
  • Each wheel of the pairs of wheels 1040, 1042 may include an o- ring 1044 for supporting and rotating the misoriented substrates 200.
  • the first pair of wheels 1040 is rotated at the same speed as the second pair of wheels 1042.
  • FIGs 10G - 10H illustrate the misalignment correction module 188 according to various embodiments.
  • the misalignment correction module 188 may include two channels 1046, such that each channel 1046 has two conveyor belts 1048 for transporting a row of substrates 200. Between the two channels 1046 is a divider 1050.
  • the divider 1050 may be substantially parallel to the conveyor belts 1048 and may be fabricated from a metal, such as titanium.
  • the divider 1050 has a first end 1052 and a second end 1054 opposite the first end 1052. The first end 1052 may be upstream of the second end 1054, so the substrates 200 pass the first end 1052 before passing the second end 1054.
  • the first end 1052 may be pointed for creating a greater clearance for the misaligned substrates 200.
  • the thickness T1 of the divider 1050 is smallest at the first end 1052, and then gradually increases to a thickness T2 at a location that is a distance D1 away from the first end 1052.
  • the first end 1052 terminates at a point.
  • the distance D1 may be between about 1 mm and about 30 mm.
  • a centering device 1056 may be disposed on the side of the channel 1046 opposite the divider 1050.
  • the centering device 1056 is a thin rectangular bar extending in the direction in which the substrates 200 are traveling.
  • the thin rectangular bar type of centering device 1056 is flexible, such that centering device is able to flex at least a small amount as it centers the substrate.
  • the rectangular bar 1056 may be fabricated from a thermoplastic material that can withstand an elevated temperature.
  • One example of the thermoplastic material is ULTEMTM.
  • the centering device 1056 has a first end 1058 and a second end 1060 opposite the first end 1058.
  • the first end 1058 may be located upstream of the second end 1060, so the substrates 200 pass the first end 1058 before passing the second end 1060.
  • the first end 1058 may be aligned with the first end 1052 of the divider 1050 and is a distance D2 away from the first end 1052 of the divider 1050.
  • the second end 1060 may not be aligned with the second end 1054 of the divider 1050.
  • the centering device 1056 is shorter than the divider 1050, as shown in Figure 10F, and the second end 1060 of the centering device 1056 is a distance D3 away from the divider 1050.
  • the distance D2 may be greater than distance D3, so the centering device 1056 is slanted with respect to the divider 1050 and the transfer direction "M".
  • the distance D2 is equal to the width of the substrate 200 plus between about l imm and about 30 mm and the distance D3 is equal to or less than the width of the substrate 200.
  • the distance D2 is equal to the width of the substrate 200 plus between about 1 mm and about 30 mm and the distance D3 is equal to or slightly greater than the width of the substrate 200. In this configuration, any substrate 200 that is slightly off center, or misaligned, may be aligned by the centering device 1056.
  • misaligned substrate If a misaligned substrate is so far off the center such that the leading edge, i.e., the downstream edge that is substantially perpendicular to the direction in which the substrates are traveling, is not within the space between the first end 1058 of the centering device 1056 and the first end 1052 of the divider 1050, the misaligned substrate cannot be fixed because the leading edge would hit either the centering device 1056 or the divider 1050. As mentioned earlier, this type of misaligned substrates are not fixable, thus these types of substrates are removed from the substrate automation system 515 by the dumping stage 184.
  • the slanted centering device 1056 or the divider 1050 may guide the misaligned substrates toward the center of the channel 1046.
  • the substrate 200 may become damaged or broken at a location downstream from the inspection module 182 and the dumping stage 184, such that the broken substrate may be accidentally transferred to the misalignment correction module 188.
  • the sharp edges of the broken substrate may generate significant frictional forces between the substrate edge and the centering device 1056, which causes the substrate to bind on or stick to the centering device 1056.
  • a vibration inducing device such as a buzzer 1055, may be coupled to the centering device 1056.
  • the buzzer 1055 may cause the centering device 1056 to vibrate in a direction that is at an angle to the substrate transfer direction, parallel to the substrate transfer direction or in any random direction, and the vibration in the centering device 1056 will generally have a sufficient amplitude or power to release the broken substrate.
  • the buzzer 1055 is disposed near the end 1058 of the centering device 1056.
  • the buzzer 1055 may be any suitable vibration inducing actuator, such as an electric buzzer, a mechanical buzzer, an electromechanical actuator, or a piezoelectric actuator.
  • FIG. 10H illustrates a different centering device 1062 according to one embodiment.
  • the centering device 1062 includes a plurality of wheels 1064 and a belt 1066 configured to rotate the wheels 1064.
  • the belt 1066 may be coupled to the wheels 1064 in any suitable way.
  • the belt 1066 is coupled to the wheels 1064 in a serpentine pattern.
  • the wheels 1064 are constantly rotating, so any misaligned substrate may be pushed toward the divider 1050. Again if the substrate is so misaligned that its leading edge would contact the wheels 1064 at a point equal to or outside of the center of rotation of the wheels 1064, the misalignment is not fixable.
  • Wheel 1064a is located at the entrance of the alignment correction device 188 and is a distance D4 away from the divider 1050.
  • the wheel 1064b is downstream from the wheel 1064a and is a distance D5 away from the divider 1050.
  • the wheel 1064c is downstream from the wheel 1064b and is a distance D6 away from the divider 1050.
  • the wheel 1064d is downstream from the wheel 1064c and is a distance D7 away from the divider 1050.
  • the distance D4 may be greater than D5, which may be greater than D6, which may be greater than D7.
  • the distance D4 is equal to the width of the substrate 200 plus between about 1 mm and about 30 mm and the distance D7 is equal to or slightly greater than the width of the substrate 200.
  • the greater distance D4 provides a greater clearance for the misaligned substrates.
  • FIG. 101 illustrates the unloading zone 193 according to one embodiment.
  • the load lock chamber 192 is removed for better clarity of the unloading zone 193.
  • the unloading zone 193 has one or more stages, such as a first stage 1070 and a second stage 1072.
  • Each stage 1070, 1072 has two channels 1074 for transferring two rows of substrates 200.
  • the number of channels 1074 corresponds to the number of rows of substrates 200 that are being transferred by the substrate automation system 515.
  • Each channel 1074 has two sets of conveyor or o-ring belts 1076, 1078 for supporting and transferring substrates 200.
  • the conveyor or o-ring belts 1076, 1078 may be the exit conveyor 222.
  • the first set of conveyor belts 1076 picks up the substrates 200 from the alignment correction module 188 and transfers the substrates 200 to the second set of conveyor belts 1078.
  • a cassette 192A is disposed over each stage 1070, 1072 above the second set of conveyor belts 1078 for picking up substrates 200 that are disposed thereon.
  • the cassette 192A disposed over the stage 1070 may be first filled by moving of the cassette 192A in a direction perpendicular to the transfer direction ⁇ e.g., horizontal direction) as each substrate is received in a slot of the cassette 192A from the stage 1070.
  • the filled cassette 192A is then transferred to the load lock chamber 192 disposed above the stage 1070, and the load lock chamber 192 is isolated from the unloading region 193 by a door (not shown), so that the first load lock 192 can be vented and the full cassette 192A can be removed thereform.
  • the substrates 200 that did not make it into the cassette 192A, which is disposed over the stage 1070, are then transferred to the stage 1072 so that they can be picked up by the cassette 192A disposed over the stage 1072.
  • the emptied cassette 192A that was positioned over the stage 1070 is then reinserted into the first load lock 192, and the first load lock 192 is pumped down and the door (not shown) is opened so that the processed substrates within the unloading zone 193 can be received by the emptied cassette 192A when the second cassette 192A disposed over the stage 1072 becomes full.
  • a similar process is then completed for the second cassette 192A disposed over the stage 1072 when it becomes full.
  • a plurality of windows 1080 may be formed on the sides of chamber walls 1082 that enclose the unloading zone 193.
  • FIG. 10J An isometric view of the cassette 192A is shown in Figure 10J.
  • the cassette 192A has a plurality of supports 1084, 1085, 1086.
  • the number of supports is the number of channels 1074 plus one. In one embodiment, there are two channels 1074 for transferring two rows of substrates 200 and the cassette 192A has three supports 1084, 1085, 1086.
  • the supports 1084, 1085, 1086 are connected by a top 1087.
  • a plurality of knobs 1088 is disposed on the top 1087, which are coupled to the lifting device 192B ( Figure 2C) to allow a cassette 192A to be moved relative to the stages 1070, 1072.
  • the support 1084 has an inner surface 1089 and the support 1086 has an inner surface 1090.
  • the support 1085 has a first surface 1091 facing the inner surface 1089 of the support 1084 and a second surface 1092 facing the inner surface 1090 of the support 1086.
  • a plurality of slots 1093 are formed on the surfaces 1089, 1090, 1091 , 1092.
  • Each slot 1093 on the surface 1089 and a corresponding slot 1093 on the surface 1091 are configured to support the edges of a substrate 200 from a first channel
  • each slot 1093 on the surface 1090 and a corresponding slot 1093 on the surface 1092 are configured to support the edges of a substrate 200 from a second channel adjacent to the first channel.
  • FIG. 10K is a side view of the load lock 192 according to one embodiment.
  • the load lock chamber 192 may be a tower load lock and may include one or more sub chambers 1094.
  • Each sub chamber 1094 includes an opening 1095 for transferring the cassette 192A into and out of the unloading zone 193.
  • the lifting device 192B is disposed in the sub chamber 1094 at an end opposite the opening 1095.
  • the lifting device 192B is configured to couple to the plurality of knobs 1088.
  • empty cassette 192A is lowered into the unloading zone 193 by the lifting device 192B through the opening 1095, and the sub chamber 1094 and the unloading zone 193 are in fluid communication and both may be at or near vacuum condition.
  • the lifting device 192B positions the cassette 192A over a stage, such as the stage 1070, so that the top slots 1093 of the cassette 192A are level with the conveyor or o-ring belts of the stage 1070.
  • a damage-free substrate 200 that is aligned and oriented is transferred from the alignment correction module 188 to the stage 1070 and in turn onto the slots 1093.
  • the lifting device 192B then lifts up the cassette 192A so the slots 1093 directly below the top slots 1093 are level with the conveyor or o-ring belts to pick up a second substrate 200. The process is repeated until the last slots 1093 are filled with a substrate 200.
  • the lifting device 192B lifts the entire cassette 192A into the sub chamber 1094 and the opening 1095 is closed with a door (not shown).
  • the sub chamber 1094 may be pressurized to atmospheric pressure, and an operator then opens a door 1096 to retrieve the cassette 192A.
  • the full cassette 192A over the stage 1070 is lifted up, the substrates 200 on the substrate automation system 515 are transferred to the stage 1072 to be picked up by a second cassette 192A.
  • an empty cassette 192A may be positioned over the stage 1070 to pick up the substrates 200.
  • the full cassette 192A over the stage 1072 may be lifted into a second sub chamber 1094 and subsequently retrieved by an operator.
  • the load lock chamber 120 disposed over the loading zone 124 may be the same as the tower load lock chamber 192. Since the load lock 120 is used for loading substrates into the processing system 100, during operation, a full cassette 192A is lowered to the loading zone 124 and the substrates 200 are picked up by the substrate automation system 515.
  • the tower load lock chamber 120 may include multiple sub chambers each having a cassette 192A, so the process does not stop when the substrates 200 from one cassette 192A becomes empty.
  • Figure 10L illustrates an example of the optimal transfer position according to one embodiment.
  • the substrate 200 has an outer edge 1003 and an inner edge 1005 and is centrally disposed on the substrate automation assembly 515 that transfers the substrates 200 in the "M" direction.
  • the substrate automation assembly 515 may include a plurality of conveyor belts or o-ring belts, as described above.
  • the optimal transfer position is illustrated with the help of an imaginary reference line 1007.
  • the reference line 1007 is substantially parallel to the "M" direction and is in the center of the substrate automation system 515.
  • edges 1003, 1005 of the substrate 200 will be substantially parallel to the reference line 1007, or the leading edge 101 1 is substantially perpendicular to the reference line 1007 (e.g., angle 1009 equals 90 degrees), and a center 201 of the substrate 200 is located on the reference line 1007.
  • Figure 10M illustrates an example of a misoriented and misaligned substrate 200. As shown in Figure 10M, the edges 1003, 1005 are not substantially parallel to the reference line 1007. The angle 1009 between the leading edge 101 1 and the reference line 1007 is substantially less than 90 degrees, and the center point 201 is a distance 1013 away from the reference line 1007.
  • the orientation correction module 186 is used to rotate the substrate 200 so the edges 1003, 1005 are substantially parallel to the reference line 1007, as shown in Figure 10N. As shown in Figure 10M, the substrate 200 is still misaligned since the center 201 is still a distance 1013 away from the reference line 1007. The alignment correction module 188 then pushes one of the edges 1003, 1005, which causes the center 201 of the substrate 200 to move to a position that is on the reference line 1007. Therefore, the misoriented and misaligned substrate 200 shown in Figure 10M can be reoriented and realigned so that is substantially in the optimal transfer position.
  • FIG. 1 1 is a block diagram illustrating a processing sequence performed on a plurality of substrates in a processing system, in accordance with one embodiment of the present invention described herein.
  • the processing sequence 1 100 may be performed in a processing system that is similar to the processing system 100 discussed above in conjunction with Figures 1A-1 B and 2A- 2C. It is noted that the processing sequence depicted in Figure 1 1 is only used as an example of a process flow that may be used to manufacture solar cell devices. Additionally steps may be added in between any of the steps depicted in Figure 1 1 as needed for different device structure requirements. Similarly, one or more steps depicted herein may also be eliminated as needed.
  • the processing sequence 1 100 performed on the plurality of substrates processed in the processing system 100 starts at step 1 102 in which a plurality of substrates 200 are prepared and delivered to the processing system 100.
  • the processed substrates may be delivered to the substrate transport interface 121 via a modular conveyor 123.
  • the preprocessed substrates include substrates that have a p-type doped base region 301 and n-type doped emitter region 302 formed in the substrates 200, the substrates 200 have been textured and chemically cleaned so that the substrates can be further processed in a vacuum environment to form a passivation/ARC layer stack 320 on a textured front surface 305 of the substrates 200 and a rear surface passivation layer stack 340 on the rear surface 306 of the substrates 200 in the processing system 100.
  • the cleaning processes performed on the substrates 200 prior to insertion into the processing system 100 are generally used to remove any undesirable materials that could affect the passivation layer properties and/or contaminate the processing region 210 of the processing system 100.
  • the substrates 200 may be cleaned using a wet cleaning process in which a cleaning solution, such as a HF-last type cleaning solution, ozonated water cleaning solution, hydrofluoric acid (HF) and hydrogen peroxide (H 2 O 2 ) solution, or other suitable cleaning solution.
  • a cleaning solution such as a HF-last type cleaning solution, ozonated water cleaning solution, hydrofluoric acid (HF) and hydrogen peroxide (H 2 O 2 ) solution, or other suitable cleaning solution.
  • the substrates 200 may be a single crystal, polycrystalline or multicrystalline silicon substrates that may or may not be doped, or other suitable substrates.
  • the substrates 200 are p-type crystalline silicon (c-Si) substrates, as discussed above in conjunction with Figure 3.
  • the substrate receiving chamber 105 receives the substrates from the one or more modular substrate conveyors 123 that are configured to receive cassettes or stack boxes containing multiple substrates.
  • an actuator assembly 122 e.g., conveyor, robot
  • a substrate receiving chamber 105 is configured to transfer substrates from the modular substrate conveyors 123, which is at atmospheric pressure, into the load lock chamber 120, so that they can then be moved through the processing chambers coupled to the processing region 210 in the processing system 100.
  • step 1 104 the plurality of substrates, which were received during step 1 102, are then loaded into the load lock chamber 120 (e.g., received substrates are positioned in a cassette) or are then positioned within a cassette that is then loaded into the load lock chamber 120.
  • the actuator assembly 122 can be used to serially transfer the substrates to a surface of the conveyor 220 from the cassette as the surface of the conveyor 220 is translated in a first direction (e.g., + X-direction) so that at least one row ⁇ e.g., R1-R2) of substrates are formed and aligned along the first direction.
  • the substrates are transferred through one or more preprocessing chambers, such as processing chambers 130 ( Figures 2A-2E) that contains the processing chamber 400 ( Figure 4) which are discussed above, to prepare the substrates for the deposition processes performed in subsequent processing chambers.
  • the pre-processing chambers are configured to deliver energy, such as radiant heat to the substrates as they are transferred through the portion of the processing region 210 found in the preprocessing chamber by the substrate automation assembly 515.
  • the pre-processing chamber components are configured to heat the substrates to a temperature between about 100 °C and 450 °C as they are transferred through the processing region of the of the pre-processing chamber. In some configurations, heating, dry etching, doping or other similar processes may be performed on the plurality of substrates as they are serially transferred through the processing region of the pre-processing chamber.
  • a rear surface passivation layer stack 340 is deposited on the second surface 306 (e.g., back surface) of the substrates 200.
  • the rear surface passivation layer stack 340 may be a dielectric layer providing good interface properties that reduce the recombination losses in the formed solar cell device.
  • the rear surface passivation layer stack 340 may be fabricated from a dielectric material selected from a group consisting of silicon nitride (Si3N ), silicon nitride hydride (SixNy:H), silicon oxide, silicon oxynitride, a composite film of silicon oxide and silicon nitride, an aluminum oxide layer, a tantalum oxide layer, a titanium oxide layer, or any other suitable materials.
  • the rear surface passivation layer stack 340 comprises a first rear surface layer 341 that comprises aluminum oxide layer (AI2O3).
  • the aluminum oxide layer (AI2O3) may be formed by using two or more deposition sources (e.g., deposition sources 560A, 560B, 560C, 560D), which are disposed in the portion of the processing region 210 disposed in a processing chamber 140, as the substrates are transferred relative to the deposition sources 560A-560D by use of the substrate automation system 515.
  • the processing chamber 140 may comprise a processing chamber 500, which is illustrated in Figures 5A-5D.
  • a first gas source 528 and a second gas source 529 are configured to deliver one or more precursor gases or carrier gases to the surface of the substrates 200 by use of the deposition sources 560A-560D disposed in the processing chamber 140.
  • the first gas source 528 and the second gas source 529 may be adapted to deliver trimethyl aluminum (TMA) and oxygen (O2) to the processing region 525 formed over the substrates 200.
  • the power source 530 is may be adapted to deliver RF energy [e.g., 100 W to 4 kW at up to 13.56 MHz) to the process gasses disposed in the processing region 525 over the substrates 200.
  • the first deposition source 560A and second source 560B are configured to form the first rear surface layer 341 by providing trimethyl aluminum (TMA) and oxygen (O 2 ) at a ratio (TMA O2) of about 1 :3 while the substrates are maintained at a temperature of about 350 °C by use of the heating elements 584, RF power of about 4000 Watts is provided by a power source 530 and processing pressure of about 10 mTorr is maintained to form a aluminum oxide layer (AI 2 O 3 ) layer that is between about 50 Angstroms (A) and about 1200 A thick on the surface of the substrates 200.
  • TMA trimethyl aluminum
  • O 2 oxygen
  • AI 2 O 3 aluminum oxide layer
  • the substrates are transferred through one or more processing chambers, such as processing chambers 155 ( Figures 2A-2E), which may contain at least some of the elements found in the processing chamber 400 ( Figure 4), to prepare the substrates for the deposition processes performed in subsequent processing chambers.
  • the processing chamber 155 is configured to deliver energy, such as radiant heat to the substrates as they are transferred through the portion of the processing region 210 found in the preprocessing chamber by the substrate automation assembly 515.
  • the processing chamber 155 components are configured to heat the substrates to a temperature between about 100 °C and 450 °C as they are transferred through the processing region of the of the processing chamber 155.
  • a cooling, dry etching, doping or other similar process may be performed on the plurality of substrates as they are serially transferred through the processing region of the processing chamber 155.
  • the second rear surface layer 342 in the rear surface passivation layer stack 340 is deposited on the first rear surface layer 341 disposed on the second surface 306 (e.g., back surface) of the substrates 200.
  • the second rear surface layer 342 may be a dielectric layer that provides good insulating properties, bulk passivation properties and act as a diffusion barrier for the subsequent metallization layers.
  • the second rear surface layer 342 is formed on the second surface 306 of the substrates 200 using two or more deposition sources (e.g., deposition sources 560A, 560B, 560C, 560D), which are disposed in the portion of the processing region 210 disposed in a processing chamber 160, as the substrates are transferred relative to the deposition sources 560A-560D by use of the substrate automation system 515.
  • the processing chamber 160 may comprise a processing chamber 500, which is illustrated in Figures 5A-5D.
  • the second rear surface layer 342 may comprise one or more passivation layers, which may comprise silicon nitride.
  • a first gas source 528 and a second gas source 529 are configured to deliver one or more precursor gases or carrier gases to the surface of the substrates 200 by use of the deposition sources 560A-560D disposed in the processing chamber 160.
  • the first gas source 528 and the second gas source 529 may be adapted to deliver silane (SiH 4 ), ammonia (NH 3 ), nitrogen (N 2 ), and hydrogen (H 2 ) to the processing region 525 formed over the substrates 200.
  • the power source 530 is may be adapted to deliver RF energy (e.g., 100 W to 4 kW at up to 13.56 MHz) to the process gasses disposed in the processing region 525 over the substrates 200.
  • the first deposition source 560A, second source 560B, third source 560C and fourth source 560D in the processing chamber 160 are configured to form the second rear surface layer 342 on the first rear surface layer 341 , by providing nitrogen (N 2 ) and silane (SiH ) at a ratio (N 2 /SiH ) of about 1 :1 or greater and ammonia (NH 3 ) at a ratio to silane (NH 3 /SiH ) of about 1 :1 while the substrates are maintained at a temperature of between about 300-400 °C by use of the heating elements 584, RF power of about 4000 Watts is provided by a power source 530 and processing pressure of about 10 mTorr is maintained to form a silicon nitride (SiN)
  • the substrates 200 may be further processed in a processing chamber 170 prior to exiting the processing system 100. These post processing steps may be performed in one or more additional processing chambers as necessary to help reliably form a desirable solar cell device.
  • the post processing steps may include thermal processing ⁇ e.g., rapid thermal annealing, dopant drive-in steps) steps, laser ablation of regions of the substrates 200 to open vias in the passivation layers formed on either surface of the substrate to subsequently form back-surface-field (BSF) regions and electrical contacts to the surfaces of the substrates 200, and/or other deposition process steps, such as PVD or evaporation type contact layer deposition steps.
  • an aluminum containing layer is deposited over the rear surface passivation layer stack 340 in the processing chamber 190 by an evaporation process to form metal contacts to portions of the rear surface 306 of the substrates 200.
  • the contact regions created on the substrates 200 may have been formed by use of a laser ablation process that was performed after the rear surface passivation layer stack 340 was formed and before the aluminum layer deposition process steps.
  • step 1 1 16 an inspection process is performed on the substrates as they are transferred through an inspection and positioning system by the substrate automation assembly 515.
  • the inspection process performed in step 1 1 16 includes performing substrates inspection step and one or more damaged substrate removal steps, substrate orientation steps and substrate positioning steps.
  • the inspection process performed in step 1 1 16 ensures the damage-free substrates are in optimal transfer position so the substrates can be correctly fed to the cassette downstream of the inspection and positioning system.
  • the substrate unload chamber 195 receives the substrates 200 from the cassette positioned in the load lock chamber 192 and transfers them to the one or more modular substrate conveyors 127 that are configured to contain and transfer the cassettes or stack boxes of processed substrates.
  • an actuator assembly 122 disposed in a substrate unload chamber 195 is configured to transfer substrates from the cassettes that received the substrates from the substrate automation system 515.
  • the actuator assembly 122 then positions the substrates into a cassette disposed on the modular substrate conveyors 127 so that they can then be moved to other areas of the substrate production facility.
  • the plurality of processed substrates 200 are then removed from the processing system 100 via the modular conveyor 127.
  • the full cassette in the load lock chamber is retrieved by an operator.
  • Embodiments of the present invention thus generally provide, a solar cell processing system that comprises a substrate automation system having one or more conveyors that are configured to transfer substrates serially through a processing region in a first direction, a first processing chamber having two or more first deposition sources disposed in the processing region, wherein each first deposition source is configured to separately deliver a processing gas to a surface of each of the substrates as the substrates are transferred through the processing region relative to the two or more first deposition sources, and a second processing chamber having two or more first deposition sources disposed in the processing region, wherein each second deposition source is configured to separately deliver a processing gas to the surface of each of the substrates as the substrates are transferred through the processing region relative to the two or more second deposition sources.
  • one or more subsequent processing systems may be in communication with include one or more substrate conveyors found within the processing system.
  • the one or more subsequent processing systems may be adapted to further process the substrates in one or more metallization chambers, such as a screen printing chamber available from Applied Materials Italia S.r.l. (e.g., Soft Line system).
  • the metallization chamber may be configured to deposit a metal containing paste on the surface of the substrates to form metal contacts with various regions of the substrates.
  • An example of screen printing system that may be coupled with the substrate unloading chamber 195 is further described in U.S. patent publication number 2009/0305441 , filed April 6, 2009, which is incorporated by reference in its entirety.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Energy (AREA)
  • Sustainable Development (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Photovoltaic Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

La présente invention concerne d'une manière générale un système de traitement de substrat à haut débit qui est utilisé pour former une ou plusieurs régions d'un dispositif de cellule solaire. Dans une configuration d'un système de traitement, une ou plusieurs couches de passivation ou diélectriques de cellule solaire sont déposées et soumises à un autre traitement à l'intérieur d'une ou plusieurs chambres de traitement contenues à l'intérieur du système de traitement de substrat à haut débit. Les chambres de traitement peuvent être, par exemple, des chambres de dépôt chimique en phase vapeur amélioré par plasma (PECVD), des chambres de dépôt chimique en phase vapeur à basse pression (LPCVD), des chambres de dépôt de couche atomique (ALD), des chambres de dépôt physique en phase vapeur (PVD) ou de pulvérisation cathodique, des chambres de traitement thermique (par exemple des chambres RTA ou RTO), des chambres de réorientation de substrat (par exemple des chambres de retournement) et/ou d'autres chambres de traitement similaires.
PCT/US2014/035335 2014-02-21 2014-04-24 Procédé et appareil de passivation de cellules solaires en silicium cristallin WO2015126439A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IN877CH2014 2014-02-21
IN877/CHE/2014 2014-02-21

Publications (1)

Publication Number Publication Date
WO2015126439A1 true WO2015126439A1 (fr) 2015-08-27

Family

ID=53878759

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2014/035335 WO2015126439A1 (fr) 2014-02-21 2014-04-24 Procédé et appareil de passivation de cellules solaires en silicium cristallin

Country Status (1)

Country Link
WO (1) WO2015126439A1 (fr)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106504979A (zh) * 2016-10-24 2017-03-15 苏州阿特斯阳光电力科技有限公司 一种氮化硅薄膜的沉积方法
WO2019219292A2 (fr) 2018-05-18 2019-11-21 Singulus Technologies Ag Installation à flux continu et procédé de revêtement de substrats
CN110835735A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 一种8腔体卧式hwcvd-pvd一体化硅片镀膜工艺
CN110838532A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 一种8腔体立式hwcvd-pvd一体化硅片镀膜工艺
WO2020069700A1 (fr) * 2018-10-05 2020-04-09 Meyer Burger (Germany) Gmbh Installation de revêtement de cellules solaires

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090077805A1 (en) * 2007-08-31 2009-03-26 Applied Materials, Inc. Photovoltaic production line
JP2010064231A (ja) * 2008-09-12 2010-03-25 Yaskawa Electric Corp 基板搬送ロボット
US20100267188A1 (en) * 2009-04-16 2010-10-21 Tp Solar, Inc. Diffusion Furnaces Employing Ultra Low Mass Transport Systems and Methods of Wafer Rapid Diffusion Processing
US20100300834A1 (en) * 2007-09-07 2010-12-02 Masayoshi Yokoo Solar cell module conveyer line
US20120315395A1 (en) * 2010-02-18 2012-12-13 Kaneka Corporation Thin-film manufacturing equipment, method for manufacturing thin film, and method for maintaining thin-film manufacturing equipment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090077805A1 (en) * 2007-08-31 2009-03-26 Applied Materials, Inc. Photovoltaic production line
US20100300834A1 (en) * 2007-09-07 2010-12-02 Masayoshi Yokoo Solar cell module conveyer line
JP2010064231A (ja) * 2008-09-12 2010-03-25 Yaskawa Electric Corp 基板搬送ロボット
US20100267188A1 (en) * 2009-04-16 2010-10-21 Tp Solar, Inc. Diffusion Furnaces Employing Ultra Low Mass Transport Systems and Methods of Wafer Rapid Diffusion Processing
US20120315395A1 (en) * 2010-02-18 2012-12-13 Kaneka Corporation Thin-film manufacturing equipment, method for manufacturing thin film, and method for maintaining thin-film manufacturing equipment

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106504979A (zh) * 2016-10-24 2017-03-15 苏州阿特斯阳光电力科技有限公司 一种氮化硅薄膜的沉积方法
CN106504979B (zh) * 2016-10-24 2019-05-24 苏州阿特斯阳光电力科技有限公司 一种氮化硅薄膜的沉积方法
WO2019219292A2 (fr) 2018-05-18 2019-11-21 Singulus Technologies Ag Installation à flux continu et procédé de revêtement de substrats
CN110835735A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 一种8腔体卧式hwcvd-pvd一体化硅片镀膜工艺
CN110838532A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 一种8腔体立式hwcvd-pvd一体化硅片镀膜工艺
WO2020069700A1 (fr) * 2018-10-05 2020-04-09 Meyer Burger (Germany) Gmbh Installation de revêtement de cellules solaires

Similar Documents

Publication Publication Date Title
US20130171757A1 (en) Advanced platform for passivating crystalline silicon solar cells
WO2015126439A1 (fr) Procédé et appareil de passivation de cellules solaires en silicium cristallin
US8309374B2 (en) Advanced platform for processing crystalline silicon solar cells
US20130186464A1 (en) Buffer layer for improving the performance and stability of surface passivation of silicon solar cells
US20100203242A1 (en) self-cleaning susceptor for solar cell processing
US8252624B2 (en) Method of manufacturing thin film solar cells having a high conversion efficiency
US8088224B2 (en) Roll-to-roll evaporation system and method to manufacture group IBIIAVIA photovoltaics
US9263310B2 (en) Substrate treating apparatus and substrate treating method
TWI397146B (zh) 下一代網印系統
US20140213016A1 (en) In situ silicon surface pre-clean for high performance passivation of silicon solar cells
US20110240114A1 (en) Method of forming a negatively charged passivation layer over a diffused p-type region
US8021905B1 (en) Machine and process for sequential multi-sublayer deposition of copper indium gallium diselenide compound semiconductors
KR101353587B1 (ko) 웨이퍼 이송장치
WO2015102409A1 (fr) Dispositif pour fabriquer une cellule solaire à couche mince intégrée
KR20070114435A (ko) 대량생산을 위한 기판처리시스템과 이를 이용한기판처리방법
WO2012170166A2 (fr) Procédé et système pour un dépôt chimique en phase vapeur en ligne
EP2261976A1 (fr) Module de dispositif semi-conducteur, procédé de fabrication d'un module de dispositif semi-conducteur, dispositif de fabrication de module de dispositif semi-conducteur
EP2323172A1 (fr) Procédé de fabrication d'un dispositif de conversion photoélectrique, dispositif de conversion photoélectrique, et système de fabrication d'un dispositif de conversion photoélectrique
US20110263065A1 (en) Modular system for high-rate deposition of thin film layers on photovoltaic module substrates
WO2010094343A1 (fr) Organe de préhension de bernoulli
EP2401215A1 (fr) Appareil pour la fabrication de cellules solaires ou de modules solaires à film mince photovoltaïques
KR101320330B1 (ko) 인라인 기판처리시스템 및 로드락챔버
US20170236710A1 (en) Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US20130061803A1 (en) Roll-To-Roll PVD System and Method to Manufacture Group IBIIIAVIA Photovoltaics
Kottokkaran et al. Layer by Layer deposition of CsPbI 3 perovskite: Device optimization and Characterization

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14883027

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 14883027

Country of ref document: EP

Kind code of ref document: A1