WO2014164878A1 - Forming graphene devices using self-limited reactions - Google Patents

Forming graphene devices using self-limited reactions Download PDF

Info

Publication number
WO2014164878A1
WO2014164878A1 PCT/US2014/023686 US2014023686W WO2014164878A1 WO 2014164878 A1 WO2014164878 A1 WO 2014164878A1 US 2014023686 W US2014023686 W US 2014023686W WO 2014164878 A1 WO2014164878 A1 WO 2014164878A1
Authority
WO
WIPO (PCT)
Prior art keywords
initiating
elements
substrate
graphene
layer
Prior art date
Application number
PCT/US2014/023686
Other languages
French (fr)
Inventor
Mark Alan Davis
Original Assignee
Solan, LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Solan, LLC filed Critical Solan, LLC
Publication of WO2014164878A1 publication Critical patent/WO2014164878A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/184Preparation
    • C01B32/186Preparation by chemical vapour deposition [CVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66015Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition

Definitions

  • the disclosed embodiments relate generally to methods for growth of graphene stacks on patterned substrates and, more particularly, to methods for growth of segmented (e.g., disjoint or isolated) graphene stacks on surfaces of a patterned substrate layer.
  • Graphene-based structures exhibit chemical, mechanical, electronic, and optical properties that have applications and benefits in various electronic devices, composite materials, and implementations for energy generation and storage.
  • Some graphene-based structures comprise one or more graphene stacks that are in electrical communication with one another and/or with an external circuit.
  • Conventional methods to produce such graphene-based structures with one or more graphene stacks include forming a continuous graphene film and then patterning (e.g., etching) the continuous graphene film to form isolated graphene stacks or films.
  • segmented graphene growth includes oxidation of graphene from specific regions of the continuous graphene sheet to leave isolated graphene substantially in the non-oxidized regions.
  • a disadvantage of this oxidative approach to segmented graphene growth includes a loss of active graphene area due to sub-optimal spatial control of the oxidation reaction, thereby compromising the packing density of the segmented graphene stacks.
  • One such method includes patterning a substrate to form a plurality of elements and a plurality of trenches on the substrate. Each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a corresponding trench in the plurality of trenches and each element in the plurality of elements has a top surface.
  • the method further includes segmentedly overlaying onto the top surface of each element in the plurality of elements a carbon source and an initiating material.
  • the initiating material is other than carbon.
  • a respective initiating layer is formed on each top surface in the plurality of elements, resulting in a plurality of initiating layers.
  • Each respective initiating layer in the plurality of tops layers is characterized by a first thickness and overlays the top surface of a corresponding element in the plurality of elements.
  • the method further includes separating the initiating material substantially entirely from the carbon source in at least a portion of each respective initiating layer in the plurality of elements to form a graphene stack on the top surface of each element in the plurality of elements, thereby forming a plurality of graphene stacks.
  • Each graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting.
  • An alternative method includes patterning a substrate to form a plurality of elements and a plurality of trenches on the substrate. Each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a corresponding trench in the plurality of trenches. Each element in the plurality of elements has a top surface. Each respective element in the plurality of elements comprises an initiating material that is other than carbon.
  • the method further includes segmentedly doping, through the top surface of each element in the plurality of elements, a carbon source to form a respective initiating layer of each element in the plurality of elements.
  • the initiating layer of each respective element is proximal to the top surface of the element and comprises the carbon source and the initiating material, thereby forming a plurality of initiating layers.
  • each respective initiating layer in the plurality of tops layers is characterized by a first thickness.
  • the method further includes separating the initiating material substantially entirely from the carbon source, in at least a portion of each respective initiating layer in the plurality of elements, to form a graphene stack on the top surface of each element in the plurality of elements, thereby forming a plurality of graphene stacks.
  • Each such graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting.
  • An alternative method includes patterning a substrate to form a plurality of elements and a plurality of ridges on the substrate. Each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a
  • each element in the plurality of elements has a top surface.
  • the method further includes segmentedly overlaying onto the top surface of each element in the plurality of elements a carbon source and an initiating material.
  • the initiating material is other than carbon.
  • a respective initiating layer is formed on each top surface in the plurality of elements, resulting in a plurality of initiating layers.
  • Each respective initiating layer in the plurality of tops layers is characterized by a first thickness and overlays the top surface of a corresponding element in the plurality of elements.
  • the method further includes separating the initiating material substantially entirely from the carbon source in at least a portion of each respective initiating layer in the plurality of elements to form a graphene stack on the top surface of each element in the plurality of elements, thereby forming a plurality of graphene stacks.
  • Each graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting.
  • Figure 1 A illustrates a top view of an exemplary graphene device topography fabricated by exemplary methods in accordance with some embodiments.
  • Figure IB illustrates a cross-sectional view of the exemplary graphene device topography taken along line la- la' of FIG. 1A and fabricated by an exemplary method in accordance with some embodiments.
  • Figure 1 C illustrates a top view of an exemplary graphene device topography fabricated by exemplary methods in accordance with some embodiments.
  • Figure ID illustrates a cross-sectional view of the exemplary graphene device topography taken along line lc-lc' of FIG. 1C and fabricated by an exemplary method in accordance with some embodiments.
  • Figure IE illustrates a top view of an exemplary graphene device topography fabricated by exemplary methods in accordance with some embodiments.
  • Figure IF illustrates a cross-sectional view of the exemplary graphene device topography taken along line le-le' of FIG. IE and fabricated by an exemplary method in accordance with some embodiments.
  • Figure 2A illustrates a top view of an exemplary graphene device topography fabricated by exemplary methods in accordance with some embodiments.
  • Figure 2B illustrates a cross-sectional view of the exemplary graphene device topography taken along line 2a-2a' of FIG. 2A and fabricated by an exemplary method in accordance with some embodiments.
  • Figures 3A-3C illustrate top views of exemplary graphene device topographies fabricated by exemplary methods in accordance with embodiments of the present disclosure.
  • Figure 4 is a process diagram illustrating a process for fabricating graphene device topography by exemplary methods (e.g., using a silicon carbide based initiating layer formed using ion implantation) in accordance with some embodiments.
  • Figures 5 is a process diagram illustrating a process for fabricating graphene device topography by exemplary methods (e.g., using a silicon carbide based initiating layer formed using sputter deposition) in accordance with some embodiments.
  • Figures 6 is a process diagram illustrating a process for fabricating graphene device topography by exemplary methods (e.g., using a metal-based initiating layer) in accordance with some embodiments.
  • Figures 7A-7C are flowcharts of a method for fabricating graphene device topographies on a substrate in accordance with some embodiments (e.g., using a carbide based initiating layer formed using ion implantation).
  • Figures 8A-8D are flowcharts of a method for fabricating graphene device topographies on a substrate in accordance with some embodiments (e.g., using an initiating layer deposited on a top surface of a plurality of elements).
  • first, second, etc. are optionally used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without changing the meaning of the description, so long as all occurrences of the "first element” are renamed consistently and all occurrences of the second element are renamed consistently. The first element and the second element are both elements, but they are not the same element. [0036] The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the claims.
  • sheet refers to a substantially two-dimensional or one-atom thick substance.
  • a “graphene sheet” refers to one-atom-thick substance with carbon atoms arranged in a hexagonal lattice.
  • a “graphene sheet” also refers to a carbon-based sheet which comprises additional materials such as boron, oxides, dopants and/or edge atomic substitutes.
  • graphene stack or “graphene layer” refers to one or more graphene sheets (e.g., one, a few, several, several tens, several hundreds or several thousands of graphene sheets).
  • the collective thickness of a graphene stack can therefore range between a nanometer to several micrometers, or to several tens of micrometers depending upon the number of graphene sheets in the graphene stack.
  • Final graphene stacks produced by the processes disclosed in this application can have a thickness in nanometers, and preferably less than fifty nanometers.
  • the terms “graphene stack” and “graphene layer” are interchangeable in the present disclosure.
  • one or more graphene stacks with a negative polarity with respect to a substrate (and/or ridge) surface.
  • the present disclosure is intended to support embodiments in which one or more graphene stacks are produced at the bottom of elements having a negative polarity.
  • Figure 1 A illustrates a top view of an exemplary graphene device topography
  • Figure IB illustrates a cross-sectional view of the exemplary graphene device topography 100 taken along line l a- la' of Figure 1A.
  • the graphene -based structure and graphene device topography comprises a structure having at least one graphene stack on at least one surface of the structure.
  • patterned substrate 102 includes a plurality of elements 104 (e.g., elements 104-1 , 104-2, 104-n and the like) on substrate 102.
  • Each respective element in the plurality of elements 104 is separated from an adjacent element on substrate 102 by a corresponding trench (e.g., trench 106-1 , 106-2, and the like) in a plurality of trenches 106 on substrate 102.
  • a corresponding trench e.g., trench 106-1 , 106-2, and the like
  • respective elements are described herein as being distinct from a graphene stack (e.g., graphene stack 302-1, 302-2, 302-3, etc.), if any, that overlays a respective element.
  • a substrate is a solid substance in a form of a thin slice
  • the substrate can be planar or flexible (e.g., made of an organic or plastic material).
  • the substrate is made of a dielectric material, a semiconducting material, a metallic material, or a combination of such materials.
  • Exemplary dielectric materials include glass, silicon dioxide, neoceram, and sapphire.
  • Exemplary semiconducting materials include silicon (Si), silicon carbide (SiC), germanium (Ge), boron nitride (BN), and molybdenum sulfide (MoS).
  • Exemplary metallic materials comprise copper (Cu), nickel (Ni), platinum (Pt), gold (Au), cobalt (Co), ruthenium (Ru), palladium (Pd), titanium (Ti), silver (Ag), aluminum (Al), cadmium (Cd), iridium (Ir), combinations thereof, and alloys thereof.
  • the substrate comprises Si, Si0 2 , SiC, Cu, Ni, or other materials.
  • the substrate substantially comprises neoceram, barosilicate glass, germanium arsenide, a IV -V semiconductor material, a substantially metallic material, a high temperature glass, or a combination thereof.
  • the substrate substantially comprises Si0 2 glass, soda lime glass, lead glass, doped Si0 2 , aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz,
  • the substrate is made of poly methyl methacrylate (PMMA), polyethylene terephthalate (PET), polyvinyl alcohol (PVA), or cellulose acetate (CA).
  • PMMA poly methyl methacrylate
  • PET polyethylene terephthalate
  • PVA polyvinyl alcohol
  • CA cellulose acetate
  • the substrate includes one layer.
  • the substrate includes a plurality of layers.
  • a substrate comprises a plurality of layers, each with a different material.
  • a layer of another substance is applied onto the substrate.
  • substrate hereinafter refers to any combination of layers upon which additional processing operations are performed. For instance, when one or more layers of a respective material (e.g., Si0 2 , S13N 4 ) is grown on a silicon wafer, the term substrate refers alternatively (e.g., depending on context) to the silicon alone or to the silicon wafer inclusive of the one or more layers. In some embodiments, the substrate has crystallographic symmetry.
  • an element is a feature configured or generated on a substrate.
  • at least a portion of the element is on or above the substrate.
  • a trench is a recess formed in the substrate such as by etching, a space on or above the substrate formed for example by depositing two adjacent elements on or above the substrate, or a combination thereof.
  • each respective element e.g., element 104-1 , element
  • each respective trench (e.g., trench 106-1 , 106-2, and the like) in the plurality of trenches 106 is characterized by a trench surface (e.g., trench surfaces 206-1 , 206-2 and the like).
  • Each respective trench in the plurality of trenches 106 separates a pair of adjacent elements in the plurality of elements 104.
  • trench 106-1 separates a pair of adjacent elements 104-1 and 104-2.
  • each top surface of each respective element in the plurality of elements 104 is separated from an adjacent trench surface by a side wall of the respective element.
  • top surface 204-1 of element 104-1 is separated from trench surface 206-1 by side wall 208-1 of the respective element.
  • a top surface of a first element in the plurality of elements is characterized by a first elevation.
  • a trench surface of a first trench in the plurality of trenches is characterized by a second elevation.
  • the first trench separates the first element from another element in the plurality of elements.
  • the first elevation is other than the second elevation.
  • top surface 204-1 of element 104-1 is characterized by first elevation HI and trench surface 206-1 of trench 106-1 is characterized by second elevation H2, where HI is distinct from H2.
  • each element is characterized by a respective first width.
  • element 104-2 is characterized by a first width Wl .
  • element 104-2 is characterized by a first width Wl .
  • the first width is in a range that is between 5 nm and 120 nm, between 8 nm and 90 nm, between 5 nm and 40 nm, or between 5 nm and 30 nm.
  • each trench is characterized by a respective second width W2.
  • the second width is in a range that is between 5 nm and 500 nm, between 3 nm and 300 nm, between 10 nm and 100 nm, or between 5 nm and 40 nm.
  • each element is characterized by a respective first length.
  • element 104-2 is characterized by a first length LI .
  • the respective first width of each element is substantially uniform across the respective first length of the element.
  • the top surface of the first element and the trench surface of the first trench are separated by a first side wall of the first element (e.g., side wall 208-1 of element 104-1).
  • the first side wall has a first height (e.g., as shown in Figure IB, side wall 208-1 has a first height Dl) in a direction substantially perpendicular to the substrate.
  • the first height is between 3 nm and 10 nm, between 10 nm and 2 ⁇ , between 15 nm and 1 ⁇ , or between 10 nm and 500 nm.
  • Figures 1A-1B further illustrate a graphene -based structure 100 created by forming graphene on the top surface 204 of each respective element in the plurality of elements 104.
  • forming graphene includes forming a respective graphene stack (e.g., graphene stack 302-1, 302-2, 302-3, and the like) on the top surface of each respective element of the plurality of elements 104.
  • each graphene stack has a respective final thickness.
  • graphene stack 302-N has a final thickness of T Fn .
  • the formed graphene stack 302 (e.g., including graphene stack 302-1 on the top surface 204-1 of element 104-1, graphene stack 302-2 on the top surface 204-2 of element 104-2, and the like) are segmented (e.g., disjoint, discontinuous, non-overlapping or isolated from one another).
  • the respective graphene stack comprises about 1 to 300 graphene sheets. In some embodiments, the graphene stack comprises 1 graphene sheet. In various embodiments, the graphene stack comprises between 2 and 10 graphene sheets, between 10 and 30 graphene sheets, between 25 and 50 graphene sheets, between 50 and 100 graphene sheets, or over 100 graphene sheets. In some embodiments, the graphene stack has a thickness that is between 1 to 100 nm. In some embodiments, a sheet is a substantially two- dimensional or one-atom thick substance. In some embodiments, a graphene sheet is a one- atom-thick substance with carbon atoms arranged in a hexagonal lattice. In some
  • a graphene sheet is a carbon-based sheet which comprises additional materials such as boron, oxides, dopants and/or edge atomic substitutes.
  • Figure 1C illustrates a top view of an exemplary graphene device topography
  • Figure ID illustrates a cross-sectional view of the exemplary graphene device topography 150 taken along line lc-lc' of Figure 1C.
  • Figure 1C is analogous to Figure 1A in that like numbered features of Figure
  • Figure 1C are analogous to their corresponding features in Figure 1A.
  • Figure ID is analogous to Figure IB in that like numbered features of Figure ID are analogous to their corresponding features in Figure IB.
  • Figure 1C illustrates embodiments in which the graphene device topography includes a vertical (or substantially vertical) metal layer 108 (e.g., metal layer 108-1, 108-2, etc.).
  • the vertical metal layer 108 is disposed on each side wall of an element 104 (e.g., metal layer 108-1).
  • the vertical metal layer is disposed on a subset of side walls of an element (e.g., element 104- 2, which has metal layers 108-2 disposed on the sidewalls corresponding to a long axis of element 104-2 or element 104-3 which has metal layers 108-3 disposed on the sidewalls corresponding to a short axis of element 104-3).
  • metal layer 108 overlaps with a portion of a graphene stack disposed on the top surface of an element (e.g., metal layer 108-N overlaps a portion of graphene stack 302-N disposed on top surface 204-N of element 104-N).
  • Figure IE illustrates a top view of an exemplary graphene device topography
  • Figure IF illustrates a cross-sectional view of the exemplary graphene device topography 150 taken along line le-le' of Figure IE.
  • Figure IE is analogous to Figure 1A in that like numbered features of Figure
  • top layer 1 10 substantially comprises Si0 2 glass, silicon nitride, soda lime glass, lead glass, doped Si0 2 , aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz or
  • the top layer is made of poly methyl methacrylate (PMMA), polyethylene terephthalate (PET), polyvinyl alcohol (PVA), or cellulose acetate (CA).
  • PMMA poly methyl methacrylate
  • PET polyethylene terephthalate
  • PVA polyvinyl alcohol
  • CA cellulose acetate
  • top layer 1 10 provides a hard boundary through which graphene cannot be formed, thus making the self-limiting nature of the thickness T Fn more robust to process variations, as described in greater detail below.
  • Figure 2A shows a top view of an exemplary graphene device topography 200 fabricated by exemplary methods in accordance with some embodiments.
  • Figure 2B illustrates a cross-sectional view of the exemplary graphene device topography 200 taken along line 2a-2a' of FIG. 2A and fabricated by an exemplary method in accordance with some embodiments.
  • the top surface of the first element e.g., top surface 204-1 of element 104-1 , Figure 2B
  • the trench surface of the first trench e.g., trench surface 206-1 of trench 106-1 , Figure 2B
  • a first side wall of the first element e.g., side wall 208-1 of element 104-1 , Figure 2B
  • the patterning causes the first side wall of the first element (e.g., side wall 208-1 of element 104-1) to have an obtuse entry angle (e.g., angle a l s Figure 2B) with respect to the top surface of the first element (e.g., with respect to top surface 204-1 of element 104-1 , Figure 2B), thereby causing the combination of the top surface and the trench surface to have a surface area that is greater than a surface area of the corresponding portion of the substrate prior to patterning.
  • an obtuse entry angle e.g., angle a l s Figure 2B
  • Figures 2A-2B further illustrate a graphene -based structure 200 formed by forming graphene on the top surface 204 of each respective element in the plurality of elements 104.
  • forming graphene includes forming a respective graphene stack (e.g., graphene stacks 302-1 , 302-2, 302-3, and the like) on the top surface of each respective element of the plurality of elements 104.
  • the formed graphene stacks 302 are segmented (e.g., disjoint, discontinuous, non-overlapping or isolated from one another).
  • the graphene stack on the top surface of each respective element in the plurality of elements forms a single continuous layer on the surface of the respective element.
  • the layer is simply connected (e.g., there are no holes or gaps in the graphene stack, or regions whereupon an island of material other than the graphene stack intrudes upon the layer).
  • Figures 3A-3C illustrate top views of exemplary graphene device topographies fabricated by exemplary methods in accordance with some embodiments.
  • the first four elements in the plurality of elements 104 are ribs.
  • a respective rib has a length and a width, where the length is at least two times the width.
  • the length of the rib is between two times and five times the width the rib.
  • the elements 104-1 through 104-4 are ribs where the length is at least two times the width.
  • a respective rib in a plurality of ribs has a width that is between 1 nm and 10 nm, between 10 nm and 20 nm, between 20 nm and 30 nm, between 30 nm and 40 nm, between 50 nm and 100 nm, or between 100 nm and 500 nm.
  • the respective rib has a width that is on the order of nanometers, and the width of each rib does not deviate from the width of any other rib in the plurality of ribs by more than 0.1 nm, by more than 0.2 nm, by more than 0.3 nm, by more than 0.4 nm, by more than 0.5 nm, by more than 0.6 nm, by more than 0.7 nm, by more than 0.8 nm, by more than 0.9 nm, by more than 1 nm, by more than 2 nm, by more than 3 nm, by more than 4 nm, or by more than 5 nm.
  • a respective element in the plurality of elements 104 is a mesa.
  • a mesa is an island isolated from other features on the substrate or a plateau on the substrate.
  • a mesa has at least one dimension that is relatively large and thus can be used as a basis for further processing of more complex structures.
  • a mesa has a topographical height feature, providing a capability for vertical isolation and/or size for desired functionality.
  • the mesa has a size in any dimension that is between 10 nm and 100 nm, between 100 nm and 1 ⁇ , or between 1 ⁇ and 10 ⁇ .
  • each mesa in a plurality of mesa has a largest dimension that is on the order of nanometers, and does not deviate from the largest dimension of any other mesa in a plurality of mesas by more than 0.1 nm, by more than 0.2 nm, by more than 0.3 nm, by more than 0.4 nm, by more than 0.5 nm, by more than 0.6 nm, by more than 0.7 nm, by more than 0.8 nm, by more than 0.9 nm, by more than 1 nm, by more than 2 nm, by more than 3 nm, by more than 4 nm, or by more than 5 nm.
  • the first element in the plurality of elements 104 is a pillar.
  • the pillar e.g., element 104-5, Figure 3B
  • the pillar e.g., element 104-8, Figure 3C is substantially ovoid.
  • the pillar e.g., element 104-6 or element 104-9, Figure 3C
  • the N-sided polygon is characterized by N internal angles, each being an acute angle or an obtuse angle (i.e., each of the N internal angles measure less than 180 degrees).
  • the elements 104 have holes within them.
  • Figure 4 is a process diagram illustrating a process for fabricating graphene device topography by exemplary methods (e.g., using a silicon carbide based initiating layer formed using ion implantation) in accordance with some embodiments.
  • Shown in operation 400-1 is a substrate 102 comprising a substrate material.
  • the substrate substantially comprises neoceram, barosilicate glass, germanium arsenide, a IV-V semiconductor material, a substantially metallic material, a high temperature glass, or a combination thereof.
  • the substrate substantially comprises Si0 2 glass, soda lime glass, lead glass, doped Si0 2 , aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz, chalcogenide/sulphide glass, silicon, and/or monocrystalline silicon.
  • the substrate substantially comprises any of the materials disclosed for substrates herein.
  • substrate 102 is patterned to form a plurality of elements 104 (e.g., elements 104-1 and 104-2). Each respective element in the plurality of elements 104 is separated from an adjacent element on the substrate 102 by a corresponding trench (e.g., trench 106-1, 106-2, and the like) in a plurality of trenches 106 on substrate 102.
  • a corresponding trench e.g., trench 106-1, 106-2, and the like
  • the plurality of elements 104 is formed by forming a mask over the substrate 102 (e.g., by using optical or e-beam lithography techniques) and etching selected portions of the substrate 102 in accordance with the mask (e.g., with wet-etching techniques such as potassium hydroxide etching, or with dry-etching techniques such as reactive ion etching).
  • the plurality of elements 104 comprises substantially the same material as substrate 102.
  • the plurality of elements 104 comprises a respective secondary material, distinct from the substrate material.
  • the respective secondary material comprises one or more of: a dielectric material, a metal oxide, a metal nitride, a semi-conductor material, a metal, or a combination thereof.
  • the plurality of elements comprise a combination of the same material as the substrate 102 and one or more secondary materials (e.g., one or more secondary materials form layers segmentedly overlaying a patterned portion of substrate 102).
  • each element is segmentedly doped through its respective top surface 204 (e.g., top surface 204-1, 204-2) with a carbon source thereby forming an initiating layer 402 (sometimes referred to as a graphene initiating layer) towards the top of each element.
  • the initiating layer includes the carbon dopants as well as the pre-existing (e.g., before the doping) material that received the dopants (such as the same material as the substrate when the elements comprise the same material as the substrate).
  • segmentedly doping the initiating layer through the top surface includes ion implanting silicon.
  • the implanted silicon ions form a concentration of carbon ions (e.g., carbon ion 403-1, carbon ion 403-2, etc.).
  • the implanted carbon ions deposited through the top surface form, together with a silicon substrate, a silicon carbide layer.
  • the graphene initiating layer e.g., graphene initiating layer 402, including the native silicon implanted with carbon ions
  • the top surface is defined by a particular crystal plane of the substrate (e.g., the Si[100], Si[l 11]).
  • the particular crystal plane defining the top surface is the same as a crystal plane defining the respective trench surfaces (e.g., trench surfaces 206).
  • a graphene -based structure is formed by separating the initiating material from the carbon to form graphene.
  • the initiating material is separated from the carbon by annealing the initiating layer.
  • annealing the imitating layer results in a sublimation of the initiating material (e.g., silicon) resulting in the complete (or substantially complete) vaporization of the initiating material in at least a portion of a top layer.
  • the initiating material e.g., silicon
  • the result of the separation is that the entire (or substantially entire) initiating material is consuming in the initiating layer, resulting in a graphene stack that is self-limiting in thickness. Therefore, the graphene-stack thickness is robust to process variables (e.g., temperature, pressure, and duration of annealing).
  • Figure 5 is a process diagram illustrating a process 500 for fabricating graphene device topography by exemplary methods (e.g., using a silicon carbide based graphene initiating layer) in accordance with some embodiments.
  • a substrate comprises a plurality of layers.
  • the substrate includes a first substrate layer 103 comprising a first material (e.g., Si0 2 ) and a second layer 102 comprising a second material (e.g., crystalline Si).
  • the substrate is patterned to form a plurality of elements 104 (e.g., elements 104-1 and 104-2).
  • Each respective element in the plurality of elements 104 is separated from an adjacent element on the substrate by a corresponding trench (e.g., trench 106-1 , 106-2, and the like) in a plurality of trenches 106 on the substrate.
  • each element comprises at least a top layer 1 10.
  • the plurality of trenches 106 are formed by removing portions of a first material (and optionally portions of a second material) from predefined regions of respective substrate layer 103.
  • the first material is removed from predefined regions of the first layer by etching the plurality of trenches into the first layer 103.
  • respective substrate layer 103 comprises a native oxide material of the first material (e.g., a native Si0 2 layer grown on a silicon wafer) and portions of the respective material are removed from predefined regions of respective substrate layer 103 using photolithography and/or electron beam lithography combined with one or more etching techniques.
  • the substrate comprises a single layer (e.g., made of a substrate material).
  • the plurality of trenches is formed by removing portions of substrate material from predefined regions of the substrate.
  • portions of the substrate material are removed by etching the plurality of trenches into the substrate.
  • the plurality of trenches are etched into the substrate or into respective substrate layer 103 by photolithography, x-ray lithography, reactive ion-etching, plasma etching, sputter etching, e-beam direct writing, or a combination thereof.
  • graphene initiating layer 502 is segmentedly overlaid (e.g., through a process such as chemical vapor deposition or sputtering) onto the top surface (e.g., top surface 204-1 , 204-2) of each respective element in the plurality of elements 104.
  • the graphene initiating layer is segmentedly overlaid (e.g., through a process such as chemical vapor deposition or sputtering) onto the top surface (e.g., top surface 204-1 , 204-2) of each respective element in the plurality of elements 104.
  • the graphene initiating layer is segmentedly overlaid (e.g., through a process such as chemical vapor deposition or sputtering) onto the top surface (e.g., top surface 204-1 , 204-2) of each respective element in the plurality of elements 104.
  • the graphene initiating layer is segmentedly overlaid (e.g., through a process such as chemical
  • the overlaid graphene initiating layer e.g., graphene initiating layer 502, including segmented metal graphene initiating layers 402-1 and 402-2
  • a graphene -based structure is formed by separating the initiating material from the carbon within the graphene initiating layer 502 (e.g., segmented silicon carbide graphene initiating layers 502-1 and 502-2).
  • the graphene initiating layer substantially comprises a compound of carbon (e.g., silicon carbide)
  • graphene is generated by heating the graphene initiating layer to vaporize an element other than carbon from the compound of carbon, thereby forming the graphene-based structure.
  • graphene 302 is generated using graphene initiating layer 502 by heating the silicon carbide to vaporize elemental silicon in the silicon carbide, thereby forming the graphene-based structure.
  • the graphene stack 302 generated using the graphene initiating layer has a
  • FIG. 6 is a process diagram illustrating a process 600 for fabricating a graphene device topography by exemplary methods (e.g., using a metal based graphene initiating layer) in accordance with some embodiments.
  • Shown in operation 600-1 is a substrate 102 comprising a substrate material.
  • the substrate substantially comprises neoceram, barosilicate glass, germanium arsenide, a IV-V semiconductor material, a substantially metallic material, a high temperature glass, or a combination thereof. In some embodiments, the substrate
  • the substrate 102 substantially comprises any of the materials disclosed for substrates herein.
  • substrate 102 is patterned to form a plurality of elements 104 (e.g., elements 104-1 and 104-2). Each respective element in the plurality of elements 104 is separated from an adjacent element on the substrate 102 by a corresponding trench (e.g., trench 106-1, 106-2, and the like) in a plurality of trenches 106 on substrate 102.
  • the plurality of elements 104 is formed by growing portions of substrate material selectively at regions of the substrate corresponding to the plurality of elements.
  • the plurality of elements is formed by growing at least one respective secondary material, distinct from a substrate material, selectively on regions of the substrate corresponding to the plurality of elements.
  • the plurality of elements 104 comprises substantially the same material as substrate 102. In alternative embodiments, the plurality of elements 104 comprises a respective secondary material, distinct from the substrate material. In some embodiments, the respective secondary material comprises one or more of: a dielectric material, a metal oxide, a metal nitride, a semi-conductor material, a metal, or a combination thereof.
  • a metallic graphene initiating layer (e.g., graphene initiating layer 402, including segmented metallic graphene initiating layers 602-1 and 602-2, etc.) is segmentedly overlaid onto the top surface (e.g., top surface 204-1, 204-2, etc.) of each respective element in the plurality of elements 104.
  • the graphene initiating layer substantially comprises an elemental metal selected from the group consisting of: platinum, gold, palladium, ruthenium, aluminum, titanium, tungsten, cadmium, nickel, nickel foam, iron, or a combination thereof.
  • the metal graphene initiating layer is sputter-deposited onto the top surface 204 of each respective element in the plurality of elements 104.
  • a lift-off process using, for example, electron beam or optical lithography is used to pattern the metal segmentedly onto the top surface of the elements.
  • the deposited graphene initiating layer ⁇ e.g., graphene initiating layer 602, including segmented metal graphene initiating layers 602-1 and 602-2) has a substantially planar profile or substantially planar top surface.
  • the metal is heated and carbon is introduced into the metal layer during heating.
  • a chemical vapor deposition (CVD) process is performed by heating the metal (e.g., to a temperature between 600-1100 degrees Celsius), introducing a carbon source (e.g., a carbon precursor such as methane) as well as hydrogen gas (e.g., at a partial pressure ratio of between 8: 1 and 10: 1 methane to hydrogen gas, such as 250 Pa : 25 Pa) and decomposing the carbon precursor to form a concentration of carbon within the metal.
  • a carbon source e.g., a carbon precursor such as methane
  • hydrogen gas e.g., at a partial pressure ratio of between 8: 1 and 10: 1 methane to hydrogen gas, such as 250 Pa : 25 Pa
  • the carbon concentration is supersaturated within the metal.
  • a duration of the heating is a function of a metal migration speed of the metal.
  • the CVD process is a plasma-enhanced CVD process.
  • the metal layer is cooled to separate the carbon from the metal and thus form a graphene stack.
  • the metal layer is rapidly cooled ⁇ e.g., by active cooling).
  • the separating of the metal and the carbon includes migration of the metal from a center of each element to an edge of each element.
  • an electrical bias is applied across each element in the plurality of elements ⁇ e.g., between a first location on a respective element and a second location on the same respective element), resulting in separation of the metal and the carbon by electro-migration.
  • applying the electrical bias across each of the one or more elements defines an axis, and the migration of the metal is substantially parallel to the axis.
  • the metal is copper and each respective graphene stack in the plurality of graphene stacks is a monolayer. In some embodiments, the metal migrates from within the top layers in the plurality of top layers to respective sides of the top layers. The metal forms a first and second lead on respective first and second sides of each element in the plurality of elements upon migration in the heating operation.
  • Figures 7A-7C are flowcharts of a method 700 for fabricating graphene device topographies on a substrate in accordance with some embodiments (e.g., using a carbide based initiating layer formed using ion implantation).
  • the method includes patterning (702) a substrate to form a plurality of elements and a plurality of trenches on the substrate.
  • Each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a corresponding trench in the plurality of trenches, each element in the plurality of elements has a top surface, and each respective element in the plurality of elements comprises an initiating material being other than carbon.
  • the substrate substantially comprises (704) Si0 2 glass, soda lime glass, lead glass, doped Si0 2 , aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz, chalcogenide/sulphide glass, silicon, and/or monocrystalline silicon.
  • the substrate substantially comprises (706) a material selected from the group consisting of: neoceram, barosilicate glass, germanium arsenide, a IV -V semiconductor material, a substantially metallic material, a high temperature glass, and a combination thereof.
  • each respective element in the plurality of elements is
  • each respective element in the plurality of elements is (710) an N-sided polygon characterized by N internal angles, the N internal angles each measuring less than 180 degrees (e.g., elements 104-6, 104-7, Figure 3).
  • the plurality of elements is formed (712) by growing portions of substrate material or growing at least one respective secondary material selectively at regions of the substrate or the secondary material corresponding to the plurality of elements (e.g., top layer 1 10 in Figure 5).
  • the substrate includes (714) a first material and the method further includes, overlaying (716) the substrate with a mask layer, the mask layer comprising a second material distinct from the first material and other than carbon, forming (718) a mask over the first layer, and selectively removing (720) trench regions of the mask layer in accordance with the mask to form the plurality of trenches. The regions of the mask layer remaining on substrate form the plurality of elements.
  • the plurality of trenches is formed (722) by removing portions of substrate material from predefined regions of the substrate or portions of a respective material of a respective substrate layer from predefined regions of the respective substrate layer, for example, by forming (724) a mask on the substrate and selectively removing (726) trench regions of the substrate in accordance with the mask to form the plurality of trenches. The remaining regions of the substrate form the plurality of elements.
  • the method further includes segmentedly doping (728) through the top surface of each element in the plurality of elements a carbon source to form a respective top layer of each element in the plurality of elements (e.g., operation 400-3, Figure 4).
  • the top layer of each respective element is proximal to the top surface of the element and comprises the carbon source and the initiating material, thereby forming a plurality of top layers.
  • Each respective top layer in the plurality of tops layers is characterized by a first thickness.
  • the substrate includes (730) silicon
  • the second material cf. operation 716) includes a dielectric material
  • the initiating material includes silicon
  • each respective top layer in the plurality of top layers includes silicon carbide.
  • the method further includes separating (732) the initiating material substantially entirely from the carbon source in at least a portion of each respective top layer in the plurality of elements to form a graphene stack on the top surface of each element in the plurality of elements thereby forming a plurality of graphene stacks.
  • Each graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting.
  • the method further includes annealing (734) each respective top layer in the plurality of top layers to vaporize substantially all of the silicon from each respective top layer in the plurality of top layers (e.g., operation 400-4 in Figure 4, operation 500-4 in Figure 5).
  • the second thickness of a graphene stack in the plurality of graphene stacks is (736) self-limiting with respect to a total amount of carbon in the corresponding top layer in the plurality of top layers. In some embodiments, the second thickness of a graphene stack in the plurality of graphene stacks is (738) determined at least in part on the first thickness of the corresponding top layer in the plurality of top layers.
  • Figures 8A-8D are flowcharts of a method 800 for fabricating graphene device topographies on a substrate in accordance with some embodiments (e.g., using an initiating layer deposited on a top surface of a plurality of elements).
  • the method 800 includes patterning (802) a substrate to form a plurality of elements and a plurality of trenches on the substrate (e.g., as shown in operation 400-2 in Figure 4, 500-2 in Figure 5, and 600-1 in Figure 6). Each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a corresponding trench in the plurality of trenches and each element in the plurality of elements has a top surface.
  • the substrate substantially comprises (804) Si0 2 glass, soda lime glass, lead glass, doped Si0 2 , aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz, chalcogenide/sulphide glass, silicon, and/or monocrystalline silicon.
  • the substrate substantially comprises (806) a material selected from the group consisting of: neoceram, barosilicate glass, germanium arsenide, a IV-V semiconductor material, a substantially metallic material, a high temperature glass, and a combination thereof.
  • each respective element in the plurality of elements is
  • each respective element in the plurality of elements is (810) an N-sided polygon characterized by N internal angles, the N internal angles each measuring less than 180 degrees (e.g., elements 104-6, 104-7, Figure 3).
  • the plurality of elements is formed (812) by growing portions of substrate material or growing at least one respective secondary material selectively at regions of the substrate or the secondary material corresponding to the plurality of elements (e.g., top layer 1 10 in Figure 5).
  • the substrate includes (814) a first material and the method further includes, overlaying (816) the substrate with a mask layer, the mask layer comprising a second material distinct from the first material and other than carbon, forming (818) a mask over the first layer, and selectively removing (820) trench regions of the mask layer in accordance with the mask to form the plurality of trenches. The regions of the mask layer remaining on substrate form the plurality of elements.
  • the plurality of trenches is formed (822) by removing portions of substrate material from predefined regions of the substrate or portions of a respective material of a respective substrate layer from predefined regions of the respective substrate layer, for example, by forming (824) a mask on the substrate and selectively removing (826) trench regions of the substrate in accordance with the mask to form the plurality of trenches. The remaining regions of the substrate form the plurality of elements.
  • the method further includes segmentedly overlaying (828) onto the top surface of each element in the plurality of elements a carbon source and an initiating material, the initiating material being other than carbon, to form a respective top layer on each top surface in the plurality of elements, thereby forming a plurality of top layers (e.g., segmented graphene initiating layers 502 in Figure 5, segmented graphene initiating layers 602 in Figure 6).
  • Each respective top layer in the plurality of tops layers is characterized by a first thickness and overlays the top surface of a corresponding element in the plurality of elements.
  • the substrate includes (830) silicon, the second material (cf.
  • operation 816) includes a dielectric material, the initiating material includes silicon, and each respective top layer in the plurality of top layers includes silicon carbide.
  • each top layer in the plurality of top layers includes (832) silicon carbide, and the segmentedly overlaying includes depositing the carbon source and the initiating material using sputter deposition (e.g., operation 500-3, Figure 5).
  • the initiating material is a metal (834) and the method further includes overlaying (836) the plurality of elements with the metal to thereby form the plurality of top layers and heating (838) the metal layer while concurrently decomposing the carbon source to produce a concentration of carbon within the plurality of top layers (e.g., operations 600-2 and 600-3, Figure 6).
  • the method further includes separating (840) the initiating material substantially entirely from the carbon source in at least a portion of each respective top layer in the plurality of elements to form a graphene stack on the top surface of each element in the plurality of elements thereby forming a plurality of graphene stacks.
  • Each graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting.
  • the method further includes annealing (842) each respective top layer in the plurality of top layers to vaporize substantially all of the silicon from each respective top layer in the plurality of top layers (e.g., operation 400-4 in Figure 4, operation 500-4 in Figure 5).
  • the second thickness of a graphene stack in the plurality of graphene stacks is (844) self-limiting with respect to a total amount of carbon in the corresponding top layer in the plurality of top layers. In some embodiments, the second thickness of a graphene stack in the plurality of graphene stacks is (846) determined at least in part on the first thickness of the corresponding top layer in the plurality of top layers.
  • the method further including cooling (848) the plurality of top layers at a sufficient rate to cause migration of the metal (cf. operation 834) in each respective top layer in the plurality of top layers (e.g., operation 600-4, Figure 6).
  • the metal migrates (850) from within the top layers in the plurality of top layers to respective sides of the top layers during the separating operation.
  • the metal forms (852) a first and second lead on respective first and second sides of each element in the plurality of elements upon migration in the heating operation.
  • a duration of the heating is (854) a function of a metal migration speed of the metal.
  • the concentration is (856) a supersaturated concentration.
  • the metal is (858) titanium, nickel, palladium, or mixtures thereof.
  • the metal is (860) copper and each respective graphene stack in the plurality of graphene stacks is a monolayer.
  • the method further includes, while cooling the metal layer, applying (864) an electrical bias across each element in the plurality of elements.
  • applying the electrical bias across each of the one or more elements defines (884) an axis, and the migration of the metal is substantially parallel to the axis.

Abstract

A method of forming a graphene -based structure on a substrate comprises patterning the substrate thereby forming a plurality of elements on the substrate. The elements are overlaid onto the top surface with initiating layer that includes a carbon source and an initiating material being other than carbon. The initiating material is substantially entirely separated from the carbon source in at least a portion of each initiating layer, thus producing a graphene stack that is characterized by a thickness that is self limiting.

Description

Forming Graphene Devices Using Self-Limited Reactions
RELATED APPLICATIONS
[0001] This application claims priority to U.S. Provisional Patent Application
No. 61/780,406, filed March 13, 2013, entitled "Forming Graphene Devices Using Self- Limited Reactions," which is hereby incorporated by reference herein in its entirety.
TECHNICAL FIELD
[0002] The disclosed embodiments relate generally to methods for growth of graphene stacks on patterned substrates and, more particularly, to methods for growth of segmented (e.g., disjoint or isolated) graphene stacks on surfaces of a patterned substrate layer.
BACKGROUND
[0003] Graphene-based structures (such as graphene quantum dots, graphene nanoribbons, graphene nanonetworks, graphene plasmonics, and graphene super-lattices) exhibit chemical, mechanical, electronic, and optical properties that have applications and benefits in various electronic devices, composite materials, and implementations for energy generation and storage. Some graphene-based structures comprise one or more graphene stacks that are in electrical communication with one another and/or with an external circuit.
[0004] Conventional methods to produce such graphene-based structures with one or more graphene stacks include forming a continuous graphene film and then patterning (e.g., etching) the continuous graphene film to form isolated graphene stacks or films. A
disadvantage associated with this approach is that graphene is difficult to process chemically or mechanically— for example, it is difficult to control the etch-rate and etch-selectivity of graphene in relation to other materials used for device fabrication and processing.
[0005] Additionally, some methods of segmented graphene growth include oxidation of graphene from specific regions of the continuous graphene sheet to leave isolated graphene substantially in the non-oxidized regions. A disadvantage of this oxidative approach to segmented graphene growth includes a loss of active graphene area due to sub-optimal spatial control of the oxidation reaction, thereby compromising the packing density of the segmented graphene stacks.
[0006] Additionally, conventional methods to produce graphene-based structures with one or more graphene stacks are unable to control the thickness of the resulting graphene stack with a high level of precision.
[0007] Accordingly, there is a need for fabrication methods and graphene-based structures fabricated using these methods for reliably forming multiple segmented (e.g., isolated or disjoint) graphene stacks. There is also a need for fabrication methods and graphene-based structures fabricated using these methods that yield graphene stacks with a highly predictable and controllable thickness.
SUMMARY
[0008] Disclosed are methods for segmented graphene growth that overcome the abovementioned limitations in conventional approaches to growing segmented graphene stacks. One such method includes patterning a substrate to form a plurality of elements and a plurality of trenches on the substrate. Each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a corresponding trench in the plurality of trenches and each element in the plurality of elements has a top surface.
[0009] The method further includes segmentedly overlaying onto the top surface of each element in the plurality of elements a carbon source and an initiating material. The initiating material is other than carbon. In this way, a respective initiating layer is formed on each top surface in the plurality of elements, resulting in a plurality of initiating layers. Each respective initiating layer in the plurality of tops layers is characterized by a first thickness and overlays the top surface of a corresponding element in the plurality of elements.
[0010] The method further includes separating the initiating material substantially entirely from the carbon source in at least a portion of each respective initiating layer in the plurality of elements to form a graphene stack on the top surface of each element in the plurality of elements, thereby forming a plurality of graphene stacks.
[0011] Each graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting. [0012] An alternative method includes patterning a substrate to form a plurality of elements and a plurality of trenches on the substrate. Each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a corresponding trench in the plurality of trenches. Each element in the plurality of elements has a top surface. Each respective element in the plurality of elements comprises an initiating material that is other than carbon.
[0013] The method further includes segmentedly doping, through the top surface of each element in the plurality of elements, a carbon source to form a respective initiating layer of each element in the plurality of elements. The initiating layer of each respective element is proximal to the top surface of the element and comprises the carbon source and the initiating material, thereby forming a plurality of initiating layers. Furthermore, each respective initiating layer in the plurality of tops layers is characterized by a first thickness.
[0014] The method further includes separating the initiating material substantially entirely from the carbon source, in at least a portion of each respective initiating layer in the plurality of elements, to form a graphene stack on the top surface of each element in the plurality of elements, thereby forming a plurality of graphene stacks.
[0015] Each such graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting.
[0016] An alternative method includes patterning a substrate to form a plurality of elements and a plurality of ridges on the substrate. Each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a
corresponding ridge in the plurality of ridges and each element in the plurality of elements has a top surface.
[0017] The method further includes segmentedly overlaying onto the top surface of each element in the plurality of elements a carbon source and an initiating material. The initiating material is other than carbon. In this way, a respective initiating layer is formed on each top surface in the plurality of elements, resulting in a plurality of initiating layers. Each respective initiating layer in the plurality of tops layers is characterized by a first thickness and overlays the top surface of a corresponding element in the plurality of elements. [0018] The method further includes separating the initiating material substantially entirely from the carbon source in at least a portion of each respective initiating layer in the plurality of elements to form a graphene stack on the top surface of each element in the plurality of elements, thereby forming a plurality of graphene stacks.
[0019] Each graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting.
BRIEF DESCRIPTION OF THE DRAWINGS
[0020] Figure 1 A illustrates a top view of an exemplary graphene device topography fabricated by exemplary methods in accordance with some embodiments.
[0021] Figure IB illustrates a cross-sectional view of the exemplary graphene device topography taken along line la- la' of FIG. 1A and fabricated by an exemplary method in accordance with some embodiments.
[0022] Figure 1 C illustrates a top view of an exemplary graphene device topography fabricated by exemplary methods in accordance with some embodiments.
[0023] Figure ID illustrates a cross-sectional view of the exemplary graphene device topography taken along line lc-lc' of FIG. 1C and fabricated by an exemplary method in accordance with some embodiments.
[0024] Figure IE illustrates a top view of an exemplary graphene device topography fabricated by exemplary methods in accordance with some embodiments.
[0025] Figure IF illustrates a cross-sectional view of the exemplary graphene device topography taken along line le-le' of FIG. IE and fabricated by an exemplary method in accordance with some embodiments.
[0026] Figure 2A illustrates a top view of an exemplary graphene device topography fabricated by exemplary methods in accordance with some embodiments.
[0027] Figure 2B illustrates a cross-sectional view of the exemplary graphene device topography taken along line 2a-2a' of FIG. 2A and fabricated by an exemplary method in accordance with some embodiments. [0028] Figures 3A-3C illustrate top views of exemplary graphene device topographies fabricated by exemplary methods in accordance with embodiments of the present disclosure.
[0029] Figure 4 is a process diagram illustrating a process for fabricating graphene device topography by exemplary methods (e.g., using a silicon carbide based initiating layer formed using ion implantation) in accordance with some embodiments.
[0030] Figures 5 is a process diagram illustrating a process for fabricating graphene device topography by exemplary methods (e.g., using a silicon carbide based initiating layer formed using sputter deposition) in accordance with some embodiments.
[0031] Figures 6 is a process diagram illustrating a process for fabricating graphene device topography by exemplary methods (e.g., using a metal-based initiating layer) in accordance with some embodiments.
[0032] Figures 7A-7C are flowcharts of a method for fabricating graphene device topographies on a substrate in accordance with some embodiments (e.g., using a carbide based initiating layer formed using ion implantation).
[0033] Figures 8A-8D are flowcharts of a method for fabricating graphene device topographies on a substrate in accordance with some embodiments (e.g., using an initiating layer deposited on a top surface of a plurality of elements).
[0034] Like reference numerals refer to corresponding parts throughout the drawings.
DESCRIPTION OF EMBODIMENTS
[0035] It will be understood that, although the terms "first," "second," etc. are optionally used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without changing the meaning of the description, so long as all occurrences of the "first element" are renamed consistently and all occurrences of the second element are renamed consistently. The first element and the second element are both elements, but they are not the same element. [0036] The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the claims. As used in the description of the embodiments and the appended claims, the singular forms "a", "an" and "the" are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will also be understood that the term "and/or" as used herein refers to and encompasses any and all possible combinations of one or more of the associated listed items. It will be further understood that the terms "comprises" and/or "comprising," when used in this specification, specify the presence of stated features, integers, operations, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, operations, operations, elements, components, and/or groups thereof.
[0037] As used herein, the term "if may be construed to mean "when" or "upon" or
"in response to determining" or "in accordance with a determination" or "in response to detecting," that a stated condition precedent is true, depending on the context. Similarly, the phrase "if it is determined (that a stated condition precedent is true)" or "if (a stated condition precedent is true)" or "when (a stated condition precedent is true)" may be construed to mean "upon determining" or "in response to determining" or "in accordance with a determination" or "upon detecting" or "in response to detecting" that the stated condition precedent is true, depending on the context.
[0038] As used herein, the term "sheet" refers to a substantially two-dimensional or one-atom thick substance. For example, a "graphene sheet" refers to one-atom-thick substance with carbon atoms arranged in a hexagonal lattice. A "graphene sheet" also refers to a carbon-based sheet which comprises additional materials such as boron, oxides, dopants and/or edge atomic substitutes.
[0039] As used herein, the term "graphene stack" or "graphene layer" refers to one or more graphene sheets (e.g., one, a few, several, several tens, several hundreds or several thousands of graphene sheets). The collective thickness of a graphene stack can therefore range between a nanometer to several micrometers, or to several tens of micrometers depending upon the number of graphene sheets in the graphene stack. Final graphene stacks produced by the processes disclosed in this application can have a thickness in nanometers, and preferably less than fifty nanometers. The terms "graphene stack" and "graphene layer" are interchangeable in the present disclosure. [0040] Reference will now be made in detail to various embodiments, examples of which are illustrated in the accompanying drawings. In the following detailed description, numerous specific details are set forth in order to provide a thorough understanding of the invention and the described embodiments. However, the invention may be practiced without these specific details. In other instances, well-known methods, procedures, components, and circuits have not been described in detail so as not to unnecessarily obscure aspects of the embodiments.
[0041] In addition, for convenience of explanation, the methods and processes described herein are described with reference to "elements" and "trenches" (e.g., elements having a top surface at a height with respect to a substrate surface that is greater than a height of a trench surface with respect to the same substrate surface). One of skill in the art will recognize, however, that the methods and processes disclosed herein are equally applicable to elements that are separated by "ridges" (e.g., elements having a top surface at a height with respect to a substrate surface that is less than a height of a ridge surface with respect to the same substrate surface, hereinafter referred to as elements having a negative polarity). For example, in some circumstances, it is advantageous to produce one or more graphene stacks with a negative polarity with respect to a substrate (and/or ridge) surface. The present disclosure is intended to support embodiments in which one or more graphene stacks are produced at the bottom of elements having a negative polarity.
[0042] Figure 1 A illustrates a top view of an exemplary graphene device topography
100 fabricated by exemplary methods in accordance with some embodiments. Figure IB illustrates a cross-sectional view of the exemplary graphene device topography 100 taken along line l a- la' of Figure 1A. In some embodiments, the graphene -based structure and graphene device topography comprises a structure having at least one graphene stack on at least one surface of the structure.
[0043] As shown in Figures 1A-1B, patterned substrate 102 includes a plurality of elements 104 (e.g., elements 104-1 , 104-2, 104-n and the like) on substrate 102. Each respective element in the plurality of elements 104 is separated from an adjacent element on substrate 102 by a corresponding trench (e.g., trench 106-1 , 106-2, and the like) in a plurality of trenches 106 on substrate 102. For ease of explanation, respective elements are described herein as being distinct from a graphene stack (e.g., graphene stack 302-1, 302-2, 302-3, etc.), if any, that overlays a respective element.
[0044] In some embodiments, a substrate is a solid substance in a form of a thin slice
(e.g., a wafer or a portion of a wafer such as a chip or a die). The substrate can be planar or flexible (e.g., made of an organic or plastic material). In various embodiments, the substrate is made of a dielectric material, a semiconducting material, a metallic material, or a combination of such materials. Exemplary dielectric materials include glass, silicon dioxide, neoceram, and sapphire. Exemplary semiconducting materials include silicon (Si), silicon carbide (SiC), germanium (Ge), boron nitride (BN), and molybdenum sulfide (MoS).
Exemplary metallic materials comprise copper (Cu), nickel (Ni), platinum (Pt), gold (Au), cobalt (Co), ruthenium (Ru), palladium (Pd), titanium (Ti), silver (Ag), aluminum (Al), cadmium (Cd), iridium (Ir), combinations thereof, and alloys thereof. In some embodiments the substrate comprises Si, Si02, SiC, Cu, Ni, or other materials. In some embodiments, the substrate substantially comprises neoceram, barosilicate glass, germanium arsenide, a IV -V semiconductor material, a substantially metallic material, a high temperature glass, or a combination thereof.
[0045] In some embodiments, the substrate substantially comprises Si02 glass, soda lime glass, lead glass, doped Si02, aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz,
chalcogenide/sulphide glass, silicon, and/or monocrystalline silicon. . In some embodiments, the substrate is made of poly methyl methacrylate (PMMA), polyethylene terephthalate (PET), polyvinyl alcohol (PVA), or cellulose acetate (CA).
[0046] In some embodiments, the substrate includes one layer. In alternative embodiments, the substrate includes a plurality of layers. In some embodiments, a substrate comprises a plurality of layers, each with a different material. In some embodiments, a layer of another substance is applied onto the substrate. It should be understood that the term "substrate" hereinafter refers to any combination of layers upon which additional processing operations are performed. For instance, when one or more layers of a respective material (e.g., Si02, S13N4) is grown on a silicon wafer, the term substrate refers alternatively (e.g., depending on context) to the silicon alone or to the silicon wafer inclusive of the one or more layers. In some embodiments, the substrate has crystallographic symmetry.
[0047] In some embodiments, an element is a feature configured or generated on a substrate. In general, at least a portion of the element is on or above the substrate. In some embodiments, a trench is a recess formed in the substrate such as by etching, a space on or above the substrate formed for example by depositing two adjacent elements on or above the substrate, or a combination thereof.
[0048] As shown in Figure IB, each respective element (e.g., element 104-1 , element
104-2, and the like) in the plurality of elements 104 has a corresponding top surface (e.g., top surface 204-1 , 204-2 and the like). In some embodiments, each respective trench (e.g., trench 106-1 , 106-2, and the like) in the plurality of trenches 106 is characterized by a trench surface (e.g., trench surfaces 206-1 , 206-2 and the like). Each respective trench in the plurality of trenches 106 separates a pair of adjacent elements in the plurality of elements 104. For example, trench 106-1 separates a pair of adjacent elements 104-1 and 104-2. In some embodiments, each top surface of each respective element in the plurality of elements 104 is separated from an adjacent trench surface by a side wall of the respective element. For example, top surface 204-1 of element 104-1 is separated from trench surface 206-1 by side wall 208-1 of the respective element.
[0049] A top surface of a first element in the plurality of elements is characterized by a first elevation. A trench surface of a first trench in the plurality of trenches is characterized by a second elevation. The first trench separates the first element from another element in the plurality of elements. The first elevation is other than the second elevation. For example, as shown in Figure IB, top surface 204-1 of element 104-1 is characterized by first elevation HI and trench surface 206-1 of trench 106-1 is characterized by second elevation H2, where HI is distinct from H2.
[0050] In some embodiments, each element is characterized by a respective first width. For example, element 104-2 is characterized by a first width Wl . For example, element 104-2 is characterized by a first width Wl . In some embodiments, the first width is in a range that is between 5 nm and 120 nm, between 8 nm and 90 nm, between 5 nm and 40 nm, or between 5 nm and 30 nm. In some embodiments, each trench is characterized by a respective second width W2. In some embodiments, the second width is in a range that is between 5 nm and 500 nm, between 3 nm and 300 nm, between 10 nm and 100 nm, or between 5 nm and 40 nm. In some embodiments, each element is characterized by a respective first length. For example, element 104-2 is characterized by a first length LI . In some embodiments, the respective first width of each element is substantially uniform across the respective first length of the element.
[0051] It will be understood that a fair amount of process variation occurs in the dimensions (e.g., width, height, elevation, etc.) of the features described herein and thus, to a certain extent, dimensions disclosed herein necessarily represent a characteristic or average dimension, taking into account this process variation.
[0052] In some embodiments, the top surface of the first element and the trench surface of the first trench are separated by a first side wall of the first element (e.g., side wall 208-1 of element 104-1). The first side wall has a first height (e.g., as shown in Figure IB, side wall 208-1 has a first height Dl) in a direction substantially perpendicular to the substrate. For example, in some embodiments the first height is between 3 nm and 10 nm, between 10 nm and 2 μιη, between 15 nm and 1 μιη, or between 10 nm and 500 nm.
[0053] Figures 1A-1B further illustrate a graphene -based structure 100 created by forming graphene on the top surface 204 of each respective element in the plurality of elements 104. In some embodiments, forming graphene includes forming a respective graphene stack (e.g., graphene stack 302-1, 302-2, 302-3, and the like) on the top surface of each respective element of the plurality of elements 104. In some embodiments, each graphene stack has a respective final thickness. For example, graphene stack 302-N has a final thickness of TFn. As shown in Figures 1A-1B, the formed graphene stack 302 (e.g., including graphene stack 302-1 on the top surface 204-1 of element 104-1, graphene stack 302-2 on the top surface 204-2 of element 104-2, and the like) are segmented (e.g., disjoint, discontinuous, non-overlapping or isolated from one another).
[0054] In some embodiments, the respective graphene stack comprises about 1 to 300 graphene sheets. In some embodiments, the graphene stack comprises 1 graphene sheet. In various embodiments, the graphene stack comprises between 2 and 10 graphene sheets, between 10 and 30 graphene sheets, between 25 and 50 graphene sheets, between 50 and 100 graphene sheets, or over 100 graphene sheets. In some embodiments, the graphene stack has a thickness that is between 1 to 100 nm. In some embodiments, a sheet is a substantially two- dimensional or one-atom thick substance. In some embodiments, a graphene sheet is a one- atom-thick substance with carbon atoms arranged in a hexagonal lattice. In some
embodiments, a graphene sheet is a carbon-based sheet which comprises additional materials such as boron, oxides, dopants and/or edge atomic substitutes.
[0055] Figure 1C illustrates a top view of an exemplary graphene device topography
150 fabricated by exemplary methods in accordance with some embodiments. Figure ID illustrates a cross-sectional view of the exemplary graphene device topography 150 taken along line lc-lc' of Figure 1C.
[0056] Figure 1C is analogous to Figure 1A in that like numbered features of Figure
1C are analogous to their corresponding features in Figure 1A. Likewise, Figure ID is analogous to Figure IB in that like numbered features of Figure ID are analogous to their corresponding features in Figure IB. However, Figure 1C illustrates embodiments in which the graphene device topography includes a vertical (or substantially vertical) metal layer 108 (e.g., metal layer 108-1, 108-2, etc.). In some embodiments, the vertical metal layer 108 is disposed on each side wall of an element 104 (e.g., metal layer 108-1). In some embodiments, the vertical metal layer is disposed on a subset of side walls of an element (e.g., element 104- 2, which has metal layers 108-2 disposed on the sidewalls corresponding to a long axis of element 104-2 or element 104-3 which has metal layers 108-3 disposed on the sidewalls corresponding to a short axis of element 104-3). In some embodiments, metal layer 108 overlaps with a portion of a graphene stack disposed on the top surface of an element (e.g., metal layer 108-N overlaps a portion of graphene stack 302-N disposed on top surface 204-N of element 104-N).
[0057] Figure IE illustrates a top view of an exemplary graphene device topography
180 fabricated by exemplary methods in accordance with some embodiments. Figure IF illustrates a cross-sectional view of the exemplary graphene device topography 150 taken along line le-le' of Figure IE.
[0058] Figure IE is analogous to Figure 1A in that like numbered features of Figure
IE are analogous to their corresponding features in Figure 1A. Likewise, Figure IF is analogous to Figure IB in that like numbered features of Figure IF are analogous to their corresponding features in Figure IB. However, Figure IF also illustrates an optional top layer 1 10 (e.g., initiating layer 1 10-1 through initiating layer 1 10-4) of each element 104. In some embodiments, top layer 1 10 substantially comprises Si02 glass, silicon nitride, soda lime glass, lead glass, doped Si02, aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz or
chalcogenide/sulphide glass. In some embodiments, the top layer is made of poly methyl methacrylate (PMMA), polyethylene terephthalate (PET), polyvinyl alcohol (PVA), or cellulose acetate (CA). In some circumstances, top layer 1 10 provides a hard boundary through which graphene cannot be formed, thus making the self-limiting nature of the thickness TFn more robust to process variations, as described in greater detail below.
[0059] Figure 2A shows a top view of an exemplary graphene device topography 200 fabricated by exemplary methods in accordance with some embodiments. Figure 2B illustrates a cross-sectional view of the exemplary graphene device topography 200 taken along line 2a-2a' of FIG. 2A and fabricated by an exemplary method in accordance with some embodiments.
[0060] In some embodiments, as shown in Figure 2B, the top surface of the first element (e.g., top surface 204-1 of element 104-1 , Figure 2B) and the trench surface of the first trench (e.g., trench surface 206-1 of trench 106-1 , Figure 2B) are separated by a first side wall of the first element (e.g., side wall 208-1 of element 104-1 , Figure 2B). In some embodiments, the patterning (e.g., lithography, etching, and/or other operations) causes the first side wall of the first element (e.g., side wall 208-1 of element 104-1) to have an obtuse entry angle (e.g., angle al s Figure 2B) with respect to the top surface of the first element (e.g., with respect to top surface 204-1 of element 104-1 , Figure 2B), thereby causing the combination of the top surface and the trench surface to have a surface area that is greater than a surface area of the corresponding portion of the substrate prior to patterning.
[0061] Figures 2A-2B further illustrate a graphene -based structure 200 formed by forming graphene on the top surface 204 of each respective element in the plurality of elements 104. In some embodiments, forming graphene includes forming a respective graphene stack (e.g., graphene stacks 302-1 , 302-2, 302-3, and the like) on the top surface of each respective element of the plurality of elements 104. As shown in Figures 2A-2B, the formed graphene stacks 302 (e.g., including graphene stack 302-1 on the top surface 204-1 of element 104-1 , graphene stack 302-2 on the top surface 204-2 of element 104-2, and the like) are segmented (e.g., disjoint, discontinuous, non-overlapping or isolated from one another). In some embodiments, the graphene stack on the top surface of each respective element in the plurality of elements forms a single continuous layer on the surface of the respective element. In some embodiments, the layer is simply connected (e.g., there are no holes or gaps in the graphene stack, or regions whereupon an island of material other than the graphene stack intrudes upon the layer).
[0062] Figures 3A-3C illustrate top views of exemplary graphene device topographies fabricated by exemplary methods in accordance with some embodiments.
[0063] Continuing to refer to Figures 3A-3C, as in the previous examples described with reference to Figure and Figure 2, the first four elements in the plurality of elements 104 (e.g., elements 104-1 through 104-4) are ribs. In some embodiments, a respective rib has a length and a width, where the length is at least two times the width. In some embodiments, the length of the rib is between two times and five times the width the rib. For example, the elements 104-1 through 104-4 (shown in Figure 3 A) are ribs where the length is at least two times the width.
[0064] In various embodiments, a respective rib in a plurality of ribs has a width that is between 1 nm and 10 nm, between 10 nm and 20 nm, between 20 nm and 30 nm, between 30 nm and 40 nm, between 50 nm and 100 nm, or between 100 nm and 500 nm. In some embodiments, the respective rib has a width that is on the order of nanometers, and the width of each rib does not deviate from the width of any other rib in the plurality of ribs by more than 0.1 nm, by more than 0.2 nm, by more than 0.3 nm, by more than 0.4 nm, by more than 0.5 nm, by more than 0.6 nm, by more than 0.7 nm, by more than 0.8 nm, by more than 0.9 nm, by more than 1 nm, by more than 2 nm, by more than 3 nm, by more than 4 nm, or by more than 5 nm.
[0065] In some embodiments, a respective element in the plurality of elements 104 is a mesa. In some embodiments, a mesa is an island isolated from other features on the substrate or a plateau on the substrate. In some embodiments, a mesa has at least one dimension that is relatively large and thus can be used as a basis for further processing of more complex structures. In some embodiments, a mesa has a topographical height feature, providing a capability for vertical isolation and/or size for desired functionality. In some embodiments, the mesa has a size in any dimension that is between 10 nm and 100 nm, between 100 nm and 1 μιη, or between 1 μιη and 10 μιη. In some embodiments, each mesa in a plurality of mesa has a largest dimension that is on the order of nanometers, and does not deviate from the largest dimension of any other mesa in a plurality of mesas by more than 0.1 nm, by more than 0.2 nm, by more than 0.3 nm, by more than 0.4 nm, by more than 0.5 nm, by more than 0.6 nm, by more than 0.7 nm, by more than 0.8 nm, by more than 0.9 nm, by more than 1 nm, by more than 2 nm, by more than 3 nm, by more than 4 nm, or by more than 5 nm.
[0066] In some embodiments, the first element in the plurality of elements 104 is a pillar. In some embodiments, the pillar (e.g., element 104-5, Figure 3B) is substantially circular. In some embodiments, the pillar (e.g., element 104-8, Figure 3C is substantially ovoid. In some embodiments, the pillar (e.g., element 104-6 or element 104-9, Figure 3C) is an N-sided polygon. In some embodiments, the N-sided polygon is characterized by N internal angles, each being an acute angle or an obtuse angle (i.e., each of the N internal angles measure less than 180 degrees). In some embodiments, the elements 104 have holes within them.
[0067] Figure 4 is a process diagram illustrating a process for fabricating graphene device topography by exemplary methods (e.g., using a silicon carbide based initiating layer formed using ion implantation) in accordance with some embodiments.
[0068] Shown in operation 400-1 is a substrate 102 comprising a substrate material.
In some embodiments, the substrate substantially comprises neoceram, barosilicate glass, germanium arsenide, a IV-V semiconductor material, a substantially metallic material, a high temperature glass, or a combination thereof. In some embodiments, the substrate substantially comprises Si02 glass, soda lime glass, lead glass, doped Si02, aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz, chalcogenide/sulphide glass, silicon, and/or monocrystalline silicon. In some embodiments, the substrate substantially comprises any of the materials disclosed for substrates herein.
[0069] As shown in process operation 400-2, in some embodiments substrate 102 is patterned to form a plurality of elements 104 (e.g., elements 104-1 and 104-2). Each respective element in the plurality of elements 104 is separated from an adjacent element on the substrate 102 by a corresponding trench (e.g., trench 106-1, 106-2, and the like) in a plurality of trenches 106 on substrate 102. In some embodiments, the plurality of elements 104 is formed by forming a mask over the substrate 102 (e.g., by using optical or e-beam lithography techniques) and etching selected portions of the substrate 102 in accordance with the mask (e.g., with wet-etching techniques such as potassium hydroxide etching, or with dry-etching techniques such as reactive ion etching).
[0070] As shown in Figure 4, in some embodiments, the plurality of elements 104 comprises substantially the same material as substrate 102. In alternative embodiments, the plurality of elements 104 comprises a respective secondary material, distinct from the substrate material. In some embodiments, the respective secondary material comprises one or more of: a dielectric material, a metal oxide, a metal nitride, a semi-conductor material, a metal, or a combination thereof. In some embodiments, the plurality of elements comprise a combination of the same material as the substrate 102 and one or more secondary materials (e.g., one or more secondary materials form layers segmentedly overlaying a patterned portion of substrate 102).
[0071] Further, as shown in process operation 400-3, each element is segmentedly doped through its respective top surface 204 (e.g., top surface 204-1, 204-2) with a carbon source thereby forming an initiating layer 402 (sometimes referred to as a graphene initiating layer) towards the top of each element. In some circumstances, the initiating layer includes the carbon dopants as well as the pre-existing (e.g., before the doping) material that received the dopants (such as the same material as the substrate when the elements comprise the same material as the substrate). In some embodiments, segmentedly doping the initiating layer through the top surface includes ion implanting silicon. The implanted silicon ions form a concentration of carbon ions (e.g., carbon ion 403-1, carbon ion 403-2, etc.). In some embodiments, the implanted carbon ions deposited through the top surface form, together with a silicon substrate, a silicon carbide layer. In some embodiments, as shown in process operation 400-3, the graphene initiating layer (e.g., graphene initiating layer 402, including the native silicon implanted with carbon ions) has a substantially planar profile or substantially planar top surface. In some embodiments, the top surface is defined by a particular crystal plane of the substrate (e.g., the Si[100], Si[l 11]). In some embodiments, the particular crystal plane defining the top surface is the same as a crystal plane defining the respective trench surfaces (e.g., trench surfaces 206).
[0072] Further, as shown in process operation 400-4, a graphene -based structure is formed by separating the initiating material from the carbon to form graphene. In some embodiments, the initiating material is separated from the carbon by annealing the initiating layer. In some circumstances, annealing the imitating layer results in a sublimation of the initiating material (e.g., silicon) resulting in the complete (or substantially complete) vaporization of the initiating material in at least a portion of a top layer. In some
embodiments, the result of the separation is that the entire (or substantially entire) initiating material is consuming in the initiating layer, resulting in a graphene stack that is self-limiting in thickness. Therefore, the graphene-stack thickness is robust to process variables (e.g., temperature, pressure, and duration of annealing).
[0073] Figure 5 is a process diagram illustrating a process 500 for fabricating graphene device topography by exemplary methods (e.g., using a silicon carbide based graphene initiating layer) in accordance with some embodiments.
[0074] In some embodiments, as shown in operation 500- 1 of Figure 5, a substrate comprises a plurality of layers. For example, the substrate includes a first substrate layer 103 comprising a first material (e.g., Si02) and a second layer 102 comprising a second material (e.g., crystalline Si).
[0075] As shown in operation 500-2, the substrate is patterned to form a plurality of elements 104 (e.g., elements 104-1 and 104-2). Each respective element in the plurality of elements 104 is separated from an adjacent element on the substrate by a corresponding trench (e.g., trench 106-1 , 106-2, and the like) in a plurality of trenches 106 on the substrate. In some embodiments, each element comprises at least a top layer 1 10. In some
embodiments, the plurality of trenches 106 are formed by removing portions of a first material (and optionally portions of a second material) from predefined regions of respective substrate layer 103. In some embodiments, the first material is removed from predefined regions of the first layer by etching the plurality of trenches into the first layer 103. In some embodiments, respective substrate layer 103 comprises a native oxide material of the first material (e.g., a native Si02 layer grown on a silicon wafer) and portions of the respective material are removed from predefined regions of respective substrate layer 103 using photolithography and/or electron beam lithography combined with one or more etching techniques.
[0076] In alternative embodiments, the substrate comprises a single layer (e.g., made of a substrate material). In such embodiments, the plurality of trenches is formed by removing portions of substrate material from predefined regions of the substrate. In some embodiments, portions of the substrate material are removed by etching the plurality of trenches into the substrate. In some embodiments, the plurality of trenches are etched into the substrate or into respective substrate layer 103 by photolithography, x-ray lithography, reactive ion-etching, plasma etching, sputter etching, e-beam direct writing, or a combination thereof.
[0077] Further, as shown in operation 500-3 of Figure 5, a graphene initiating layer
(e.g., graphene initiating layer 502, including segmented graphene initiating layers 502-1 and 502-2) is segmentedly overlaid (e.g., through a process such as chemical vapor deposition or sputtering) onto the top surface (e.g., top surface 204-1 , 204-2) of each respective element in the plurality of elements 104. In some embodiments, the graphene initiating layer
substantially comprises a compound of carbon (e.g., silicon carbide). In some embodiments, as shown in operation 500-3, the overlaid graphene initiating layer (e.g., graphene initiating layer 502, including segmented metal graphene initiating layers 402-1 and 402-2) has a substantially planar profile or substantially planar top surface.
[0078] Further, as shown in operation 500-4 of Figure 5, a graphene -based structure is formed by separating the initiating material from the carbon within the graphene initiating layer 502 (e.g., segmented silicon carbide graphene initiating layers 502-1 and 502-2). In some embodiments, where the graphene initiating layer substantially comprises a compound of carbon (e.g., silicon carbide), graphene is generated by heating the graphene initiating layer to vaporize an element other than carbon from the compound of carbon, thereby forming the graphene-based structure. In some embodiments, when the graphene initiating layer 502 is made of silicon carbide, graphene 302 is generated using graphene initiating layer 502 by heating the silicon carbide to vaporize elemental silicon in the silicon carbide, thereby forming the graphene-based structure. In some embodiments, as shown in operation 500-4, the graphene stack 302 generated using the graphene initiating layer has a
substantially planar profile or substantially planar top surface. [0079] Figure 6 is a process diagram illustrating a process 600 for fabricating a graphene device topography by exemplary methods (e.g., using a metal based graphene initiating layer) in accordance with some embodiments.
[0080] Shown in operation 600-1 is a substrate 102 comprising a substrate material.
In some embodiments, the substrate substantially comprises neoceram, barosilicate glass, germanium arsenide, a IV-V semiconductor material, a substantially metallic material, a high temperature glass, or a combination thereof. In some embodiments, the substrate
substantially comprises Si02 glass, soda lime glass, lead glass, doped Si02, aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz chalcogenide/sulphide glass, silicon, and/or monocrystalline silicon. . In some embodiments, the substrate 102 substantially comprises any of the materials disclosed for substrates herein.
[0081] Also shown in operation 600-1, in some embodiments substrate 102 is patterned to form a plurality of elements 104 (e.g., elements 104-1 and 104-2). Each respective element in the plurality of elements 104 is separated from an adjacent element on the substrate 102 by a corresponding trench (e.g., trench 106-1, 106-2, and the like) in a plurality of trenches 106 on substrate 102. In some embodiments, the plurality of elements 104 is formed by growing portions of substrate material selectively at regions of the substrate corresponding to the plurality of elements. Alternatively, or in addition, as shown in operation 600-1, the plurality of elements is formed by growing at least one respective secondary material, distinct from a substrate material, selectively on regions of the substrate corresponding to the plurality of elements.
[0082] In some embodiments, the plurality of elements 104 comprises substantially the same material as substrate 102. In alternative embodiments, the plurality of elements 104 comprises a respective secondary material, distinct from the substrate material. In some embodiments, the respective secondary material comprises one or more of: a dielectric material, a metal oxide, a metal nitride, a semi-conductor material, a metal, or a combination thereof.
[0083] Further, as shown in operation 600-2, a metallic graphene initiating layer (e.g., graphene initiating layer 402, including segmented metallic graphene initiating layers 602-1 and 602-2, etc.) is segmentedly overlaid onto the top surface (e.g., top surface 204-1, 204-2, etc.) of each respective element in the plurality of elements 104. In some embodiments, the graphene initiating layer substantially comprises an elemental metal selected from the group consisting of: platinum, gold, palladium, ruthenium, aluminum, titanium, tungsten, cadmium, nickel, nickel foam, iron, or a combination thereof. In some embodiments, the metal graphene initiating layer is sputter-deposited onto the top surface 204 of each respective element in the plurality of elements 104. In some embodiments, a lift-off process using, for example, electron beam or optical lithography is used to pattern the metal segmentedly onto the top surface of the elements. In some embodiments, as shown in operation 600-2, the deposited graphene initiating layer {e.g., graphene initiating layer 602, including segmented metal graphene initiating layers 602-1 and 602-2) has a substantially planar profile or substantially planar top surface.
[0084] Further, as shown in operation 600-3, in some embodiments, the metal is heated and carbon is introduced into the metal layer during heating. In some embodiments, for example, a chemical vapor deposition (CVD) process is performed by heating the metal (e.g., to a temperature between 600-1100 degrees Celsius), introducing a carbon source (e.g., a carbon precursor such as methane) as well as hydrogen gas (e.g., at a partial pressure ratio of between 8: 1 and 10: 1 methane to hydrogen gas, such as 250 Pa : 25 Pa) and decomposing the carbon precursor to form a concentration of carbon within the metal. In some
embodiments, the carbon concentration is supersaturated within the metal. In some embodiments, a duration of the heating is a function of a metal migration speed of the metal. In some embodiments, the CVD process is a plasma-enhanced CVD process.
[0085] Further, as shown in operation 600-4, in some embodiments, the metal layer is cooled to separate the carbon from the metal and thus form a graphene stack. In some embodiments, the metal layer is rapidly cooled {e.g., by active cooling). In some
embodiments, the separating of the metal and the carbon includes migration of the metal from a center of each element to an edge of each element. In some embodiments, while cooling the metal layer, an electrical bias is applied across each element in the plurality of elements {e.g., between a first location on a respective element and a second location on the same respective element), resulting in separation of the metal and the carbon by electro-migration. In some embodiments, applying the electrical bias across each of the one or more elements defines an axis, and the migration of the metal is substantially parallel to the axis. In some
embodiments, the metal is copper and each respective graphene stack in the plurality of graphene stacks is a monolayer. In some embodiments, the metal migrates from within the top layers in the plurality of top layers to respective sides of the top layers. The metal forms a first and second lead on respective first and second sides of each element in the plurality of elements upon migration in the heating operation.
[0086] Figures 7A-7C are flowcharts of a method 700 for fabricating graphene device topographies on a substrate in accordance with some embodiments (e.g., using a carbide based initiating layer formed using ion implantation).
[0087] The method includes patterning (702) a substrate to form a plurality of elements and a plurality of trenches on the substrate. Each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a corresponding trench in the plurality of trenches, each element in the plurality of elements has a top surface, and each respective element in the plurality of elements comprises an initiating material being other than carbon. In some embodiments, the substrate substantially comprises (704) Si02 glass, soda lime glass, lead glass, doped Si02, aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz, chalcogenide/sulphide glass, silicon, and/or monocrystalline silicon. In some embodiments, the substrate substantially comprises (706) a material selected from the group consisting of: neoceram, barosilicate glass, germanium arsenide, a IV -V semiconductor material, a substantially metallic material, a high temperature glass, and a combination thereof.
[0088] In some embodiments, each respective element in the plurality of elements is
(708) a rib (e.g., elements 104-1 through 104-4, Figure 3), a mesa, a pillar (e.g., element 104- 5, Figure 3), or any combination thereof. In some embodiments, each respective element in the plurality of elements is (710) an N-sided polygon characterized by N internal angles, the N internal angles each measuring less than 180 degrees (e.g., elements 104-6, 104-7, Figure 3).
[0089] In some embodiments, the plurality of elements is formed (712) by growing portions of substrate material or growing at least one respective secondary material selectively at regions of the substrate or the secondary material corresponding to the plurality of elements (e.g., top layer 1 10 in Figure 5). For example, in some embodiments, the substrate includes (714) a first material and the method further includes, overlaying (716) the substrate with a mask layer, the mask layer comprising a second material distinct from the first material and other than carbon, forming (718) a mask over the first layer, and selectively removing (720) trench regions of the mask layer in accordance with the mask to form the plurality of trenches. The regions of the mask layer remaining on substrate form the plurality of elements.
[0090] In some embodiments, the plurality of trenches is formed (722) by removing portions of substrate material from predefined regions of the substrate or portions of a respective material of a respective substrate layer from predefined regions of the respective substrate layer, for example, by forming (724) a mask on the substrate and selectively removing (726) trench regions of the substrate in accordance with the mask to form the plurality of trenches. The remaining regions of the substrate form the plurality of elements.
[0091] The method further includes segmentedly doping (728) through the top surface of each element in the plurality of elements a carbon source to form a respective top layer of each element in the plurality of elements (e.g., operation 400-3, Figure 4). The top layer of each respective element is proximal to the top surface of the element and comprises the carbon source and the initiating material, thereby forming a plurality of top layers. Each respective top layer in the plurality of tops layers is characterized by a first thickness. In some embodiments, the substrate includes (730) silicon, the second material (cf. operation 716) includes a dielectric material, the initiating material includes silicon, and each respective top layer in the plurality of top layers includes silicon carbide.
[0092] The method further includes separating (732) the initiating material substantially entirely from the carbon source in at least a portion of each respective top layer in the plurality of elements to form a graphene stack on the top surface of each element in the plurality of elements thereby forming a plurality of graphene stacks. Each graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting. In some embodiments, the method further includes annealing (734) each respective top layer in the plurality of top layers to vaporize substantially all of the silicon from each respective top layer in the plurality of top layers (e.g., operation 400-4 in Figure 4, operation 500-4 in Figure 5). In some embodiments, the second thickness of a graphene stack in the plurality of graphene stacks is (736) self-limiting with respect to a total amount of carbon in the corresponding top layer in the plurality of top layers. In some embodiments, the second thickness of a graphene stack in the plurality of graphene stacks is (738) determined at least in part on the first thickness of the corresponding top layer in the plurality of top layers.
[0093] Figures 8A-8D are flowcharts of a method 800 for fabricating graphene device topographies on a substrate in accordance with some embodiments (e.g., using an initiating layer deposited on a top surface of a plurality of elements).
[0094] The method 800 includes patterning (802) a substrate to form a plurality of elements and a plurality of trenches on the substrate (e.g., as shown in operation 400-2 in Figure 4, 500-2 in Figure 5, and 600-1 in Figure 6). Each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a corresponding trench in the plurality of trenches and each element in the plurality of elements has a top surface. In some embodiments, the substrate substantially comprises (804) Si02 glass, soda lime glass, lead glass, doped Si02, aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz, chalcogenide/sulphide glass, silicon, and/or monocrystalline silicon. . In some embodiments, the substrate substantially comprises (806) a material selected from the group consisting of: neoceram, barosilicate glass, germanium arsenide, a IV-V semiconductor material, a substantially metallic material, a high temperature glass, and a combination thereof.
[0095] In some embodiments, each respective element in the plurality of elements is
(808) a rib (e.g., elements 104-1 through 104-4, Figure 3), a mesa, a pillar (e.g., element 104- 5, Figure 3), or any combination thereof. In some embodiments, each respective element in the plurality of elements is (810) an N-sided polygon characterized by N internal angles, the N internal angles each measuring less than 180 degrees (e.g., elements 104-6, 104-7, Figure 3).
[0096] In some embodiments, the plurality of elements is formed (812) by growing portions of substrate material or growing at least one respective secondary material selectively at regions of the substrate or the secondary material corresponding to the plurality of elements (e.g., top layer 1 10 in Figure 5). For example, in some embodiments, the substrate includes (814) a first material and the method further includes, overlaying (816) the substrate with a mask layer, the mask layer comprising a second material distinct from the first material and other than carbon, forming (818) a mask over the first layer, and selectively removing (820) trench regions of the mask layer in accordance with the mask to form the plurality of trenches. The regions of the mask layer remaining on substrate form the plurality of elements.
[0097] In some embodiments, the plurality of trenches is formed (822) by removing portions of substrate material from predefined regions of the substrate or portions of a respective material of a respective substrate layer from predefined regions of the respective substrate layer, for example, by forming (824) a mask on the substrate and selectively removing (826) trench regions of the substrate in accordance with the mask to form the plurality of trenches. The remaining regions of the substrate form the plurality of elements.
[0098] The method further includes segmentedly overlaying (828) onto the top surface of each element in the plurality of elements a carbon source and an initiating material, the initiating material being other than carbon, to form a respective top layer on each top surface in the plurality of elements, thereby forming a plurality of top layers (e.g., segmented graphene initiating layers 502 in Figure 5, segmented graphene initiating layers 602 in Figure 6). Each respective top layer in the plurality of tops layers is characterized by a first thickness and overlays the top surface of a corresponding element in the plurality of elements. In some embodiments, the substrate includes (830) silicon, the second material (cf. operation 816) includes a dielectric material, the initiating material includes silicon, and each respective top layer in the plurality of top layers includes silicon carbide. In some embodiments, each top layer in the plurality of top layers includes (832) silicon carbide, and the segmentedly overlaying includes depositing the carbon source and the initiating material using sputter deposition (e.g., operation 500-3, Figure 5). In some embodiments, the initiating material is a metal (834) and the method further includes overlaying (836) the plurality of elements with the metal to thereby form the plurality of top layers and heating (838) the metal layer while concurrently decomposing the carbon source to produce a concentration of carbon within the plurality of top layers (e.g., operations 600-2 and 600-3, Figure 6).
[0099] The method further includes separating (840) the initiating material substantially entirely from the carbon source in at least a portion of each respective top layer in the plurality of elements to form a graphene stack on the top surface of each element in the plurality of elements thereby forming a plurality of graphene stacks. Each graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting. In some embodiments, the method further includes annealing (842) each respective top layer in the plurality of top layers to vaporize substantially all of the silicon from each respective top layer in the plurality of top layers (e.g., operation 400-4 in Figure 4, operation 500-4 in Figure 5). In some embodiments, the second thickness of a graphene stack in the plurality of graphene stacks is (844) self-limiting with respect to a total amount of carbon in the corresponding top layer in the plurality of top layers. In some embodiments, the second thickness of a graphene stack in the plurality of graphene stacks is (846) determined at least in part on the first thickness of the corresponding top layer in the plurality of top layers.
[00100] In some embodiments, the method further including cooling (848) the plurality of top layers at a sufficient rate to cause migration of the metal (cf. operation 834) in each respective top layer in the plurality of top layers (e.g., operation 600-4, Figure 6). In some embodiments, the metal migrates (850) from within the top layers in the plurality of top layers to respective sides of the top layers during the separating operation. In some embodiments, the metal forms (852) a first and second lead on respective first and second sides of each element in the plurality of elements upon migration in the heating operation. In some embodiments, a duration of the heating is (854) a function of a metal migration speed of the metal. In some embodiments, the concentration is (856) a supersaturated concentration. In some embodiments, the metal is (858) titanium, nickel, palladium, or mixtures thereof. The metal is (860) copper and each respective graphene stack in the plurality of graphene stacks is a monolayer.
[00101] In some embodiments, the method further includes, while cooling the metal layer, applying (864) an electrical bias across each element in the plurality of elements. In some embodiments, applying the electrical bias across each of the one or more elements defines (884) an axis, and the migration of the metal is substantially parallel to the axis.
[00102] The foregoing description, for purpose of explanation, has been described with reference to specific embodiments. However, the illustrative discussions above are not intended to be exhaustive or to limit the invention to the precise forms disclosed. Many modifications and variations are possible in view of the above teachings. The embodiments were chosen and described in order to best explain the principles of the invention and its practical applications, to thereby enable others skilled in the art to best utilize the invention and various embodiments with various modifications as are suited to the particular use contemplated.

Claims

What is claimed is:
1. A method of forming a graphene device comprising:
patterning a substrate to form a plurality of elements and a plurality of trenches on the substrate, wherein each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a corresponding trench in the plurality of trenches and wherein each element in the plurality of elements has a top surface;
segmentedly overlaying onto the top surface of each element in the plurality of
elements a carbon source and an initiating material, the initiating material being other than carbon, to form a respective initiating layer on each top surface in the plurality of elements, thereby forming a plurality of initiating layers, wherein each respective initiating layer in the plurality of tops layers is characterized by a first thickness and overlays the top surface of a corresponding element in the plurality of elements; and
separating the initiating material substantially entirely from the carbon source in at least a portion of each respective initiating layer in the plurality of elements to form a graphene stack on the top surface of each element in the plurality of elements thereby forming a plurality of graphene stacks,
wherein each graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting.
2. The method of claim 1, wherein the second thickness of a graphene stack in the plurality of graphene stacks is self-limiting with respect to a total amount of carbon in the corresponding initiating layer in the plurality of initiating layers.
3. The method of claim 1 or 2, wherein the second thickness of a graphene stack in the plurality of graphene stacks is determined at least in part on the first thickness of the corresponding initiating layer in the plurality of initiating layers.
4. The method of any one of claims 1-3, wherein the substrate comprises a first material and the patterning further comprises:
overlaying the substrate with a mask layer, the mask layer comprising a second
material distinct from the first material and other than carbon; forming a mask over the mask layer; and
selectively removing trench regions of the mask layer in accordance with the mask to form the plurality of trenches; and
wherein the regions of the mask layer remaining on substrate form the plurality of elements.
5. The method of any one of claims 1-3, wherein the patterning further comprises: forming a mask on the substrate;
selectively removing trench regions of the substrate in accordance with the mask to form the plurality of trenches; and
wherein the remaining regions of the substrate form the plurality of elements.
6. The method of claim 4, wherein:
the substrate comprises silicon;
the second material comprises a dielectric material;
the initiating material comprises silicon, and wherein each respective initiating layer in the plurality of initiating layers comprises silicon carbide.
7. The method of claim 6, wherein separating the initiating material comprises annealing each respective initiating layer in the plurality of initiating layers to vaporize substantially all of the silicon from each respective initiating layer in the plurality of initiating layers.
8. The method of claim 1 , wherein:
each initiating layer in the plurality of initiating layers comprises silicon carbide; and the segmentedly overlaying further comprises depositing the carbon source and the initiating material using sputter deposition.
9. The method of claim I, wherein:
the initiating material is a metal; and
the segmentedly overlaying further comprises:
overlaying the plurality of elements with the metal to thereby form the plurality of initiating layers;
heating the metal layer while concurrently decomposing the carbon source to produce a concentration of carbon within the plurality of initiating layers; and the separating the initiating material comprises cooling the plurality of initiating layers at a sufficient rate to cause migration of the metal in each respective initiating layer in the plurality of initiating layers.
10. The method of claim 9, wherein the metal migrates from within the initiating layers in the plurality of initiating layers to respective sides of the initiating layers during the separating operation.
11. The method of claim 9 or 10, wherein the metal forms a first and second lead on respective first and second sides of each element in the plurality of elements upon migration in the heating operation.
12. The method of any one of claims 9-11, wherein a duration of the heating is a function of a metal migration speed of the metal.
13. The method of any one of claims 9-12, wherein the concentration is a supersaturated concentration.
14. The method of any one of claims 9-13, wherein the carbon source is methane.
15. The method of any one of claims 9-14, wherein the metal is titanium, nickel, palladium, or mixtures thereof.
16. The method of any one of claims 9-14, wherein the metal is copper and each respective graphene stack in the plurality of graphene stacks is a monolayer.
17. The method of claim 1 , wherein the plurality of trenches is formed by removing portions of substrate material from predefined regions of the substrate or portions of a respective material of a respective substrate layer from predefined regions of the respective substrate layer.
18. The method of claim 1 , wherein the plurality of elements is formed by growing portions of substrate material or growing at least one respective secondary material selectively at regions of the substrate or the secondary material corresponding to the plurality of elements.
19. The method of any one of claims 1-5 or 8-18, wherein the substrate substantially comprises a material selected from the group consisting of: neoceram, barosilicate glass, germanium arsenide, a IV-V semiconductor material, a substantially metallic material, a high temperature glass, and a combination thereof.
20. The method of any one of claims 1-5 or 8-18, wherein the substrate substantially comprises Si02 glass, soda lime glass, lead glass, doped Si02, aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz, chalcogenide/sulphide glass, silicon, and monocrystalline silicon.
21. The method of claim 9, further comprising:
while cooling the metal layer, applying an electrical bias across each element in the plurality of elements.
22. The method of claim 21 , wherein:
applying the electrical bias across each of the one or more elements defines an axis; and
the migration of the metal is substantially parallel to the axis.
23. The method of any one of claims 1 -22, wherein each element in the plurality of elements has a width that is between 2 nm and 100 nm.
24. The method of claim 23, wherein each element in the plurality of elements has a length and a width, wherein the respective width of each element is substantially uniform across the respective length of the element.
25. The method of any one of claims 1-24, wherein each graphene stack in the plurality of graphene stacks comprises between 1 to 500 graphene sheets.
26. The method of any one of claims 1-25, wherein each respective element in the plurality of elements is a rib, a mesa, a pillar, or any combination thereof.
27. The method of any one of claims 1-25, wherein each respective element in the plurality of elements is an N-sided polygon characterized by N internal angles, the N internal angles each measuring less than 180 degrees, wherein N is a positive integer greater than 2.
28. The method of any one of claims 1-27, wherein an element in the plurality of elements has an optical band gap.
29. The method of any one of claims 1-28, wherein the graphene stack on the top surface of each respective element in the plurality of elements forms a single continuous layer on the surface of the respective element.
30. The method of claim 1, wherein the separating further comprises, for each respective element in the plurality of elements, separating the initiating material substantially entirely from the carbon source in the initiating layer of the respective element to form the graphene stack substantially entirely covering the top surface of the respective element.
31. The method of claim 1 , wherein the separating further comprises, for each respective element in the plurality of elements, moving the initiating material from the initiating layer of the respective to one or more side walls of the respective element.
32. A method of forming a graphene device comprising:
patterning a substrate to form a plurality of elements and a plurality of trenches on the substrate, wherein:
each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a corresponding trench in the plurality of trenches;
each respective element in the plurality of elements has a top surface; each respective element in the plurality of elements comprises an initiating material being other than carbon;
segmentedly doping through the top surface of each element in the plurality of
elements a carbon source to form a respective initiating layer of each element in the plurality of elements, wherein the initiating layer of each respective element is proximal to the top surface of the element and comprises the carbon source and the initiating material, thereby forming a plurality of initiating layers, wherein each respective initiating layer in the plurality of tops layers is characterized by a first thickness; and
separating the initiating material substantially entirely from the carbon source in at least a portion of each respective initiating layer in the plurality of elements to form a graphene stack on the top surface of each element in the plurality of elements thereby forming a plurality of graphene stacks;
wherein each graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting.
33. The method of claim 32, wherein the second thickness of a graphene stack in the plurality of graphene stacks is self-limiting with respect to a total amount of carbon in the corresponding initiating layer in the plurality of initiating layers.
34. The method of claim 32 or 33, wherein the second thickness of a graphene stack in the plurality of graphene stacks is determined at least in part on the first thickness of the corresponding initiating layer in the plurality of initiating layers.
35. The method of any one of claims 32-34, wherein the substrate comprises a first material and the patterning further comprises:
overlaying the substrate with a mask layer, the mask layer comprising a second material distinct from the first material and other than carbon; forming a mask over the mask layer; and
selectively removing trench regions of the mask layer in accordance with the mask to form the plurality of trenches; and
wherein the regions of the mask layer remaining on substrate form the plurality of elements.
36. The method of any one of claims 32-34, wherein the patterning further comprises: forming a mask on the substrate;
selectively removing trench regions of the substrate in accordance with the mask to form the plurality of trenches; and
wherein the remaining regions of the substrate form the plurality of elements.
37. The method of claim 32, wherein the initiating material comprises silicon, and wherein each respective initiating layer in the plurality of initiating layers comprises silicon carbide.
38. The method of claim 38, wherein separating the initiating material comprises annealing each respective initiating layer in the plurality of initiating layers to vaporize substantially all of the silicon from each respective initiating layer in the plurality of initiating layers.
39. The method of claim 32, wherein the plurality of trenches is formed by removing portions of substrate material from predefined regions of the substrate or portions of a respective material of a respective substrate layer from predefined regions of the respective substrate layer.
40. The method of claim 32, wherein the plurality of elements is formed by growing portions of substrate material or growing at least one respective secondary material selectively at regions of the substrate or the secondary material corresponding to the plurality of elements.
41. The method of any one of claims 32-40, wherein the substrate substantially comprises a material selected from the group consisting of: neoceram, barosilicate glass, germanium arsenide, a IV-V semiconductor material, a substantially metallic material, a high temperature glass, and a combination thereof.
42. The method of any one of claims 32-40, wherein the substrate substantially comprises Si02 glass, soda lime glass, lead glass, doped Si02, aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, quartz, chalcogenide/sulphide glass, silicon, and monocrystalline silicon.
43. The method of any one of claims 32-42, wherein each graphene stack in the plurality of graphene stacks comprises between 1 to 500 graphene sheets.
44. The method of any one of claims 32-43, wherein each respective element in the plurality of elements is a rib, a mesa, a pillar, or any combination thereof.
45. The method of any one of claims 32-43, wherein each respective element in the plurality of elements is an N-sided polygon characterized by N internal angles, the N internal angles each measuring less than 180 degrees, and wherein N is a positive integer greater than 2.
46. The method of any one of claims 32-45, wherein an element in the plurality of elements has an optical band gap.
47. The method of claim 32, wherein the separating further comprises, for each respective element in the plurality of elements, separating the initiating material substantially entirely from the carbon source in the initiating layer of the respective element to form the graphene stack substantially entirely covering the top surface of the respective element.
48. A method of forming a graphene device comprising:
patterning a substrate to form a plurality of elements and a plurality of ridges on the substrate, wherein each respective element in the plurality of elements is separated from an adjacent element in the plurality of elements by a corresponding ridge in the plurality of ridges and wherein each element in the plurality of elements has a top surface;
segmentedly overlaying onto the top surface of each element in the plurality of
elements a carbon source and an initiating material, the initiating material being other than carbon, to form a respective initiating layer on each top surface in the plurality of elements, thereby forming a plurality of initiating layers, wherein each respective initiating layer in the plurality of tops layers is characterized by a first thickness and overlays the top surface of a corresponding element in the plurality of elements; and
separating the initiating material substantially entirely from the carbon source in at least a portion of each respective initiating layer in the plurality of elements to form a graphene stack on the top surface of each element in the plurality of elements thereby forming a plurality of graphene stacks;
wherein each graphene stack in the plurality of graphene stacks is characterized by a second thickness that is self-limiting.
49. An electronic device fabricated using the method of any of claims 1-48.
PCT/US2014/023686 2013-03-13 2014-03-11 Forming graphene devices using self-limited reactions WO2014164878A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361780406P 2013-03-13 2013-03-13
US61/780,406 2013-03-13

Publications (1)

Publication Number Publication Date
WO2014164878A1 true WO2014164878A1 (en) 2014-10-09

Family

ID=51658996

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2014/023686 WO2014164878A1 (en) 2013-03-13 2014-03-11 Forming graphene devices using self-limited reactions

Country Status (1)

Country Link
WO (1) WO2014164878A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3060533B1 (en) * 2013-10-23 2019-03-27 Corning Incorporated Glass-ceramics substrates for graphene growth
CN111403597A (en) * 2020-02-27 2020-07-10 中国计量科学研究院 Graphene quantum Hall device and preparation method thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100224851A1 (en) * 2009-03-03 2010-09-09 Board Of Regents, The University Of Texas System Synthesizing graphene from metal-carbon solutions using ion implantation
US20110175060A1 (en) * 2010-01-21 2011-07-21 Makoto Okai Graphene grown substrate and electronic/photonic integrated circuits using same
US20120003438A1 (en) * 2009-02-20 2012-01-05 University Of Florida Research Foundation, Inc. Graphene processing for device and sensor applications
US20120193603A1 (en) * 2009-08-24 2012-08-02 International Business Machines Corporation Graphene growth on a carbon-containing semiconductor layer
US20120258587A1 (en) * 2011-04-07 2012-10-11 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Method of Forming Graphene on a Surface

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120003438A1 (en) * 2009-02-20 2012-01-05 University Of Florida Research Foundation, Inc. Graphene processing for device and sensor applications
US20100224851A1 (en) * 2009-03-03 2010-09-09 Board Of Regents, The University Of Texas System Synthesizing graphene from metal-carbon solutions using ion implantation
US20120193603A1 (en) * 2009-08-24 2012-08-02 International Business Machines Corporation Graphene growth on a carbon-containing semiconductor layer
US20110175060A1 (en) * 2010-01-21 2011-07-21 Makoto Okai Graphene grown substrate and electronic/photonic integrated circuits using same
US20120258587A1 (en) * 2011-04-07 2012-10-11 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Method of Forming Graphene on a Surface

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3060533B1 (en) * 2013-10-23 2019-03-27 Corning Incorporated Glass-ceramics substrates for graphene growth
CN111403597A (en) * 2020-02-27 2020-07-10 中国计量科学研究院 Graphene quantum Hall device and preparation method thereof

Similar Documents

Publication Publication Date Title
US10707304B2 (en) Vertically stacked nFET and pFET with dual work function
CN110942980B (en) Method for forming two-dimensional material layer, field effect transistor and manufacturing method thereof
US10559654B2 (en) Nanosheet isolation for bulk CMOS non-planar devices
US6465782B1 (en) Strongly textured atomic ridges and tip arrays
US20110121409A1 (en) Field effect transistors, methods of fabricating a carbon-insulating layer using molecular beam epitaxy and methods of fabricating a field effect transistor
US9061912B2 (en) Methods of fabrication of graphene nanoribbons
CN105742156B (en) The method for forming graphene-structured
US20110034011A1 (en) Formation of graphene wafers on silicon substrates
WO2014121156A1 (en) Graphene growth on sidewalls of patterned substrate
CN107210195B (en) Semiconductor wafer comprising a monocrystalline group IIIA nitride layer
Kim et al. Atomic layer-by-layer etching of graphene directly grown on SrTiO3 substrates for high-yield remote epitaxy and lift-off
WO2014164878A1 (en) Forming graphene devices using self-limited reactions
CN106898542A (en) A kind of method that target material feature is formed on base material
US9761669B1 (en) Seed-mediated growth of patterned graphene nanoribbon arrays
CN114284349A (en) Graphene transistor and method of manufacturing graphene transistor
WO2014152523A1 (en) Graphene growth on planes offset from a substrate
KR101545974B1 (en) Thermoelectric device and method of manufacturing the same
KR102422422B1 (en) Semiconductor device including graphene and method of manufacturing the semiconductor device
WO2014150586A1 (en) Graphene manufacture using foundation materials with favored structures
US11651958B2 (en) Two-dimensional material device and method for manufacturing same
US10734518B2 (en) Substantially defect free relaxed heterogeneous semiconductor fins on bulk substrates
GB2514268A (en) Silicon carbide epitaxy
Gylfason et al. Process considerations for layer-by-layer 3D patterning of silicon, using ion implantation, silicon deposition, and selective silicon etching
Chu et al. Fabrication of Si and Ge vertical nanowire for transistor applications
CN114203822A (en) Gate surrounding transistor based on transition metal sulfide and preparation method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14779792

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 14779792

Country of ref document: EP

Kind code of ref document: A1