WO2013027102A1 - Deposition system having acces gates and related method - Google Patents

Deposition system having acces gates and related method Download PDF

Info

Publication number
WO2013027102A1
WO2013027102A1 PCT/IB2012/001577 IB2012001577W WO2013027102A1 WO 2013027102 A1 WO2013027102 A1 WO 2013027102A1 IB 2012001577 W IB2012001577 W IB 2012001577W WO 2013027102 A1 WO2013027102 A1 WO 2013027102A1
Authority
WO
WIPO (PCT)
Prior art keywords
reaction chamber
gas
access gate
support structure
deposition system
Prior art date
Application number
PCT/IB2012/001577
Other languages
French (fr)
Inventor
Christiaan J. Werkhoven
Ronald Thomas Bertram, Jr.
Chantal Arena
Ed Lindow
Original Assignee
Soitec
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from FR1157954A external-priority patent/FR2979748B1/en
Application filed by Soitec filed Critical Soitec
Priority to DE112012003499.6T priority Critical patent/DE112012003499T5/en
Priority to CN201280040887.6A priority patent/CN103748263B/en
Publication of WO2013027102A1 publication Critical patent/WO2013027102A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides

Abstract

Deposition systems (100) include a reaction chamber (102), and a substrate support structure (114) disposed at least partially within the reaction chamber (102). The systems further include at least one gas injection device (110) and at least one vacuum device (113), which together are used to flow process gases through the reaction chamber (102). The systems also include at least one access gate (188) through which a workpiece substrate (116) may be loaded into the reaction chamber and unloaded out from the reaction chamber (102). The at least one access gate is located remote from the gas injection device (110). Methods of depositing semiconductor material may be performed using such deposition systems. Methods of fabricating such deposition systems may include coupling an access gate to a reaction chamber at a location remote from a gas injection device.

Description

DEPOSITION SYSTEM HAVING ACCES GATES AND RELATED METHOD
FIELD
[0001] Embodiments of the invention generally relate to systems for depositing materials on substrates, and to methods of making and using such systems. More particularly, embodiments of the invention relate to atomic layer deposition (ALD) methods for depositing I1I-V
semiconductor materials on substrates and to methods of making and using such systems.
BACKGROUND
[0002] Chemical vapor deposition (CVD) is a chemical process that is used to deposit solid materials on substrates, and is commonly employed in the manufacture of semiconductor devices. In chemical vapor deposition processes, a substrate is exposed to one or more reagent gases, which react, decompose, or both react and decompose in a manner that results in the deposition of a solid material on the surface of the substrate.
[0003] One particular type of CVD process is referred to in the art as vapor phase epitaxy (VPE). In VPE processes, a substrate is exposed to one or more reagent vapors in a reaction chamber, which react, decompose, or both react and decompose in a manner that results in the epitaxial deposition of a solid material on the surface of the substrate. VPE processes are often used to deposit III-V semiconductor materials. When one of the reagent vapors in a VPE process comprises a hydride vapor, the process may be referred to as a hydride vapor phase epitaxy (HVPE) process.
[0004] HVPE processes are used to form III-V semiconductor materials such as, for example, gallium nitride (GaN). In such processes, epitaxial growth of GaN on a substrate results from a vapor phase reaction between gallium chloride (GaCI) and ammonia (NH3) that is carried out within a reaction chamber at elevated temperatures between about 500°C and about 1 ,000°C. The NH3 may be supplied from a standard source of NH3 gas.
[0005] In some methods, the GaCI vapor is provided by passing hydrogen chloride (HCI) gas (which may be supplied from a standard source of HCI gas) over heated liquid gallium (Ga) to form GaCI in situ within the reaction chamber. The liquid gallium may be heated to a temperature of between about 750°C and about 850°C. The GaCI and the NH3 may be directed to {e.g. , over) a surface of a heated substrate, such as a wafer of semiconductor material. U.S. Patent No. 6, 179,91 3, which issued January 30, 2001 to Solomon et al., discloses a gas injection system for use in such systems and methods, the entire disclosure of which patent is incorporated herein by reference.
[0006] In such systems, it may be necessary to open the reaction chamber to atmosphere to replenish the source of liquid gallium. Furthermore, it may not be possible to clean the reaction chamber in situ in such systems.
[0007] To address such issues, methods and systems have been developed that utilize an external source of a GaCl3 precursor, which is directly injected into the reaction chamber.
Examples of such methods and systems are disclosed in, for example, U.S. Patent Application Publication No. US 2009/0223442 A l , which published September 10, 2009 in the name of Arena et al., the entire disclosure of which publication is incorporated herein by reference.
[0008] Previously known deposition systems often include an access gate through which workpiece substrates may be loaded into the reaction chamber and unloaded out from the reaction chamber after processing. Such access gates are often located in a front gas injection manifold of the deposition system, which is used to inject precursor gases into the reaction chamber.
BRIEF SUMMARY
[0009] ~ This summary is provided to introduce a selection of concepts in a simplified form, such concepts being further described in the detailed description below of some example embodiments of the invention. This summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used to limit the scope of the claimed subject matter.
[0010] In some embodiments, the present disclosure includes deposition systems that comprise a reaction chamber, and a substrate support structure disposed at least partially within the reaction chamber and configured to support a workpiece substrate within the reaction chamber. The reaction chamber may be defined by a top wall, a bottom wall, and at least one side wall. The systems further include at least one gas injection device for injecting one or more process gases including at least one precursor gas into the reaction chamber at a first location, and a vacuum device for drawing the one or more process gases through the reaction chamber from the first location to a second location and for evacuating the one or more process gases out from the reaction chamber at the second location. The systems also include at least one access gate through which a workpiece substrate may be loaded into the reaction chamber and onto the substrate support structure and unloaded from the substrate support structure out from the reaction chamber. The at least one access gate is located remote from the first location at which the at least one gas injection device, injects one or more process gases into the reaction chamber.
[0011] In additional embodiments, the present disclosure includes methods of depositing semiconductor material on a workpiece substrate using a deposition system. In accordance with such methods, a workpiece substrate may be loaded into a reaction chamber and onto a substrate support structure through at least one access gate. One or more process gases may be caused to flow into the reaction chamber through at least one gas injection device located remote from the at least one access gate. The one or more process gases may include at least one precursor gas. The one or more process gases may be evacuated out from the reaction chamber through at least one vacuum device located on an opposing side of the substrate support structure from the at least one gas injection device. A surface of the workpiece substrate may be exposed to the one or more process gases as they flow from the at least one gas injection device to the at least one vacuum dev ice, and semiconductor material may be deposited on the surface of the workpiece substrate. The workpiece substrate may be unloaded out from the reaction chamber through the at least one access gate.
[0012] In yet further embodiments, the present disclosure includes methods of fabricating deposition systems. For example, a reaction chamber may be formed that includes a top wall, a bottom wall, and at least one side wall. A substrate support structure for supporting at least one workpiece substrate may be provided at least partially within the reaction chamber. At least one gas ' injection device may be coupled to the reaction chamber at a first location. The at least one gas injection device may be configured for injecting one or more process gases including at least one precursor gas into the reaction chamber at the first location. At least one vacuum device may be coupled to the reaction chamber at a second location. The at least one vacuum device may be configured for drawing the one or more process gases through the reaction chamber from the first location to the second location, and for evacuating the one or more process gases out from the reaction chamber at the second location. At least one access gate may be coupled to the reaction chamber at a location remote from the first location. The at least one access gate may be configured to enable a workpiece substrate to be loaded into the reaction chamber and onto the substrate support structure, and unloaded from the substrate support structure out from the reaction chamber through the at least one access gate.
BRIEF DESCRIPTION OF THE DRAWINGS
[0013] The present disclosure may be understood more fully by reference to the following detailed description of example embodiments, which are illustrated in the appended figures in which:
[0014] FIG. 1 is a cut-away perspective view schematically illustrating an example embodiment of a deposition system that includes an access gate through which workpiece substrates may be inserted into and removed out from a reaction chamber, the access gate being located remotely from a location at which process gases are injected into the reaction chamber;
[0015] FIG. 2 is a perspective view of a front exterior surface of a gas injection device of the deposition system of FIG. 1 ;
[0016] FIG. 3 is a cross-sectional side view of the an internal precursor gas furnace of the deposition system of FIG. 1 ;
[0017] FIG. 4 is a top plan view of one of the generally plate-shaped structures of the precursor gas furnace of FIGS. 1 and 2;
[0018] FIG. 5 is a perspective view of the an internal precursor gas furnace of the deposition system of FIG. 1 ;
[0019] FIG. 6 is a cut-away perspective view schematically illustrating another example embodiment of a deposition system that includes an access gate located remotely from a location at which process gases are injected into the reaction chamber, but including an external precursor gas injector instead of an internal precursor gas furnace;
[0020] FIG. 7 is a top plan view schematically illustrating another example embodiment of a deposition system of the present disclosure that includes an access gate located remotely from a location at which process gases are injected into the reaction chamber; and [0021J FIG. 8 is a cut-away perspective view schematically illustrating another example embodiment of a deposition system that includes an access gate located remotely from a location at which process gases are injected into the reaction chamber, wherein the chamber includes more than one gas flow channel therein.
DETAILED DESCRIPTION OF EMBODIMENTS OF THE INVENTION
[0022] The illustrations presented herein are not meant to be actual views of any particular system, component, or device, but are merely idealized representations that are employed to describe embodiments of the present invention.
[0023] As used herein, the term "I II-V semiconductor material" means and includes any semiconductor material that is at least predominantly comprised of one or more elements from group IIIA of the periodic table (B, Al, Ga, In. and Ti) and one or more elements from group VA of the periodic table (N, P, As, Sb, and Bi). For example, III-V semiconductor materials include, but are not limited to, GaN, GaP, GaAs, InN, InP, InAs, AIN, AIP, AlAs, InGaN, InGaP, InGaNP, etc.
[0024] Improved gas injectors have recently been developed for use in methods and systems that utilize an external source of a GaCI3 precursor that is injected into the reaction chamber, such as those disclosed in the aforementioned U.S. Patent Application Publication No. US 2009/0223442 A I . Examples of such gas injectors are disclosed in, for example, U.S. Patent Application Serial No. 61/157, 1 12, which was filed on March 3, 2009 in the name of Arena et al, the entire disclosure of which application is incorporated herein in its entirety by this reference. As used herein, the term "gas" includes gases (fluids that have neither independent shape nor volume) and vapors (gases that include diffused liquid or solid matter suspended therein), and the terms "gas" and "vapor" are used synonymously herein.
[0025] Embodiments of the present invention include, and make use of, deposition systems that include an access gate for loading workpiece substrates into a reaction chamber and/or unloading workpiece substrates from the reaction chamber. The access gate is disposed at a location remote from a location at which one or more process gases, which may include one or more precursor gases, are injected into the reaction chamber. [0026] FIG. 1 illustrates a deposition system 100, which includes an at least substantially enclosed reaction chamber 102. In some embodiments, the deposition system 100 may comprise a CVD system, and may comprise a VPE deposition system (e.g., an HVPE deposition system).
[0027] The reaction chamber 102 may be defined by a top wall 104, a bottom wall 106, and one or more side walls. One or more of the side walls may be defined by a component or components of subassemblies of the deposition system. For example, a first side wall 108 A may comprise a component of a gas injection device 1 10 used for injecting one or more process gases into the reaction chamber 102, and a second side wall 108B may comprise a component of a venting and loading subassembly 1 12 used for venting process gases out from the reaction chamber 102, as well as for loading substrates into the reaction chamber 102 and unloading substrates out from the reaction chamber 102. Stated another way, the gas injection device 1 10 may be configured to inject one or more process gases through the sidewall I 08A of the reaction chamber 102.
[0028] In some embodiments, the reaction chamber 102 may have the geometric shape of an elongated rectangular prism, as shown in FIG. 1 . In some such embodiments, the gas injection device 1 10 may be located at a first end of the reaction chamber 102, and the venting and loading subassembly may be located at an opposing second end of the reaction chamber 102. In other embodiments, the reaction chamber 102 may have another geometric shape.
[0029] The deposition system 100 includes a substrate support structure 1 14 (e.g., a susceptor) configured to support one or more workpiece substrates 1 16 on which it is desired to deposit or otherwise provide semiconductor material within the deposition system 100. For example, the workpiece substrates 1 16 may comprise dies or wafers. The deposition system 100 further includes heating elements 1 1 8, which may be used to selectively heat the deposition system 100 such that an average temperature within the reaction chamber 102 may be controlled to within desirable elevated temperatures during deposition processes. The heating elements 1 18 may comprise, for example, resistive heating elements or radiant heating elements (e.g. , heating lamps).
[0030] As shown in FIG. 1 , the substrate support structure 1 14 may be coupled to a spindle 1 19, which may be coupled (e.g. , directly structurally coupled, magnetically coupled, etc.) to a drive device (not shown), such as an electrical motor that is configured to drive rotation of the spindle 1 19 and, hence, the substrate support structure 1 14 within the reaction chamber 102.
[0031] In some embodiments, one or more of the top wall 104, the bottom wall 106, the substrate support structure 1 14, the spindle 1 19, and any other components within the reaction chamber 102 may be at least substantially comprised of a refractory ceramic material such as a ceramic oxide (e.g. , silica (quartz), alumina, zirconia, etc.), a carbide (e.g. , silicon carbide, boron carbide, etc.), a nitride (e.g., silicon nitride, boron nitride, etc.), or graphite coated with silicon carbide. As a non-limiting example, the top wall 104, the bottom wal l 106, the substrate support structure 1 14, and the spindle 1 19 may comprise transparent quartz so as to allow thermal energy radiated by the heating elements 1 18 to pass there through and heat process gases within the reaction chamber 102.
[0032] The deposition system 100 further includes a gas flow system used to flow process gases through the reaction chamber 102. For example, the deposition system 100 may comprise at least one gas injection device 1 10 for injecting one or more process gases into the reaction chamber 102 at a first location 103 A, and a vacuum device 1 13 for drawing the one or more process gases through the reaction chamber 102 from the first location 103 A to a second location 103B and for evacuating the one or more process gases out from the reaction chamber 102 at the second location I 03B. The gas injection device 1 10 may comprise, for example, a gas injection manifold including connectors configured to couple with conduits carrying one ormore process gases from process gas sources.
[0033] With continued reference to FIG. I , the deposition system 100 may include five gas inflow conduits 120A- 120E that carry gases from respective process gas sources 122A- 122E to the gas injection device 1 10. Optionally, gas valves ( 12 1 A- I 2 I E) may be used to selectively control the flow of gas through the gas. inflow conduits I 20A- I 20E. respectively.
[0034| In some embodiments, at least one of the gas sources 122A- 122E may comprise an external source of at least one of GaCI3, InCI3, or AICI3, as described in U.S. Patent Application Publication No. US 2009/0223442 A l . GaCI3, InCI3 and A1CI3 may exist in the form of a dimer such <as, for example, Ga2CI6, In2CI6 and AbC^, respectively. Thus, at least one of the gas sources 122A- 122F may comprise a dimer such as Ga2Cl6, In2Cl6 or AI2Cle.
[0035] In embodiments in which one or more of the gas sources 122A- 122E is or includes a GaCI3 source, the GaCI3 source may include a reservoir of liquid GaCI3 maintained at a temperature of at least 100°C (e.g., approximately 130°C), and may include physical means for enhancing the evaporation rate of the liquid GaCI3. Such physical means may include, for example, a device configured to agitate the liquid GaCI3. a device configured to spray the liquid GaCI3, a device configured to flow carrier gas rapidly over the liquid GaCI3, a device configured to bubble carrier gas through the liquid GaCI3, a device, such as a piezoelectric device, configured to ultrasonically disperse the liquid GaCI3, and the like. As a non-limiting example, a carrier gas, such as He, N2, H2, or Ar, may be bubbled through the liquid GaCI3, while the liquid GaCl3 is maintained at a temperature of at least 100°C, such that the source gas may include one or more carrier gases in which precursor gas is conveyed.
[0036] The flux of precursor gas (e.g., GaCI3) vapor through one or more of the gas inflow conduits 120A-120E may be controlled in some embodiments of the invention. For example, in embodiments in which a carrier gas is bubbled through liquid GaCI3, the GaCl flux from the gas source 122A- 122E is dependent on one or more factors, including for example, the temperature of the GaCl3, the pressure over the GaCl3, and the flow of carrier gas that is bubbled through the GaCI3. While the mass flux of GaCI3 can in principle be controlled by any of these parameters, in some embodiments, the mass flux of GaCI3 may be controlled by varying the flow of the carrier gas using a mass flow controller.
[0037] In some embodiments, the one or more of the gas sources 122A- 122E may be capable of holding about 25 kg or more of GaCl3, about 35 kg or more of GaCI3, or even about 50 kg or more of GaCI3. For example, the GaCl3 source my be capable of holding between about 50 and 100 kg of GaCI (e.g., between about 60 and 70 kg). Furthermore, multiple sources of GaCl3 may be connected together to form a single one of the gas sources 122A- 122E using a manifold to permit switching from one gas source to another without interrupting operation and/or use of the deposition system 100. The empty gas source may be removed and replaced with a new full source while the deposition system 100 remains operational.
[0038] In some embodiments, the temperatures of the gas inflow conduits 120A- 120E may be controlled between the gas sources 122A- 122E and the reaction chamber 102. The temperatures of the gas inflow conduits I 20A- 120E and associated mass flow sensors, controllers, and the like may increase gradually from a first temperature (e.g., about 100°C or more) at the exit from the respective gas sources 122A- 122E up to a second temperature (e.g., about 150°C or less) at the point of entry into the reaction chamber 102 in order to prevent condensation of the gases (e.g.. GaCI vapor) in the gas inflow conduits 120A- 120E. Optionally, the length of the gas inflow conduits I 20A- I 20E between the respective gas sources I 22A- 122E and the reaction chamber 102 may be about three feet or less, about two feet or less, or even about one foot or less. The pressure of the source gasses may be controlled using one or more pressure control systems. [0039] In additional embodiments, the deposition system 100 may include less than five (e.g., one to four) gas inflow conduits and respective gas sources, or the deposition system 100 may include more than five (e.g., six, seven, etc. ) gas inflow conduits and respective gas sources.
[0040] The one or more of the gas inflow conduits I 20A- 120E extend to the gas injection device 1 10. The gas injection device 1 10 may comprise one or more blocks of material through which the process gases are carried into the reaction chamber 102. One or more cooling conduits 1 1 1 may extend through the blocks of material. A cooling fluid may be caused to flow through the one or more cooling conduits 1 1 1 so as to maintain the gas or gases flowing through the gas injection device 1 10 by way of the gas inflow conduits 120A- 120E within a desirable temperature range during operation of the deposition system 100. For example, it may be desirable to maintain the gas or gases flowing through the gas injection device 1 10 by way of the gas inflow conduits 120A- 120E at a temperature less than about 200°C (e.g. , about 150°C) during operation of the deposition system.
[0041] FIG. 2 is a perspective view illustrating an exterior surface of the gas injection device 1 10. As shown in FIG. 8, the gas injection device 1 10 may comprise a plurality of connectors 1 17, which are configured for connection to the gas inflow conduits 120A- 120E. In some embodiments, the gas injection device 1 10 may comprise a plurality of rows 1 15A- 1 15E of the connectors 1 17. Each of the rows 1 15 A- 1 1 5E may be configured to inject respective process gases into the reaction chamber 102. For example. the connectors 1 17 in a first bottom row 1 1 5A may be used for injecting a purge gas into the reaction chamber 102, the connectors 1 17 in a second row 1 1 5B may be used for injecting a precursor gas (e.g. , GaCl3) into the reaction chamber 102, the connectors 1 17 in a third row 1 1 5C may be used for injecting another precursor gas (e.g. , NH3) into the reaction chamber 102. the connectors 1 17 in a fourth row 1 15D may be used for injecting another process gas (e.g., S1 H4) into th'e reaction chamber 102, and the connectors 1 1 7 in a top fifth row 1 15E may be used for injecting a purge gas or a carrier gas (e.g., N ) into the reaction chamber 102. The connectors 1 17 may be grouped into separate zones 1 19A- 1 1 C of connectors 1 1 7, each zone 1 19A- 1 19C including connectors 1 17 from each of the rows 1 I 5A- 1 15E. The connectors 1 17 in each zone 1 19A- 1 19C may be used to convey process gases to different zones within the reaction chamber 102, thereby al lowing differing process gas compositions and/or concentrations to be introduced into different regions within the reaction chamber 102 over the workpiece substrate 1 16. [0042] Referring again to FIG. 1 , the venting and loading subassembly 1 12 may comprise a vacuum chamber 184 into which gases flowing through the reaction chamber 102 are drawn by the vacuum and vented out from the reaction chamber 102. The vacuum within the vacuum chamber 184 is generated by the vacuum device 1 13. As shown in FIG. 1 , the vacuum chamber 184 may be located below the reaction chamber 102.
[0043] The venting and loading subassembly 1 12 may further comprise a purge gas curtain device 184 that is configured and oriented to provide a generally planar curtain of flowing purge gas, which flows out from the purge gas curtain device 184 and into the vacuum chamber 184. The venting and loading subassembly 1 12 also may include an access gate 188, which may be selectively opened for loading and/or unloading workpiece substrates 1 16 from the substrate support structure 1 14, and selectively closed for processing of the workpiece substrates 1 16 using the deposition system 100. In some embodiments, the access gate 188 may comprise at least one plate configured to move between a closed first position and an open second position. The access gate 188 may extend through a side wall of the reaction chamber 102 remote from a side wal l through which the one or more process gases are injected.
[0044] The reaction chamber 102 may be at least substantially enclosed, and access to the substrate support structure 1 14 through the access gate 188 may be precluded, when the plate of the access gate 188 is in the closed first position. Access to the substrate support structure 1 14 may be enabled through the access gate 188 when the plate of the access gate 188 is in the open second position.
[0045] The purge gas curtain emitted by the purge gas curtain device 184 may reduce or prevent the flow of gases out from the reaction chamber 102 during loading and/or unloading of workpiece substrates 1 16.
[0046J Gaseous byproducts, carrier gases, and any excess precursor gases may be exhausted out from the reaction chamber 102 through the venting and loading subassembly 1 12.
[0047] The access gate 188 may be located remote from the first location 103A at which one or more process gases are injected into the reaction chamber 102. In some embodiments, the first location 103 A may be disposed on a first side of the substrate support structure 1 14, and the second location 103B at which process gases are evacuated out from the reaction chamber 102 through the vacuum device 1 13 may be disposed on an opposing second side of the support structure 1 14, as shown in FIG. 1. Additionally, the second location 103B at which process gases are evacuated out from the reaction chamber 102 may be disposed between the substrate support structure 1 14 and the access gate 188. The purge gas curtain device 186 may be configured to form a curtain of flowing purge gas that flows between the purge gas injection device and the vacuum device 1 13, as previously discussed. The curtain of flowing purge gas may be disposed between the workpiece support structure 1 14 and the access gate 188, so as to form a barrier of flowing purge gas that separates the workpiece substrates 1 16 from the access gate 188. Such a barrier of flowing purge gas may reduce or prevent process gases from escaping out from the reaction chamber 102 when the access gate 188 is open.
[0048] In some embodiments, the gas injection system 100 may include at least one internal precursor gas furnace 130 disposed within the reaction chamber 102. The internal precursor gas furnace 130 may be configured for heating at least one precursor gas and conveying the at least one precursor gas within the reaction chamber 102 from the gas injection device 1 10 to a location proximate the substrate support structure 1 14.
[0049] FIG. 3 is a cross-sectional side view of the precursor gas furnace 130 of FIG. 1 . The furnace 130 of the embodiment of FIGS. 1 and 2 comprises five (5) general ly plate-shaped structures 132A- 132E that are attached together and are sized and configured to define one or more precursor gas flow paths extending through the furnace 130 in chambers defined between the generally plate-shaped structures I 32A- I 32E. The generally plate-shaped structures 132A- I 32E may comprise, for example, transparent quartz so as to allow radiative energy emitted by the heating elements 1 18 to pass through the structures I 32A- 132E and heat precursor gas or gases in the furnace 130.
[0050] As shown in FIG. 3, the first plate-shaped structure 132A and the second plate- shaped structure 1 32B may be coupled together to define a chamber 134 therebetween. A plurality of integral ridge-shaped protrusions 136 on the first plate-shaped structure 132A may subdivide the chamber 134 into one or more flow paths extending from an inlet 138 into the chamber 134 to an outlet 140 from the chamber 134.
[0051] FIG. 4 is a top plan view of the first plate-shaped structure 1 32 and illustrates the ridge-shaped protrusions 136 thereon and the flow paths that are defined in the chamber 134 thereby. As shown in FIG. 4, the protrusions 136 define sections of the flowpath extending through the furnace 130 (FIG. 3) that have a serpentine configuration. The protrusions 136 may comprise alternating walls having apertures 138 therethough at the lateral ends of the protrusions 136 and at the center of the protrusions 1 36, as shown in FIG. 4. Thus, in this configuration, gases may enter the chamber 134 proximate a central region of the chamber 134 as shown in FIG. 4, flow laterally outward toward the lateral sides of the furnace 130, through apertures 1 38 at the lateral ends of one of the protrusions 136, back toward the central region of the chamber 1 34, and through another aperture 138 at the center of another protrusion 136. This flow pattern is repeated until the gases reach an opposing side of the plate 132A from the inlet 138 after flowing through the chamber 134 back and forth in a serpentine manner.
[0052] By causing one or more precursor gases to How through this section of the flow path extending through the furnace 130. the residence time of the one or more precursor gases within the furnace 130 may be selectively increased.
[0053j Referring again to FIG. I , the inlet 1 38 leading into the chamber 134 may be defined by, for examples a tubular member 142. One of the gas inflow conduits 120A- 120E, such as the gas inflow conduit I 20B, may extend to and couple with the tubular member 142, as shown in FIG. I . A seal member 144, such as a polymeric o-ring, may be used to form a gas-tight seal between the gas inflow conduit 120B and the tubular member 142. The tubular member 142 may comprise, for example, opaque quartz material so as to prevent thermal energy emitted from the heating elements 1 1 8 from heating the seal member 144 to elevated temperatures that might cause degradation of the seal member 144. Additionally, the cooling of the gas injection device 1 10 using flow of cooling fluid through the cooling conduits 1 1 1 may prevent excessive heating and resulting degradation of the seal member 144. By maintaining the temperature of the seal member 144 below about 200°C, an adequate seal may be maintained between one of the gas intlovv conduits I 20A- 120E and the tubular member 142 using the seal member 144 when the gas inflow conduit comprises a metal or metal alloy (e.g., steel) and the tubular member 142 comprises a refractory material such as quartz. The tubular member 142 and the first plate-shaped structure 1 32A may be bonded together so as to form a unitary, integral quartz body.
[0054] As shown in FIGS. 2 and 3, the plate-shaped structures 132A, 132B may include complementary seal ing features 147A, 147B (e.g., a ridge and a corresponding recess) that extend about the periphery of the plate-shaped structures 132A, 132B and at least substantially hermetically seal the chamber 134 between the plate-shaped structures 132A, 132B. Thus, gases within the chamber 134 are prevented from flowing laterally out from the chamber 134, and are forced to flow from the chamber 134 through the outlet 140 (FIG. 3). [0055] Optionally, the protrusions 1 36 may be configured to have a height that is slightly less than a distance separating the surface 152 of the first plate-shaped structure 132A from which the protrusions 136 extend and the opposing surface 1 54 of the second plate-shaped structure 132B. Thus, a small gap may be provided between the protrusions 1 36 and the surface 154 of the second plate-shaped structure 132B. Although a minor amount of gas may leak through these gaps, this small amount of leakage will not detrimentally affect the average residence time for the precursor gas molecules within the chamber 134. By configuring the protrusions 136 in this manner, variations in the height of the protrusions 136 that arise due to tolerances in the manufacturing processes used to form the plate-shaped structures I 32A, I 32B can be accounted for, such that protrusions 136 that are inadvertently fabricated to have excessive height do not prevent the formation of an adequate seal between the plate-shaped structures 132A. 1 32B by the
complementary sealing features 147A, 147B.
[0056] As shown in FIG. 3, the outlet 140 from the chamber 134 between the plate- shaped structures 132 A, 132B leads to an inlet 148 to a chamber 1 0 between the third plate-shaped structure I 32C and the fourth plate-shaped structure 132D. The chamber 150 may be configured such that the gas or gases therein flow from the inlet 148 toward an outlet 156 from the chamber 150 in a generally linear manner. For example, the chamber 1 50 may have a cross-sectional shape that is generally rectangular and uniform in size between the inlet 148 and the outlet 156. Thus, the chamber 150 may be configured to render the flow of gas or gases more laminar, as opposed to turbulent.
[0057| The plate-shaped structures 1 32C. 132D may include complementary sealing features 158A, 158B (e.g., a ridge and a corresponding recess) that extend about the periphery of the plate-shaped structures 132C, 132D and at least substantially hermetically seal the chamber 1 50 between the plate-shaped structures 1 32C, 132D. Thus, gases within the chamber 150 are prevented from flowing laterally out from the chamber 150, and are forced to flow from the chamber 150 through the outlet 156.
[0058] The outlet 1 56 may comprise, for example, an elongated aperture (e.g.. a slot) extending through the plate-shaped structure 1 32D proximate an opposing end thereof from the end that is proximate the inlet 148.
[0059] With continued reference to FIG. 3, the outlet 156 from the chamber 1 50 between the plate-shaped structures 1 32C, 132D leads to an inlet 160 to a chamber 162 between the fourth plate-shaped structure 1 32D and the fifth plate-shaped structure I 32E. The chamber 162 may be configured such that the gas or gases therein flow from the inlet 160 toward an outlet 164 from the chamber 162 in a generally linear manner. For example, the chamber 162 may have a cross- sectional shape that is generally rectangular and uniform in size between the inlet 160 and the outlet 164. Thus, the chamber 162 may be configured to render the flow of gas or gases more laminar, as opposed to turbulent, in a manner like that previously described with reference to the chamber 150.
[0060] The plate-shaped structures 132D, 1 32E may include complementary sealing features 166A. 166B (e.g., a ridge and a corresponding recess) that extend about a portion of the periphery of the plate-shaped structures 132D, 132E and seal the chamber 162 between the plate-shaped structures 132D, 132E on all but one side of the plate-shaped structures 132D, 132E. A gap is provided between the plate-shaped structures 132D, 132E on the side thereof opposite the inlet 160, which gap defines the outlet 164 from the chamber 162. Thus, gases enter the chamber 162 through the inlet 160, flow through the chamber 162 toward the outlet 164 (while being prevented from flowing laterally out from the chamber 162 by the complementary sealing features 166A, 166B), and flow out from the chamber 162 through the outlet 164. The sections of the gas flo path or paths within the furnace 130 that are defined by the chamber 150 and the chamber 162 are configured to impart laminar flow to the one or more precursor gases caused to flow through the flow path or paths within the furnace 130. and reduce any turbulence therein.
[0061| The outlet 164 is configured to output one or more precursor gases from the furnace 1 30 into the interior. region w ithin the reaction chamber 102. FIG. 5 is a perspective view of the furnace 130, and illustrates the outlet 164. As shown in FIG. 5, the outlet 164 may have a rectangular cross-sectional shape, which may assist in preserving laminar flow of the precursor gas or gases being injected out from the furnace 130 and into the interior region within the reaction chamber 102. The outlet 164 may be sized and configured to output a sheet of flowing precursor gas in a transverse direction over an upper surface 168 of the substrate support structure 1 14. As shown in FIG. 5, the end surface 180 of the fourth generally plate-shaped structure 132D and the end surface 1 82 of the fifth generally plate-shaped structure 1 32E. a gap between which defines the outlet 164 from the chamber 162 as previously discussed, may have a shape that general ly matches a shape of a workpiece substrate 1 16 supported on the substrate support structure 1 14 and on which a material is to be deposited using the precursor gas or gases flowing out from the furnace 130. For example, in embodiments in which the workpiece substrate 1 16 comprises a die or wafer having a periphery that is generally circular in shape, the surfaces 180, 182 may have an arcuate shape that generally matches the profile of the outer periphery of the workpiece substrate 1 16 to be processes. In such a configuration, the distance between the outlet 164 and the outer edge of the workpiece substrate 1 16 may be generally constant across the outlet 164. In this configuration, the precursor gas or gases flowing out from the outlet 164 are prevented from mixing with other precursor gases within the reaction chamber 102 until they are located in the vicinity of the surface of the workpiece substrate 1 16 on which material is to be deposited by the precursor gases, and avoiding unwanted deposition of material on components of the deposition system 100.
[0062] Referring again to FIG. 1 , the deposition system 100 may include heating elements 1 18. Heating elements 1 18 may comprise resistance heaters, induction heaters or radiant heaters. In certain embodiment the heating elements 1 18 comprise radiant heating lamps configured to radiate infrared energy. For example, the heating elements 1 18 may comprise a first group 1 70 of heating elements 1 18 and a second group of heating elements 172. The first group 170 of heating elements 1 18 may be located and configured for imparting radiant energy to the furnace 1 30 and heating the precursor gas therein. For example, the first group 170 of heating elements 1 18 may be located below the reaction chamber 102 under the furnace 130, as shown in FIG. 1 . In additional embodiments, the first group 1 70 of heating elements 1 1 8 may be located above the reaction chamber 102 over the furnace 130, or may include both heating elements 1 18 located below the reaction chamber 102 under the furnace 130 and heating elements located above the reaction chamber 102 over the furnace 1 30. The second group 172 of heating elements 1 18 may be located and configured for imparting thermal energy to the substrate support structure 1 14 and any workpiece substrate supported thereon. For example, the second group 172 of heating elements 1 1 8 may be located below the reaction chamber 102 under the substrate support structure 1 14, as shown in FIG. 1 . In additional embodiments, the second group 172 of heating elements I 18 may be located above the reaction chamber 102 over the substrate support structure 1 14, or may include both heating elements 1 18 located below the reaction chamber 102 under the substrate support structure I 14 and heating elements located above the reaction chamber 102 over the substrate support structure 1 14.
[0063] The first group 170 of heating elements 1 18 may be separated from the second group 172 of heating elements 1 18 by a thermally reflective or thermally insulating barrier 1 74. By way of example and not limitation, such a barrier 1 74 may comprise a gold-plated metal plate located between the first group 170 of heating elements 1 18 and the second group 1 72 of heating elements 1 18. The metal plate may be oriented to allow independently controlled heating of the furnace 130 (by the first group 170 of heating elements 1 18) and the substrate support structure 1 14 (by the second group 1 72 of heating elements 1 18). In other words, the barrier 174 may be located and oriented to reduce or prevent heating of the substrate support structure 1 14 by the first group 1 70 of heating elements 1 1 8, and to reduce or prevent heating of the furnace 130 by the second group 172 of heating elements 1 18.
[0064] The first group 170 of heating elements 1 18 may comprise a plurality of rows of heating elements 1 18, which may be controlled independently from one another. In other words, the thermal energy emitted by each row of heating elements 1 18 may be independently controllable. The rows may be oriented transverse to the direction of the net flow of gas through the reaction chamber 102, which is the direction extending from left to right from the perspective of FIG. 1 . Thus, the independently controlled rows of heating elements 1 18 may be used to provide a selected thermal gradient across the furnace 130, if so desired. Similarly, the second group 172 of heating elements 1 18 also may comprise a plurality of rows of heating elements 1 1 8, which may be controlled independently from one another. Thus, a selected thermal gradient also may be provided across the substrate support structure 1 14, if so desired.
[0065] Optionally, passive heat transfer structures (e.g., structures comprising materials that behave similarly to a black body) may be located adjacent or proximate to at least a portion of the precursor gas furnace 130 within the reaction chamber 102 to improve transfer of heat to the precursor gases within the furnace 130.
[0066] Passive heat transfer structures (e.g., structures comprising materials that behave similarly to a black body) may be provided within the reaction chamber 102 as disclosed in, for example, U.S. Patent Application Publication No. US 2009/02 14785 A l , which published on August 27, 2009 in the name of Arena et al., the entire disclosure of which is incorporated herein by reference.
[0067] By way of example and not limitation, the deposition system 100 may include one or more passive heat transfer plates 177 within the reaction chamber 102, as shown in FIG. 1.
These passive heat transfer plates 177 may be generally planar and may be oriented generally parallel to the top wall 104 and the bottom wall 106. In some embodiments, these passive heat transfer plates 177 may be located closer to the top wall 104 than the bottom wall 106, such that they are positioned in a plane vertically above a plane in which the workpiece substrate 1 16 is disposed within the reaction chamber 102. The passive heat transfer plates 177 may extend across only a portion of the space within the reaction chamber 102, as shown in FIG. 1 , or they may extend across substantially the entire space within the reaction chamber 102. In some embodiments, a purge gas may be caused to flow through the reaction chamber 102 in the space between the top wall 104 of the reaction chamber 102 and the one or more passive heat transfer plates 177 so as to prevent unwanted deposition of material on the inner surface of the top wall 104 within the reaction chamber 102. Such a purge gas may be supplied from, for example, the gas inflow conduit 120A. Of course, passive heat transfer plates having configurations other than those of the heat transfer plates 177 of FIG. 1 may be incorporated within the reaction chamber 102 in additional
embodiments, and such heat transfer plates may be located in positions other than those at which the heat transfer plates 177 of FIG. 1 are located.
[0068] As another non-limiting example, the precursor gas furnace 130 may include a passive heat transfer plate 178, which may be located between the second plate-shaped structure 132B and the third plate-shaped structure 132C, as shown in FIG. 3. Such a passive heat transfer plate 178 may improve the transfer of heat provided by the heating elements 1 18 to the precursor gas within the furnace 130, and may improve the homogeneity and consistency of the temperature within the furnace 130. The passive heat transfer plate 178 may comprise a material with high emissivity values (close to unity) (black body materials) that is also capable of withstanding the high temperature, corrosive environment that may be encountered within the reaction chamber 102. Such materials may include, for example, aluminum nitride (A1N), silicon carbide (SiC), and boron carbide (B4C), which have emissivity values of 0.98, 0.92, and 0.92, respectively. Thus, the passive heat transfer plate 178 may absorb thermal energy emitted by the heating elements 1 18, and reemit the thermal energy into the furnace 130 and the precursor gas or gases therein.
[0069] FIG. 6 is a schematic diagram illustrating a plan view of another embodiment of a deposition system 200 that similar to the deposition system 100 of FIG. 1 , but which includes three precursor gas furnaces 130 A, 130B, 130C located within an interior region of the reaction chamber 102. Thus, each of the precursor gas furnaces I 30A. 130B, 130C may be used for injecting different precursor gases into the reaction chamber 102. By way of example and not limitation, the precursor gas furnace 130B may be used to inject GaCIs into the reaction chamber 102, the precursor gas furnace 130A may be used to inject InC^ into the reaction chamber 102, and the precursor gas furnace 130C may be used to inject AICI3 into the reaction chamber 102. Optionally, a group I I I element precursor gas may be injected into the reaction chamber 102 using the precursor gas furnace 1 30B for deposition of a III- V semiconductor material, and the precursor gas furnaces 130A, 130C may be used to inject one or more precursor gases used for depositing one or more dopant elements into the III-V semiconductor material.
[0070| Embodiments of depositions systems as described herein, such as the depositions system 100 of FIG. 1 and the deposition system 200 of FIG. 6 may enable the introduction of relatively large quantities of high temperature precursor gases into the reaction chamber 102 while maintaining the precursor gases spatially separated from one another until the gases are located in the immediate vicinity of the vvorkpiece substrate 1 16 onto which material is to be deposited, which may improve the efficiency in the utilization of the precursor gases.
[0071] Previously known deposition systems (e.g., HVPE deposition systems) have commonly resulted in the formation of reaction products on surfaces within the reaction chamber 102 other than the surface of the vvorkpiece substrate 1 16 on which material is to be deposited. Over time, such unwanted deposition of material may lead to increased particulate levels within the reaction chamber 102 and an associated decrease in the quality of the material deposited on the vvorkpiece substrate 1 16 and inefficient heating of the reaction chamber 102 by the heating elements 1 18. For example, GaCI3 condenses from the vapor phase at temperatures below about 500°C, and gallium may be deposited from GaCI3 on surfaces in contact with the GaCl3 vapor that are not maintained at temperatures above the vaporization temperature. Additionally, GaCl3 is typical ly converted to GaCI in the reaction chamber, and the Ga is deposited from the GaCI vapor. The GaCI species is energetically favorable over the GaCI3 species at temperatures above about 730°C. Thus, the precursor gas furnace 1 30 may be used to heat the precursor gas flowing therethrough to a temperature above about 730°C prior to in jecting the precursor gas over the surface of the vvorkpiece substrate 1 16 on which it is desired to deposit material.
[0072J FIG. 6 is a cut-away perspective view schematically illustrating another example embodiment of a deposition system 200. The deposition system 200 is similar to the deposition system 100 of FIG. 1 , and includes an access gate 188 (shown in the open position in FIG. 6), which is located remotely from a location at which process gases are injected into the reaction chamber 102. The deposition system 200, however, does not include an internal precursor gas furnace 1 30, but rather includes an external precursor gas injector 230 located outside the reaction chamber 102. The external precursor gas injector 230 may be configured for heating at least one precursor gas and conveying the at least one precursor gas from a precursor gas source to a gas injection device 210, which may be substantially similar to the gas injection device 1 10 of FIG. 1.
[0073] By way of example and not limitation, the external precursor gas injector 230 may comprise a precursor gas injector as described in any of provisional U.S. Patent Application Serial No. 61/416,525, filed November 23, 2010 and entitled "Methods of Forming Bulk Ill-Nitride Materials on Metal-Nitride Growth Template Layers, and Structures formed by Such Methods," U .S. Patent Application Publication No. US 2009/0223442 A l , which published September 10, 2009 in the name of Arena et al., International Publication Number WO 2010/ 101 715 A 1 , published September 10. 2010 and entitled "Gas Injectors for CVD Systems with the Same," U.S. Patent Application Serial No. 12/894,724, which was filed September 30. 2010 in the name of Bertran, and U.S. Patent Application Serial No. 12/895.3 1 1 , which was filed September 30, 2010 in the name of Werkhoven, the disclosures of which are hereby incorporated herein in their entireties by this reference.
[00741 The gas injector 230 may comprise a thermalizing gas injector including an elongated conduit, which may have a coiled configuration, a serpentine configuration, etc., in which the one or more process gases flowing therethrough (e.g., a precursor gas) are heated as they flow through the elongated conduit. External heating elements may be used to heat the process gas or gasses as they flow through the elongated conduit. Optionally, one or more passive heating structures (like those previously described herein) may be incorporated into the gas injector 230 to improve the heating of the process gas or gasses flowing through the gas injector 230.
[00751 Optionally, the gas injector 230 may further include a reservoir configured to hold a liquid reagent for reacting with a process gas (or a decomposition or reaction product of a process gas). For example, the reservoir may be configured to hold a liquid metal or other element, such as, for example, liquid gallium (Ga), liquid aluminum (Al), or liquid indium (In). In further embodiments of the invention, the reservoir may be configured to hold a solid reagent for reacting with a process gas (or a decomposition or reaction product of a process gas). For example, the reservoir may be configured to hold a solid volume of one or more materials, such as, for example, solid silicon (Si) or solid magnesium (Mg).
[0076] With continued reference to FIG. 6, the process gas or gases that are injected into the reaction chamber 102 from the external precursor gas injector 230 may be carried through an interior region within the reaction chamber 102 within an enclosure 140 to a location proximate the workpiece support structure 1 14, so as to avoid such process gas or gases from mixing with other process gas or gasses until they are in the vicinity of a workpiece substrate 1 16 supported on the substrate support structure 1 14.
[0077] In additional embodiments, the deposition systems may include both an internal precursor gas furnace 130 as described with reference to FIG. 1 , as well as an external precursor gas injector 230, as described with reference to FIG. 6. For example, the enclosure 240 shown in FIG. 6 could be replaced with the internal precursor gas furnace 130 of FIG. 1 .
[0078J As shown in FIG. 6, the reaction chamber 102 may further include structural support ribs 240, which may be used to provide structural rigidity to the reaction chamber 102. Such support ribs 240 may be comprise a refractory material like that of the top wall 104 and bottom wall 106 of the reaction chamber 102. The reaction chamber 102 of FIG. I could also include such structural support ribs 240 in additional embodiments.
[00791 FIG. 7 schematically illustrates a top plan view of an additional example embodiment of a deposition system 300 of the present disclosure. The deposition system 300 may be substantially similar to the deposition system 100 of FIG. 1 or the deposition system 200 of FIG. 6, except that the access gate 188 is located on a lateral side of the reaction chamber 102 longitudinally between the first longitudinal end of the reaction chamber 102 near the location 103A at which one or more process gases into the reaction chamber 102 and the second longitudinal end of the reaction chamber 102 near the location I 03B at which the process gases are vented out from the reaction chamber 102. In other words, in the deposition system 300 of FIG. 7, the workpiece substrates 1 16 may be loaded and unloaded along a direction transverse to the generally direction of gas flow through the reaction chamber 102. Thus, the access gate 1 88 is located remotely from the location 103A at which process gases are injected into the reaction chamber 102, as is the access gate 188 in the embodiments of FIGS. 1 and 6.
[0080] As shown in FIG. 7, the deposition system 300 further includes at least one robotic arm device 3 10 configured to robotically load workpiece substrates 1 16 into the reaction chamber 102 through the access gate 188 and to unload workpiece substrates 1 16 out from the reaction chamber 102 through the access gate 188. Such robotic arm devices are known in the art. Although not illustrated in FIGS. I and 6, the deposition system 100 of FIG. 1 and the deposition system 200 of FIG. 6 also may include at least one such robotic arm device 3 10 configured to robotically load workpiece substrates 1 16 into the reaction chamber 102 through the access gate 188 and to unload workpiece substrates 1 16 out from the reaction chamber 102 through the access gate 188.
[0081] FIG. 8 schematically illustrates a view of an additional example embodiment of a deposition system 400 of the present disclosure. The deposition system 400 may be substantially similar to the deposition system 100 of FIG. 1 or the deposition system 200 of FIG. 6, except that the reaction chamber 102 may be divided into two or more channels. In some embodiments, the two or more channels may be disposed vertically over one another. For example, the two or more channels may comprise a load/unload channel 402 and an injection/exhaust channel 404. The load/unload channel 402 may be located within reaction chamber 102 between a rear intermediate shelf 406 and the bottom wall 106, and the injection/exhaust channel 404 may be located within reaction chamber 102 between the rear intermediate shelf 406/ and the top wall 104.
[0082) The injection/exhaust channel 404 is in fluidic connection to the vacuum device 1 13 through vacuum chamber 184 for exhausting gaseous byproducts, carrier gases, and any excess precursor gases out from the reaction chamber 102.
[0083] The load/unload channel 402 may extend to an access gate 188, which may be selectively opened for loading and/or unloading workpiece substrates 1 16 from the substrate support structure 1 14 and/or the substrate support structure 1 14 through the load/unload channel 402. The access gate 188 may be selectively closed for processing of the workpiece substrates 1 16 using the deposition system 400. In addition, the load/unload channel 402 may be in fluidic connection with a first bottom bottom row I 15A of connectors 1 17 for injecting process gas. In this configuration, a purge gas may be injected into the load/unload channel 402 to prevent gaseous byproducts, carrier gases, and any excess precursor gases from entering load/unload channel 402, thereby reducing (e.g., preventing) parasitic deposition of material upon the access gate 188.
[0084] For loading/unloading processes, at least one robotic arm device (not illustrated in FIG. 8) may be configured to traverse back and forth through the load/unload channel 402 to enable robotically automated loading of workpiece substrates 1 16 (and or a substrate support structure 1 14) into the reaction chamber 102 through the access gate 1 88, and to enable robotically automated unloading of workpiece substrates 1 16 (and/or substrate support structures 1 14) out from the reaction chamber 102 through the access gate 188. Such robotic arm devices are known in the art.
[0085] The substrate support structure 1 14 and workpiece substrates 1 16 located thereon may be raised and lowered along the axis of rotation 408 of the substrate support structure 1 14. A drive (not shown) may be coupled to the spindle 1 19 to enable movement of the substrate support structure 1 14 and the vvorkpiece substrates 1 16 located thereon along the axis of rotation 408 (in additional to rotation of the substrate support structure 1 14 and the workpiece substrates 1 16 about the axis of rotation 408).
[0086] The substrate support structure 1 14 and vvorkpiece substrates 1 16 located thereon may be raised to a deposition position and lowered to a load/unload position within the reaction chamber 102 to enable deposition processes and loading/unloading processes, respectively. For deposition processes, the substrate support structure 1 14 may be raised to a deposition position at which the substrate support structure 1 14 may be located within or at least adjacent to the injection/exhaust channel 404, and, more specifically, substantially coplanar with the rear intermediate shelf 406. For load/unload processes, the substrate support structure 1 14 may be lowered to a load/unload position at which the substrate support structure 1 14 may be located within the load/unload channel 404, and, more specifically, may be located proximate to the bottom wall 106.
[0087] Embodiments of depositions systems as described herein, such as the depositions system 100 of FIG. 1 , the deposition system 200 of FIG. 6, the deposition system 300 of FIG. 7, and the deposition system 400 of FIG. 8 may be used to deposit semiconductor material on a workpiece substrate 1 16 in accordance with further embodiments of the disclosure.
[0088) Referring to FIG. 1 , a workpiece substrate 1 16 may be loaded into a reaction chamber 102 and onto a substrate support structure 1 14 through at least one access gate 188. One or more process gases, which may include one or more precursor gases, may be caused to flow into the reaction chamber 102 through at least one gas injection device 1 10 located remote from the at least one access gate 188. One or more process gases may be evacuated out from the reaction chamber 102 through at least one vacuum device I 13, which may be located on an opposing side of the substrate support structure 1 14 from the at least one gas injection device 1 10. A surface of the workpiece substrate 1 16 may be exposed to the one or more process gases as they flo from the at least one gas injection device 1 10 to the at least one vacuum device 1 13, and semiconductor material may be deposited on the surface of the workpiece substrate 1 14.
[0089) In some embodiments, the access gate 188 through which the workpiece substrate 1 16 is loaded and unloaded may be located on a side of the vacuum device 1 13 opposite the at least one gas injection device 1 10, as previously discussed. [0090] Additionally, a curtain of flowing purge gas may be formed using the purge gas curtain device 186, as previously described. The curtain of flowing purge gas may be disposed between the substrate support structure 1 14 and the access gate 188.
[0091] In some embodiments, the process gases may comprise at least precursor gases selected to include a group III element precursor gas and a group V element precursor gas. In such embodiments, the semiconductor material to be deposited on the workpiece substrate 1 14 may comprise a IU-V semiconductor material. The group III element precursor gas optionally may be caused to flow through at least one precursor gas flow path extending through the precursor gas furnace 130 disposed within the reaction chamber 102 to heat the group III element precursor gas.
[0092] The group III element precursor gas may comprise one or more of GaCI3, InCl3, and AICI . In such embodiments, the heating of the group III element precursor gas may result in decomposition of at least one of GaCI , InCl3, and AIC13 to form at least one of GaCI, InCI, AICI, and a chlorinated species (e.g., HC1).
" [0093] After heating the group IN element precursor gas within the furnace 130, the group V element precursor gas and the group III element precursor gas may be mixed together within the reaction chamber 102 over the workpiece substrate 1 16. The surface of the workpiece substrate 1 16 may be exposed to the mixture of the group V element precursor gas and the group I II element precursor gas to form a III- V semiconductor material on the surface of the workpiece substrate 1 16.
[0094] Simi lar methods according to the present disclosure may be performed using the deposition system 200 of FIG. 6.
[0095] Methods of the present disclosure also include methods of fabricating deposition systems as described herein, such as the deposition system 100 of FIG. 1 and the deposition system 200 of FIG. 6. A reaction chamber 102 may be formed that includes a top wall 104, a bottom wall 106, and at least one side wal l I 08A, I 08B. A substrate support structure 1 14 for supporting at least one workpiece substrate 1 1 may be provided at least partially within the reaction chamber 102. At least one gas injection device 1 10 may be coupled to the reaction chamber at a first location 103 A. The gas injection device may be configured for injecting one or more process gases into the reaction chamber 102 at the first location 103 A. The one or more process gases may include at least one precursor gas. At least one vacuum device 1 13 also may be coupled to the reaction chamber 102 at a second location. The vacuum device 1 13 may be configured for drawing the process gas or gasses through the reaction chamber 102 from the first location 103 A to the second location I 03B and for evacuating the process gas or gases out from the reaction chamber 102 at the second location I 03B.
[0096] At least one access gate 188 may be coupled to the reaction chamber 102 at a location remote from the first location 103A at which the gas injection device 1 10 is coupled to the reaction chamber 102. The at least one access gate 188 may be configured to enable a workpiece substrate 1 16 to be loaded into the reaction chamber 102 and onto the substrate support structure 1 14, and unloaded from the substrate support structure 1 14 out from the reaction chamber 102 through the at least one access gate 188.
[0097] Additional non-limiting example embodiments of the invention are described below.
[0098] Embodiment 1 : A deposition system, comprising: a reaction chamber defined by a top wall, a bottom wall, and at least one side wall; a substrate support structure disposed at least partially within the reaction chamber and configured to support a workpiece substrate within the reaction chamber; at least one gas injection device for injecting one or more process gases including at least one precursor gas into the reaction chamber at a first location; a vacuum device for drawing the one or more process gases through the reaction chamber from the first location to a second location and for evacuating the one or more process gases out from the reaction chamber at the second location; and at least one access gate through which a workpiece substrate may be loaded into the reaction chamber and onto the substrate support structure and unloaded from the substrate support structure out from the reaction chamber, the at least one access gate located remote from the first location.
[0099] Embodiment 2: The deposition system of Embodiment 1 , wherein the first location is disposed on a first side of the substrate support structure, and the second location is disposed on an opposing second side of the substrate support structure.
[00100] Embodiment 3: The deposition system of Embodiment 2, wherein the second location is disposed between the substrate support structure and the at least one access gate.
[00101] Embodiment 4: The deposition system of any one of Embodiments 1 through 3, further comprising at least one purge gas injection device configured to form a curtain of flowing purge gas flowing between the at least one purge gas injection device and the vacuum device, the curtain of flowing purge gas disposed between the worpiece support structure and the at least one access gate.
[00102] Embodiment 5: The deposition system of Embodiment 1 , wherein the second location is disposed between the substrate support structure and the at least one access gate.
[00103] Embodiment 6: The deposition system of any one of Embodiments 1 through 4, wherein the at least one gas injection device is located at a first end of the reaction chamber, and the at least one access gate is located at an opposing second end of the reaction chamber.
[00104] Embodiment 7: The deposition system of any one of Embodiments 1 through 4, wherein the at least one gas injection device is located at a first end of the reaction chamber, and the at least one access gate is located at a lateral side of the reaction chamber.
[00105] Embodiment 8: The deposition system of any one of Embodiments 1 through 7, wherein the at least one access gate comprises at least one plate configured to move between a closed first position and an open second position, wherein the reaction chamber is at least substantially enclosed and access to the substrate support structure through the at least one access gate is precluded when the at least one plate is in the closed first position, and wherein access to the substrate support structure is enabled through the at least one access gate when the at least one plate is in the open second position.
[00106] Embodiment 9: The deposition system of any one of Embodiments 1 through 8, wherein the at least one gas injection device comprises a gas injection manifold.
[00107] Embodiment 10: The deposition system of any one of Embodiments 1 through 9, further comprising at least one internal precursor gas furnace disposed within the reaction chamber, the at least one internal precursor gas furnace configured for heating at least one precursor gas and conveying the at least one precursor gas within the reaction chamber from the at least one gas injection device to a location proximate the substrate support structure.
[00108] Embodiment 1 1 : The deposition system of any one of Embodiments 1 through 10, further comprising at least one external precursor gas injector located outside the reaction chamber, the at least one extenral precursor gas injector configured for heating at least one precursor gas and conveying the at least one precursor gas from a precursor gas source to the at least one gas injection device. [00109] Embodiment 12: The deposition system of any one of Embodiments 1 through 1 1 , further comprising at least one robotic arm device configured to robotically load workpiece substrates into the reaction chamber through the at least one access gate and unload workpiece substrates out from the reaction chamber through the at least one access gate.
[00110] Embodiment 13 : The deposition system of any one of Embodiments I through 12, wherein the at least one gas injection device for injecting one or more process gases is configured to inject the one or more process gases through at least one side wall of the reaction chamber, and wherein the at least one access gate extends through another side wall remote from the at least one side wall through which the one or more process gases are injected.
[00111] Embodiment 14: The deposition system of Embodiment 1 3, wherein the at least one side wall through which the one or more process gases are injected and the another side wall are located at opposing ends of the reaction chamber. i
[00112] Embodiment 15 : A method of depositing semiconductor material on a workpiece substrate using a deposition system, comprising: loading a workpiece substrate into a reaction chamber and onto a substrate support structure through at least one access gate; flowing one or more process gases into the reaction chamber through at least one gas injection device located remote from the at least one access gate, the one or more porcess gases including at least one precursor gas; evacuating one or more process gases out from the reaction chamber through at least one vacuum, device located on an opposing side of the substrate support structure from the at least one gas injection device; exposing a surface of the workpiece substrate to the one or more process gases as they flow from the at least one gas injection device to the at least one vacuuum device and depositing semiconductor material on the surface of the workpiece substrate; and unloading the workpiece substrate out from the reaction chamber through the at least one access gate.
[00113] Embodiment 16: The method of Embodiment 1 5, further comprising selecting the at least one precursor gas to comprise a group III element precursor gas and a group V element precursor gas.
[00114] Embodiment 1 7: The method of Embodiment 15 or Embodiment 16, wherein depositing semiconductor material on the surface of the workpiece substrate comprises depositing a l l l-V semiconductor material on the surface of the workpiece substrate. [00115] Embodiment 1 8: The method of any one of Embodiments 1 5 through 1 7, wherein loading the workpiece substrate into the reaction chamber and onto the substrate support structure through the at least one access gate comprises loading the workpiece substrate into the reaction chamber through at least one access gate located on a side of the at least one vacuum device opposite the at least one gas injection device.
[00116] Embodiment 19: The method of any one of Embodiments 15 through 1 8, further comprising forming a curtain of flowing purge gas disposed between the worpiece support structure and the at least one access gate.
[00117] Embodiment 20: A method of fabricating a deposition system, comprising: forming a reaction chamber including a top wall, a bottom wall, and at least one side wall; providing a substrate support structure for supporting at least one workpiece substrate at least partially within the reaction chamber; coupling at least one gas injection device to the reaction chamber at a first location, the at least one gas injection device configured for injecting one or more process gases including at least one precursor gas into the reaction chamber at the first location; coupling at least one vacuum device to the reaction chamber at a second location, the at least one vacuum device configured for drawing the one or more process gases through the reaction chamber from the first location to the second location and for evacuating the one or more process gases out from the reaction chamber at the second location; and coupling at least one access gate to the reaction chamber at a location remote from the first location, the at least one access gate configured to enable a workpiece substrate to be loaded into the reaction chamber and onto the substrate support structure and unloaded from the substrate support structure out from the reaction chamber through the at least one access gate.
[00118] Embodiment 21 : The method of Embodiment 20, further comprising locating the at least one gas injection device on a first side of the substrate support structure, and locating the at least one vacuum device on an opposing second side of the substrate support structure.
[001 19] Embodiment 22: The method of Embodiment 20 or Embodiment 21 , further comprising locating the at least one vacuum device between the substrate support structure and the at least one access gate.
[00120] Embodiment 23 : The method of any one of Embodiments 20 through 22, further comprising coupling at least one purge gas injection device to the reaction chamber proximate the at least one vacuum device, the at least one purge gas injection device configured to form a curtain of purge gas flow ing from the at least one purge gas injection device to the at least one vacuum device between the substrate support structure and the at least one access gate.
[00121] Embodiment 24: The method of any one of Embodiments 20 through 23, further comprising locating the at least one vacuum device between the substrate support structure and the at least one access gate.
[00122] Embodiment 25: The method of any one of Embodiments 20 through 24, further comprising locating the at least one gas injection device at a first end of the reaction chamber, and locating the at least one access gate at an opposing second end of the reaction chamber.
[00123] The embodiments of the invention described above do not limit the scope the invention, since these embodiments are merely examples of embodiments of the invention, which is defined by the scope of the appended claims and their legal equivalents. Any equivalent embodiments are intended to be within the scope of this invention. Indeed, various modifications of the invention, in addition to those shown and described herein, such as alternate useful
combinations of the elements described, will become apparent to those skilled in the art from the description. Such modifications are also intended to fall within the scope of the appended claims.

Claims

CLA IMS What is claimed is:
1. A deposition system, comprising:
a reaction chamber defined by a top wall, a bottom wall, and at least one side wall;
a substrate support structure disposed at least partially within the reaction chamber and
configured to support a workpiece substrate within the reaction chamber;
at least one gas injection device for injecting one or more process gases including at least one precursor gas into the reaction chamber at a first location;
a vacuum device for drawing the one or more process gases through the reaction chamber from the first location to a second location and for evacuating the one or more process gases out from the reaction chamber at the second location; and
at least one access gate through which a workpiece substrate may be loaded into the reaction chamber and onto the substrate support structure and unloaded from the substrate support structure out from the reaction chamber, the at least one access gate located remote from the first location.
2. The deposition system of claim 1 , wherein the first location is disposed on a first side of the substrate support structure, and the second location is disposed on an opposing second side of the. substrate support structure.
3. The deposition system of claim 2, wherein the second location is disposed between the substrate support structure and the at least one access gate.
4. The deposition system of claim 3, further comprising at least one purge gas injection device configured to form a curtain of flowing purge gas flowing between the at least one purge gas injection device and the vacuum device, the curtain of flowing purge gas disposed between the worpiece support structure and the at least one access gate.
5. The deposition system of claim I , wherein the second location is disposed between the substrate support structure and the at least one access gate.
6. The deposition system of claim 1 , wherein the at least one gas injection device is located at a first end of the reaction chamber, and the at least one access gate is located at an opposing second end of the reaction chamber.
7. The deposition system of claim 1 , wherein the at least one gas injection device is located at a first end of the reaction chamber, and the at least one access gate is located at a lateral side of the reaction chamber.
8. The deposition system of claim 1 , wherein the at least one access gate comprises at least one plate configured to move between a closed first position and an open second position, wherein the reaction chamber is at least substantially enclosed and access to the substrate support structure through the at least one access gate is precluded when the at least one plate is in the closed first position, and wherein access to the substrate support structure is enabled through the at least one access gate when the at least one plate is in the open second position.
9. The deposition system of claim' 1 , wherein the at least one gas injection device comprises a gas injection manifold.
10. The deposition system of claim 1 , further comprising at least one internal precursor gas furnace disposed within the reaction chamber, the at least one internal precursor gas furnace configured for heating at least one precursor gas and conveying the at least one precursor gas within the reaction chamber from the at least one gas injection device to a location proximate the substrate support structure.
1 1 . The deposition system of claim 1 , further comprising at least one external precursor gas injector located outside the reaction chamber, the at least one extenral precursor gas injector configured for heating at least one precursor gas and conveying the at least one precursor gas from a precursor gas source to the at least one gas injection device.
12. The deposition system of claim 1 , further comprising at least one robotic arm device configured to robotically load workpiece substrates into the reaction chamber through the at least one access gate and unload workpiece substrates out from the reaction chamber through the at least one access gate.
13. The deposition system of claim 1 , wherein the at least one gas injection device for injecting one or more process gases is configured to inject the one or more process gases through at least one side wall of the reaction chamber, and wherein the at least one access gate extends through another side wall remote from the at least one side wall through which the one or more process gases are injected.
14. The deposition system of claim 13, wherein the at least one side wall through which the one or more process gases are injected and the another side wall are located at opposing ends of the reaction chamber.
15. A method of depositing semiconductor material on a workpiece substrate using a deposition system, comprising:
loading a workpiece substrate into a reaction chamber and onto a substrate support structure through at least one access gate;
flowing one or more process gases into the reaction chamber through at least one gas injection device located remote from the at least one access gate, the one or more porcess gases including at least one precursor gas;
evacuating one or more process gases out from the reaction chamber through at least one vacuum device located on an opposing side of the substrate support structure from the at least one gas injection device;
exposing a surface of the workpiece substrate to the one or more process gases as they flow from the at least one gas injection device to the at least one vacuuum device and depositing semiconductor material on the surface of the workpiece substrate; and
unloading the workpiece substrate out from the reaction chamber through the at least one access gate.
16. The method of claim 1 5, further comprising selecting the at least one precursor gas to comprise a group III element precursor gas and a group V. element precursor gas.
1 7. The method of claim 16, wherein depositing semiconductor material on the surface of the workpiece substrate comprises depositing a l il-V semiconductor material on the surface of the workpiece substrate.
1 8. The method of claim 1 5, wherein loading the workpiece substrate into the reaction chamber and. onto the substrate support structure through the at least one access gate comprises loading the workpiece substrate into the reaction chamber through at least one access gate located on a side of the at least one vacuum device opposite the at least one gas injection device.
19. The method of claim 1 5, further comprising form ing a curtain of flowing purge gas disposed between the worpiece support structure and the at least one access gate.
PCT/IB2012/001577 2011-08-22 2012-08-10 Deposition system having acces gates and related method WO2013027102A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE112012003499.6T DE112012003499T5 (en) 2011-08-22 2012-08-10 Deposition system with access gates and method therefor
CN201280040887.6A CN103748263B (en) 2011-08-22 2012-08-10 There is depositing system and the correlation technique of access lock

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161526137P 2011-08-22 2011-08-22
US61/526,137 2011-08-22
FR1157954 2011-09-07
FR1157954A FR2979748B1 (en) 2011-09-07 2011-09-07 DEPOSITION SYSTEMS HAVING ACCESS DOORS TO DESIRABLE LOCATIONS, AND METHODS RELATING THERETO

Publications (1)

Publication Number Publication Date
WO2013027102A1 true WO2013027102A1 (en) 2013-02-28

Family

ID=46832520

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2012/001577 WO2013027102A1 (en) 2011-08-22 2012-08-10 Deposition system having acces gates and related method

Country Status (4)

Country Link
CN (1) CN103748263B (en)
DE (1) DE112012003499T5 (en)
TW (1) TWI586830B (en)
WO (1) WO2013027102A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102022105526A1 (en) * 2022-03-09 2023-09-14 Aixtron Se CVD device and method for cleaning a process chamber of a CVD device

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6179913B1 (en) 1999-04-16 2001-01-30 Cbl Technologies, Inc. Compound gas injection system and methods
WO2004025716A1 (en) * 2002-09-14 2004-03-25 Ips Ltd. Flow-type thin film deposition apparatus and injector assembly therefor
US20090214785A1 (en) 2008-02-27 2009-08-27 Chantal Arena Thermalization of gaseous precursors in cvd reactors
US20090223442A1 (en) 2006-11-22 2009-09-10 Chantal Arena Methods for high volume manufacture of group iii-v semiconductor materials
US20100166955A1 (en) * 2008-11-01 2010-07-01 Cambridge Nanotech Inc. System and method for thin film deposition
US20100227046A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
WO2010101715A1 (en) 2009-03-03 2010-09-10 S.O.I.Tec Silicon On Insulator Technologies Gas injectors for cvd systems with the same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6179913B1 (en) 1999-04-16 2001-01-30 Cbl Technologies, Inc. Compound gas injection system and methods
WO2004025716A1 (en) * 2002-09-14 2004-03-25 Ips Ltd. Flow-type thin film deposition apparatus and injector assembly therefor
US20090223442A1 (en) 2006-11-22 2009-09-10 Chantal Arena Methods for high volume manufacture of group iii-v semiconductor materials
US20090214785A1 (en) 2008-02-27 2009-08-27 Chantal Arena Thermalization of gaseous precursors in cvd reactors
US20100166955A1 (en) * 2008-11-01 2010-07-01 Cambridge Nanotech Inc. System and method for thin film deposition
WO2010101715A1 (en) 2009-03-03 2010-09-10 S.O.I.Tec Silicon On Insulator Technologies Gas injectors for cvd systems with the same
US20100227046A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium

Also Published As

Publication number Publication date
CN103748263A (en) 2014-04-23
TW201309840A (en) 2013-03-01
DE112012003499T5 (en) 2014-09-25
TWI586830B (en) 2017-06-11
CN103748263B (en) 2016-10-26

Similar Documents

Publication Publication Date Title
US20150292088A1 (en) Deposition systems having interchangeable gas injectors and related methods
KR102403666B1 (en) Apparatus and process containment for spatially separated atomic layer deposition
US20130047918A1 (en) Deposition systems including a precursor gas furnace within a reaction chamber, and related methods
US20160145767A1 (en) Deposition systems having access gates at desirable locations, and related methods
KR100415475B1 (en) Apparatus for growing thin films onto a substrate
EP2227576B1 (en) Apparatus for delivering precursor gases to an epitaxial growth substrate
US20110290175A1 (en) Multi-Chamber CVD Processing System
US8486192B2 (en) Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US20110277681A1 (en) Gas injectors for cvd systems with the same
KR101525210B1 (en) Apparatus for processing substrate
US20100310769A1 (en) Continuous Feed Chemical Vapor Deposition System
US20130052333A1 (en) Deposition systems having reaction chambers configured for in-situ metrology and related methods
TWI436409B (en) Methods of forming bulk iii-nitride materials on metal-nitride growth template layers , and structures formed by such methods
WO2013027096A1 (en) Direct liquid injection for halide vapor phase epitaxy systems and methods
WO2013027102A1 (en) Deposition system having acces gates and related method
US20130199441A1 (en) Gas injectors for chemical vapour deposition (cvd) systems and cvd systems with the same
WO2013027098A1 (en) Deposition systems including a precursor gas furnace within a reaction chamber, and related methods
US9644285B2 (en) Direct liquid injection for halide vapor phase epitaxy systems and methods
US20180119277A1 (en) Gas Distribution Apparatus for Deposition System
WO2012042035A1 (en) Thermalizing gas injectors, material deposition systems, and related methods
WO2013088213A1 (en) Deposition system having a reaction chamber configured for in situ metrology and related method
FR2979748A1 (en) System for depositing III-V semiconductor material on workpiece substrate in manufacture of semiconductor device, has chamber and substrate support structure onto which workpiece substrate is loaded, and unloaded through access gate

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12758602

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 1120120034996

Country of ref document: DE

Ref document number: 112012003499

Country of ref document: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12758602

Country of ref document: EP

Kind code of ref document: A1