WO2011096745A2 - 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법 - Google Patents

상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법 Download PDF

Info

Publication number
WO2011096745A2
WO2011096745A2 PCT/KR2011/000749 KR2011000749W WO2011096745A2 WO 2011096745 A2 WO2011096745 A2 WO 2011096745A2 KR 2011000749 W KR2011000749 W KR 2011000749W WO 2011096745 A2 WO2011096745 A2 WO 2011096745A2
Authority
WO
WIPO (PCT)
Prior art keywords
polishing
phase change
change material
slurry
phase
Prior art date
Application number
PCT/KR2011/000749
Other languages
English (en)
French (fr)
Other versions
WO2011096745A3 (ko
Inventor
박재근
백운규
박진형
최호
조종영
황희섭
임재형
김예환
Original Assignee
한양대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한양대학교 산학협력단 filed Critical 한양대학교 산학협력단
Priority to US13/577,257 priority Critical patent/US20130032572A1/en
Publication of WO2011096745A2 publication Critical patent/WO2011096745A2/ko
Publication of WO2011096745A3 publication Critical patent/WO2011096745A3/ko
Priority to US15/392,441 priority patent/US10103331B2/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions

Definitions

  • the present invention relates to a slurry for polishing a phase change material and a method of manufacturing a phase change device using the same, and more particularly, to a slurry for polishing a phase change material of a crystalline phase and a method of manufacturing a phase change device using the same.
  • Flash memory devices have the advantage that the stored information is not erased even when the power supply is cut off, and the bit read and write speed is slow.
  • DRAM has an advantage of having a fast bit processing speed, but has a disadvantage in that stored information is erased when power is cut off. Therefore, in recent years, the research of the next generation memory device having the advantages of flash memory and DRAM has been actively conducted.
  • phase-change random access memory PRAM or PCRAM
  • PCRAM phase change random access memory
  • the phase change material refers to a material in which a phase change occurs in an amorphous state and a crystalline state by Joule heating generated by an applied current.
  • the phase change memory device includes a phase change layer including a phase change material between two electrodes, and part or all of the phase change layer is changed into a crystalline phase or an amorphous phase according to a current flowing between the two electrodes.
  • the device determines the stored information using the state of the phase change layer. That is, since the resistance of the phase change layer having a large number of amorphous phases is much higher than that of the phase change layer having a large number of crystalline phases, the magnitude of the current flowing through the phase change layer when the read voltage of a predetermined magnitude is applied is different. Accordingly, the magnitude of the current is sensed to determine whether the information stored in the cell is 1 or 0.
  • Ge 2 Sb 2 Te 5 tertiary alloys with atomic composition of germanium, antimony and tellurium in 2: 2: 5 are currently used in phase change memory devices currently under development and commercial use. . Among them, research activities are very active for nitrogen doped Ge 2 Sb 2 Te 5 .
  • phase change memory device as described above is composed of a plurality of cells, and when the volume of phase change material in each cell is large, thermal crosstalk between adjacent cells occurs, so the volume must be made small. Moreover, in recent years, the density of phase change materials has been further reduced due to the increased density of phase change materials. Therefore, the phase change material should be patterned to be located in a small volume space separate from other adjacent phase change materials.
  • the wet or dry etching method is used in the method for patterning the phase change material.
  • the etching method has a disadvantage in that the process step is complicated and process control is difficult.
  • the phase change material may be patterned through a damascene process or a self-aligning process, which is relatively simplified.
  • these processes form small holes or trenches in which the phase change material will be located in the insulating film, and fill the holes or trenches with the phase change material.
  • the phase change material on the insulating film other than the inside of the hole or the trench is removed through a chemical mechanical polishing process.
  • the state of the deposited phase change material is determined by the deposition method and the deposition temperature. That is, when deposited at room temperature has an amorphous phase, when deposited at a high temperature will have a crystalline phase.
  • their chemical mechanical polishing properties are also significantly different. Therefore, when selecting the chemical mechanical polishing slurry of the amorphous phase and the crystalline phase, such characteristics difference should be fully considered. Since there is no slurry for polishing the phase change material of the crystalline phase, research on this is necessary.
  • a slurry used for polishing a conventional amorphous phase change material is used for polishing a crystalline phase change material, it is difficult to polish the crystalline phase change material such as a very low polishing rate.
  • An object of the present invention is to provide a slurry for polishing a phase change material capable of polishing a phase change material having a crystalline state of a phase change material immediately before polishing, and a method of manufacturing a phase change device using the same.
  • one technical problem of the present invention is to provide a slurry for polishing a phase change material that can improve the polishing rate of a phase change material and a method of manufacturing a phase change device using the same.
  • Another object of the present invention is to provide a slurry for polishing a phase change material and a method of manufacturing a phase change device using the same, which can improve the polishing selectivity of the phase change material and the insulating layer.
  • Another object of the present invention is to provide a slurry for polishing a phase change material and a method for manufacturing a phase change device using the same, which can realize a high quality polishing surface by minimizing surface defects such as scratches.
  • Phase change material polishing slurry according to an embodiment of the present invention
  • Abrasives, alkaline polishing enhancers, oxidants with a standard reduction potential greater than Perchlorates, and ultrapure water are used as polishing enhancers.
  • the phase change material is preferably a crystalline chalcogen binary alloy or a chalcogen multicomponent alloy.
  • the abrasive may include at least one of a group consisting of colloidal silica, ceria, fumed silica, and alumina (Al 2 O 3 ).
  • the abrasive may include 0.1 to 10 wt% based on 100 wt% of the polishing slurry.
  • the phase change material is positioned on the insulating layer, and the abrasive is preferably lower than the hardness of the insulating layer.
  • the alkaline abrasive improver preferably includes at least one of TMAH (Tetramethylammonium hydroxide), KOH, NaOH and NH 4 OH.
  • TMAH Tetramethylammonium hydroxide
  • the phase change material polishing slurry may have a pH of 10 to 12.
  • the oxidant preferably includes a material produced from the binding of manganate ions (MnO 4 ⁇ )) to a cation.
  • the oxidant is preferably included in 0.005 to 0.3% by weight based on 100% by weight of the polishing slurry.
  • the polishing selectivity adjusting agent may be further included in an amount of 0.0001 to 3% by weight based on 100% by weight of the polishing slurry.
  • the said polishing selectivity control agent contains the polymer containing an amine group.
  • the polishing selectivity adjusting agent may contain at least one of primary amine, secondary amine, and tertiary amine.
  • the polishing selectivity adjusting agent includes an acrylic polymer including polyacrylate, polymethylmethacrylate, polybenzylmethacrlyate, polyvinylpyrrolidone, and polyacrylamide (Polyacrylamide), and salt substituents thereof, and copolymers (copolymer) is preferably included.
  • the surface roughness adjusting agent may further include 0.00001 to 2% by weight based on 100% by weight of the polishing slurry.
  • the surface roughness control agent hydroxylethyl cellulose (Hydroxylethylcellulose), carboxymethyl cellulose (Carboxylmethyl cellulose), ethyl cellulose (Ethyl cellulose), methyl cellulose (Methyl cellulose), hydroxypropyl cellulose
  • hydroxylethyl cellulose Hydroxylethylcellulose
  • carboxymethyl cellulose Carboxylmethyl cellulose
  • ethyl cellulose ethyl cellulose
  • methyl cellulose Methyl cellulose
  • hydroxypropyl cellulose Cellulose based materials, including woods (Hydroxypropyl cellulose), aminoethyl cellulose, Oxyethyl cellulose, hydroxybutyl methyl cellulose, and their It is preferable that it is at least any one of a salt compound.
  • the corrosion inhibitor may further include 0.001 to 0.5% by weight based on 100% by weight of the polishing slurry.
  • the corrosion inhibitor may include at least one of an azole system including benzotriazole, aminotriazole, and triazole.
  • phase change device manufacturing method according to an embodiment of the present invention
  • Preparing a substrate Forming a phase change material film on a crystalline phase on the substrate; Removing a portion of the phase change material film by a chemical mechanical polishing process using a polishing agent, an alkaline polishing enhancer, a oxidizing agent having a standard reduction potential greater than perchlorates, and a slurry for polishing a phase change material including ultrapure water It includes.
  • the forming of the phase change material film on the crystalline phase may be formed by depositing a phase change material on the substrate as a crystalline phase or depositing a phase change material in an amorphous state and then performing a subsequent heat treatment process.
  • the method may further include forming a lower structure layer including a metal pattern on the substrate and forming an insulating layer on the lower structure layer.
  • the method may further include forming a hole exposing a portion of the metal pattern by removing a portion of the insulating layer.
  • a phase change material in which the state of the phase change material is crystalline immediately before polishing may be polished and patterned.
  • the polishing rate of the phase change material may be improved, the chemical etching rate of the phase change material may be suppressed, and the polishing selectivity of the phase change material and the insulating layer may be improved.
  • 1 to 5 are cross-sectional views showing a method of manufacturing a phase change device according to an embodiment of the present invention
  • FIG. 6 is a graph showing the polishing rate of the phase change material of the amorphous phase and the crystalline phase according to the addition amount of the polishing enhancer TMAH,
  • 1 to 5 are cross-sectional views illustrating a method of manufacturing a phase change device according to an exemplary embodiment of the present invention.
  • the insulating layer 120 is formed on the lower structure layer 110 on which the lower structure is formed. It is effective to use a semiconductor substrate on which a metal pattern is formed as the lower structure layer 110.
  • the metal pattern may be patterned in a wire shape. And, of course, a switch element such as a transistor may be formed on the semiconductor substrate.
  • the metal pattern may include a plurality of metal electrodes and a plurality of connection wires connecting them.
  • the metal pattern may be a lower electrode formed under the phase change layer.
  • the insulating layer 120 serves to protect the phase change material, electrically isolate the phase change material in one adjacent cell, and thermally separate the adjacent phase change materials. Therefore, as the insulating layer 120, various material films capable of performing the above role are used. In one embodiment of the present invention, it is preferable to use a silicon oxide film (SiO 2 ) as the insulating layer 120. Of course, the present invention is not limited thereto, and a silicon nitride film (SiN), a silicon oxynitride film (SiON), or a low dielectric constant material film may be used. In addition, the insulating layer 120 may be manufactured in a single layer or multiple layers.
  • a portion of the insulating layer 120 is removed to form a hole 121 exposing a portion of the lower structure layer 110.
  • the hole 121 is for patterning the phase change material layer, and is preferably manufactured in the size, height, and shape of the desired phase change material layer. In addition, a portion of the metal pattern of the lower structure layer 110 may be exposed through the hole 121.
  • a photosensitive film is coated on the insulating layer 120.
  • An exposure and development process using a photoresist mask ie, a photolithography process
  • a photoresist mask ie, a photolithography process
  • the hole 121 is formed by removing the exposed insulating layer 120 through an etching process using the photoresist mask pattern as an etching mask.
  • the remaining photoresist mask pattern is removed.
  • a hard mask layer may be formed on the insulating layer 120 instead of the photoresist layer, a part of the hard mask layer may be removed to form a hard mask layer pattern, and the hole may be formed using the hard mask layer pattern as an etch mask.
  • a photosensitive film may be used for the hard mask film pattern.
  • a photoresist mask pattern is first formed on the lower structure layer 110, and then an insulation layer 120 is formed, and the insulation layer 120 is planarized by polishing, and the photoresist mask pattern is exposed. Then, the exposed photoresist layer is exposed.
  • the hole 121 may be formed by removing the mask pattern.
  • the hole 121 may be formed through a punching process using a laser or a punching machine rather than an etching process.
  • the phase change layer 130 including the phase change material is formed by filling the hole 121.
  • phase change material is a material that causes phase changes to each other in a crystalline state and an amorphous state according to an amplitude and a period of an applied current.
  • the phase change material is either germanium (Ge), antimony (Sb), or tellurium (Te). It may be a compound comprising one or more.
  • phase change materials include InSe, Sb 2 Te 3 , GeTe, Ge 2 Sb 2 Te 5 , InSbTe, GaSeTe, SnSb 2 Te 4 , InSbGe, AgInSbTe, (GeSn) SbTe, GeSb (SeTe), Te 81 Ge 15 It may be Sb 2 S 2 .
  • chalcogenide is used as a phase change material.
  • the phase change material may be a chalcogen binary alloy or a chalcogen multicomponent alloy, for example Ge 2 Sb 2 Te 5 .
  • the phase change material film 131 of the crystalline phase is formed on the entire surface of the insulating layer 120 where the holes 121 are formed.
  • the term "phase change material film" of the "crystalline phase” means that when a part or all of the phase change material film is crystallized, and when there are more crystalline phases than the amorphous phase, it includes both a single crystal state and a polycrystalline state.
  • the phase change material film 131 of the crystalline phase may be formed by performing deposition in a high temperature temperature atmosphere.
  • the phase change material film 131 of the crystalline phase may be formed by depositing a phase change material in a low temperature atmosphere and then performing heat treatment.
  • a Ge 2 Sb 2 Te 5 phase change material was deposited at 200 ° C. by DC magnetic sputtering to form a phase change material film 131 having a 2000 ⁇ thickness.
  • the present invention is not limited thereto, and the phase change material film 131 may be deposited along the surface step of the insulating layer 120 to fill the hole 121 by using a metal organic chemical vapor deposition (MOCVD) method. It may be formed in the hole 121 by chemical vapor deposition (CVD), physical vapor deposition (PVD), or atomic layer deposition (ALD). Of course, it can also form by the AL-CVD method which mixed CVD and ALD method.
  • the phase change material film 131 is formed to be filled in the hole 121, and then the phase change material film 131 formed on the insulating layer 120 in the remaining areas except for the hole 121 area is removed.
  • the phase change layer 130 is formed.
  • a CMP process is performed to remove the phase change material film 131.
  • the CMP process is performed using a slurry for polishing a phase change material according to an embodiment of the present invention, wherein the insulating layer 120 is used as a polishing stop layer.
  • the phase change material layer 131 on the insulating layer 120 except for the hole 121 region is removed to form the phase change layer 130 inside the hole 121.
  • the phase change material may be formed as the crystalline phase in the CMP process step regardless of the phase change material formed in the step before the CMP process.
  • a plurality of upper electrodes 140 are formed on the insulating layer 120 and the phase change layer 130 by a metal pattern, and the like.
  • a conventional subsequent process is performed, such as forming a plurality of connection wires connecting the interconnections, to manufacture a phase change element.
  • the slurry for polishing a phase change material for polishing a phase change material film formed of a crystalline phase includes an abrasive, an alkaline polishing agent, an oxidizing agent, and ultrapure water. It may also include polishing selectivity modifiers, surface roughness modifiers, and corrosion inhibitors.
  • the abrasive a material capable of effectively polishing a phase change material is used.
  • a material used as the insulating layer 120 positioned below the phase change material as an abrasive, for example, a material softer than SiO 2 .
  • the abrasive since the phase change material is located on the insulating layer, it is preferable that the abrasive is lower than the hardness of the insulating layer.
  • the abrasive includes at least one of a group consisting of colloidal silica, Ceria, fumed silica, and alumina (Al 2 O 3 ).
  • the abrasive preferably comprises 0.1 to 10% by weight based on 100% by weight of the polishing slurry. If the abrasive is included in less than 0.1% by weight, the effect of polishing is insignificant, and the polishing effect reaches its maximum when approximately 10% by weight. When included in excess of 10% by weight, the increase in polishing effect is insignificant, resulting in unnecessary costs.
  • polishing agent is 5-100 nm, and it is preferable that a secondary particle diameter is 10-200 nm.
  • the alkaline polishing improver it is preferable to use a substance that can adjust pH to the alkaline region and increase the polishing rate and polishing selectivity of the phase change material.
  • TMAH tetramethylammonium hydroxide
  • KOH, NaOH, and NH 4 OH may be used as the alkaline polishing enhancer.
  • the content of the alkaline polishing enhancer in the polishing slurry in one embodiment of the present invention is preferably contained so that the pH of the slurry is 10 to 12.
  • the pH of the slurry is less than 10, there is a possibility that the adsorption of abrasive on the surface may occur. If the pH of the slurry exceeds 12, chemical etching damage of the phase change material is severe, and the risk of handling and transporting the slurry increases.
  • an oxidizing agent is added as a constituent material of the polishing slurry.
  • the oxidizing agent is preferably a substance having a standard reduction potential higher than that of perchlorates and having a stable property in a basic environment.
  • a substance having stable properties in a basic environment means a substance that does not precipitate in a solid state in a basic environment.
  • Materials having such properties may include materials produced from the combination of manganate ions (MnO 4 ⁇ )) and cations. More specifically, the pemanganate acid (HMnO 4 ), the pemanganate ion (MnO 4 ⁇ ) and potassium ions (MnO 4 ⁇ ) formed by the combination of pemanganate ion (MnO 4 ⁇ ) and hydrogen ion (H + ) K +) bond broken potassium page generated by the carbonate (KMnO 4)), page TKO carbonate ion (MnO 4 -) and a carbonate bond broken resulting sodium fetched by the sodium ions (Na +) (NaMnO 4) , page TKO carbonate ion (MnO 4 -) and magnesium ions (Ma 2+) binding the resulting magnesium carbonate page TKO (Ma (MnO 4) 2) in the, page TKO carbonate ion (MnO 4 -) and calcium ions (Ca 2+) Calcium pe
  • the oxidant is preferably included in 0.005 to 1% by weight based on 100% by weight of the polishing slurry. If the content of the oxidizing agent is less than 0.005% by weight, the effect of improving the polishing rate is not large. If the content of the oxidant is more than 1% by weight, the etching rate of the phase change material is higher than the desired speed, and dishing may occur therefrom.
  • the slurry for polishing a phase change material may further include a polishing selectivity adjusting agent in addition to the polishing agent and the alkaline polishing improving agent.
  • the polishing selectivity adjusting agent further lowers the polishing rate of the insulating layer (eg, SiO 2 layer) while further improving the polishing rate for the phase change material, thereby further increasing the polishing selectivity.
  • the polishing selectivity adjusting agent may include a polymer containing an amine group, and the polymer may include a primary amine, a secondary amine, a tertiary amine, or the like. There is this.
  • acrylic polymers including polyacrylate, polymethylmethacrylate, and polybenzylmethacrlyate as the polishing selectivity adjusting agent, polyvinyl polypyrrolidone, and polyvinyl polypyrrolidone.
  • Materials including at least one of acrylamide (PAM), salt substitutions thereof, and copolymers may be used.
  • PAM polyacrylamide
  • the polishing selectivity adjusting agent is preferably included in 0.0001 to 3% by weight based on 100% by weight of the polishing slurry.
  • the polishing selectivity adjusting agent is for suppressing polishing of the insulating layer.
  • the polishing selectivity adjusting agent When the polishing selectivity adjusting agent is included in less than 0.0001% by weight, the polishing inhibiting effect of the insulating layer is insignificant and the effect of polishing selection is insignificant. In this case, the synergistic effect of the polishing selection is insignificant, resulting in unnecessary cost.
  • the slurry for polishing a phase change material may further include a surface roughness adjusting agent in addition to an abrasive, an alkaline polishing enhancer, an oxidizing agent, and a selectivity control agent.
  • the surface roughness adjusting agent may lower the surface roughness of the polishing surface to prevent scratches on the polishing surface.
  • Such surface roughness adjusting agent preferably includes a cellulose-based material, more specifically, hydroxylethyl cellulose (HEC), carboxylmethyl cellulose, and ethyl cellulose.
  • Wood cellulose methyl cellulose, hydroxypropyl cellulose, aminoethyl cellulose, oxyethyl cellulose, hydroxybutyl methyl
  • a cellulose based material including cellulose (hydroxylbutyl methyl cellulose) and a salt compound between the cellulose based materials
  • HEC hydroxylethyl cellulose
  • the surface roughness adjusting agent is preferably included in 0.00001 to 2% by weight based on 100% by weight of the polishing slurry. If the surface roughness control agent is included in less than 0.00001% by weight, the surface roughness control effect is insignificant, and when included in excess of 2% by weight, the synergistic effect of the surface roughness control is insignificant, resulting in unnecessary cost.
  • the slurry for polishing a phase change material may further include a corrosion inhibitor in addition to an abrasive, an alkaline polishing enhancer, an oxidizing agent, a selectivity control agent, and a surface roughness control agent.
  • a corrosion inhibitor in addition to an abrasive, an alkaline polishing enhancer, an oxidizing agent, a selectivity control agent, and a surface roughness control agent.
  • the corrosion inhibitor may include at least one of an azole system including benzotriazole, aminotriazole, and triazole.
  • the corrosion inhibitor is preferably included in 0.001 to 0.5% by weight based on 100% by weight of the polishing slurry. When the corrosion inhibitor is included in less than 0.001% by weight, the effect of corrosion protection is insignificant, and when included in excess of 0.5% by weight, the synergistic effect of the surface corrosion protection is insignificant, resulting in unnecessary cost.
  • the phase change material of the amorphous phase is 0.12 weight based on 100% by weight polishing slurry It can be seen that the removal rate increases until the alkaline polishing enhancer (for example, TMAH) is added to%, and then decreases.
  • the phase change material of the crystalline phase has a correlation between the addition amount of TMAH and the removal rate. You can see that it is not visible.
  • the inventors of the present invention derived correlations between the oxidizing agent added by adding various oxidizing agents in a predetermined ratio and the polishing rate, polishing selectivity, and the like of the phase change material in the crystalline phase.
  • a slurry containing the aforementioned constituent materials is prepared.
  • pretreatment of the abrasive eg colloidal silica
  • the ultrapure water and the abrasive are mixed in the mixer.
  • An alkaline polishing enhancer, an oxidizing agent, a selectivity control agent, a surface roughness control agent, a corrosion inhibitor, and the like are added at the aforementioned ratios to stabilize dispersion in the mixer.
  • alkaline polishing improver is used to adjust pH and stabilize the slurry.
  • the macroparticles are then removed through a filter to complete the preparation of the slurry. Specific component and composition ratio of the phase change material polishing slurry is shown in Table 1 below.
  • a colloidal silica having a primary particle diameter of 35 nm and a secondary particle diameter of 70 nm was 1.3 wt% based on 100 wt% of the polishing slurry (hereinafter,% is based on 100 wt% of the polishing slurry). ), which was evenly dispersed in ultrapure water. 0.12% by weight of TMAH was used as the polishing enhancer, and 0.06% by weight of polyacrylamide was used to improve the polishing selectivity between the phase change material film and the silicon oxide film. In Comparative Example 1, no oxidizing agent was added, and Experimental Examples 1 to 3 used potassium perchlorate (KClO 4 )) as the oxidizing agent.
  • Experimental Examples 4 to 6 used hydrogen peroxide (H 2 O 2 ) as the oxidizing agent, and Experimental Examples 7 to 9 used potassium permanganate (KMnO 4 )) as the oxidizing agent. Specific oxidant concentrations are listed in Table 1 above. The pH of the polishing slurry was 10.5 to 11.0.
  • Polishing was performed on an 8 inch wafer on which a silicon doped polycrystalline Ge 2 Sb 2 Te 5 phase change material was formed on the entire surface of the silicon wafer.
  • the Ge 2 Sb 2 Te 5 phase change material was deposited to a thickness of 2000 m by DC magnetic sputtering in a 200 ° C. temperature atmosphere to form a phase change material film.
  • a silicon oxide film having a thickness of 7000 was formed on a separate wafer by using a plasma CVD process using TEOS (Tetra Ethyl Ortho Silicate) as a raw material.
  • the polishing equipment used was 6EC equipment from Strasbaugh (see www.strasbaugh.com), and the IC 1000 / Suba IV CMP pad from Rohm & Haas was used as the polishing pad.
  • the grinding conditions were 3.0 psi lowering pressure, 70 rpm for both the table and spindle, and 100 mL / min for the slurry flow rate, and 30 sec for the wafer with the phase change material film and the wafer with the silicon oxide film, respectively. And polished for 60 sec.
  • Table 2 shows the results of measuring the polishing rate, the etching selectivity, and the etching rate for each of the slurry of [Table 1].
  • Figure 7 is a graph showing the polishing rate for each of the Ge 2 Sb 2 Te 5 phase change material of the slurry of [Table 1]
  • Figure 8 is a Ge 2 Sb 2 Te of each slurry of [Table 1] 5 is a graph depicting etch rates for phase change materials.
  • the slurry containing potassium pemanganate has high polishing rate and polishing selectivity, but the etching rate of phase change material is much lower than that of hydrogen peroxide. Due to this property, it is more advantageous in terms of dishing, uniformity, and the like in chemical mechanical polishing than a slurry to which hydrogen peroxide is added.
  • the oxidizing agent added to the crystalline phase change material polishing slurry is preferably a standard electrode potential (more specifically, a standard reduction electrode potential) than perchlorate, manganate It can be seen that it is more desirable to include materials produced from the combination of ions (MnO 4 ⁇ ) and cations. In addition, it can be seen that such an oxidizing agent is preferably included in 0.005 to 0.3% by weight based on 100% by weight of the polishing slurry.
  • Table 3 shows the surface roughness and scratches of the phase change material before and after polishing as observed by a white light scanning interferometer (NanoView) and atomic force microscope (Atomic Force Microscope, AFM).
  • # means the number of scratches. Since NanoView observes the surface over a wide measurement range (in mm 2 ), scratch levels can be observed at the same time, while AFM has a measurement range in ⁇ m 2 , allowing precise observation of surface roughness caused by surface chemical etching. have.
  • the surface roughness values are all expressed as root-mean square (Rq) values.
  • Rq root-mean square
  • the present invention is not limited by the embodiments and drawings disclosed herein, Of course, various modifications may be made by those skilled in the art within the scope of the technical idea of the present invention.
  • the present invention can be used for polishing a variety of phase change materials in addition to the phase change memory devices exemplified herein.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

본 발명은 결정질 상의 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법에 관한 것으로, 본 발명의 일 실시예에 따른 상변화 물질 연마용 슬러리는, 연마제와, 알칼리성 연마 향상제와, 표준 환원 전위가 과염소산염(Perchlorates) 보다 큰 산화제와, 초순수를 포함한다. 또한, 본 발명의 일 실시예에 따른 상변화 소자 제조 방법은, 기판을 준비하는 단계; 상기 기판 상에 결정질 상의 상변화 물질막을 형성하는 단계; 연마제와, 알칼리성 연마 향상제와, 표준 환원 전위가 과염소산염(Perchlorates) 보다 큰 산화제와, 초순수를 포함하는 상변화 물질 연마용 슬러리를 이용하여 화학기계적 연마 공정으로 상기 상변화 물질막을 제거하는 단계를 포함한다.

Description

상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법
본 발명은 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법에 대한 것으로, 보다 상세하게는 결정질 상의 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법에 관한 것이다.
종래 많이 사용되는 기억 소자로 플래시(Flash) 메모리와 디램(DRAM)이 있다. 플래시 메모리 소자는 전원이 끊겨도 저장된 정보가 지워지지 않는 장점이 있고 비트(Bit) 단위 읽고 쓰기 속도가 느린 단점이 있다. 그리고, 디램의 경우 빠른 비트 단위의 처리 속도를 갖는 장점이 있지만, 전원이 끊기는 경우 저장된 정보가 지워지는 단점이 있다. 따라서, 최근에는 플래시 메모리와 디램의 장점을 갖는 차세대 메모리 소자의 연구가 활발히 진행 중이다.
이러한 차세대 메모리 소자로 가역적 상변화 특성을 가지는 상변화 물질을 이용한 상변화 메모리 소자(Phase-change Random Access Memory; PRAM or PCRAM)가 제안되었다.
상변화 물질은 인가되는 전류에 의해 발생하는 주울 열(Joule heating)에 의해 비정질(Amorphous) 상태와 결정질(Crystalline) 상태로 상변화가 일어나는 물질을 말한다. 상변화 메모리 소자는 두 전극 사이에 상변화 물질을 포함하는 상변화층을 포함하고 있는데, 두 전극 사이에 흐르는 전류 흐름에 따라 상변화층의 일부 또는 전부가 결정질 상 또는 비정질 상으로 변화되고, 이러한 상변화층의 상태를 이용하여 저장된 정보를 판별하는 소자이다. 즉, 비정질 상이 다수인 상변화층의 저항이 결정질 상이 다수인 상변화층의 저항보다 훨씬 높으므로, 일정한 크기의 읽기 전압을 가할 때 상변화층을 통해 흐르는 전류의 크기가 다르다. 따라서, 이러한 전류의 크기를 감지하여 셀(Cell)에 저장된 정보가 1인지 0인지를 판별한다.
현재 개발 및 상용 중인 상변화 메모리 소자에서 게르마늄(Germanium), 안티몬(Antimony), 텔루륨(Tellurium)이 2:2:5의 원자 구성을 가진 Ge2Sb2Te5 3원 합금이 가장 많이 사용되고 있다. 그 중에서도 질소가 도핑된 Ge2Sb2Te5에 대해 연구 활동이 매우 활발하게 진행되고 있다.
상술한 바와 같은 상변화 메모리 소자는 복수의 셀로 구성되고, 각 셀 내의 상변화 물질의 체적이 클 경우 인접한 셀 간의 열 혼선이 발생하기 때문에 그 체적을 작게 형성하여야 한다. 더욱이 최근에 들어 상변화 물질의 집적도가 증가함으로 인해 상변화 물질의 체적이 더욱 줄어들게 되었다. 따라서, 상변화 물질을 인접한 다른 상변화 물질과 분리된 작은 체적 공간에 위치하도록 패터닝하여야 한다.
여기서, 상변화 물질을 패터닝하기 위한 방법에서 습식 또는 건식 식각 방식을 사용하게 된다. 하지만, 식각 방식은 공정 단계가 복잡하고, 공정 제어가 어려운 단점이 있다. 상술한 식각 공정의 단점을 극복하기 위한 방법으로는 비교적 공정이 단순화된 다마신(Damascene) 공정 또는 자기 정렬 공정을 통해 상변화 물질을 패터닝할 수 있다. 하지만, 이들 공정은 절연막에 상변화 물질이 위치할 작은 홀 또는 트랜치를 형성하고, 홀 또는 트랜치를 상변화 물질로 매립한다. 이때, 홀 또는 트랜치 내부 이외의 절연막 상의 상변화 물질은 화학기계적 연마 공정을 통해 제거한다.
한편, 증착된 상변화 물질의 상태는 증착 방식 및 증착 온도에 의하여 결정된다. 즉, 상온에서 증착하면 비정질 상을 가지게 되고, 고온에서 증착하면 결정질 상을 가지게 된다. 그런데 상변화 물질의 비정질 상과 결정질 상의 기계적 경도, 화학적 활성 및 원소 간의 본딩(Bonding) 구조의 차이로 인해 이들의 화학기계적 연마 특성도 확연히 다르다. 때문에 비정질 상과 결정질 상의 화학기계적 연마 슬러리를 선택할 때도 이러한 특성 차이도 충분히 고려하여야 하는데, 현재 결정질 상의 상변화 물질을 연마하기 위한 슬러리가 없는 실정이어서, 이에 대한 연구가 필요하다. 또한, 종래의 비정질 상의 상변화 물질의 연마에 사용되는 슬러리를 결정질 상변화 물질의 연마에 사용하는 경우, 연마율이 매우 낮은 등 결정질 상변화 물질의 연마에 어려움이 있다.
본 발명의 일 기술적 과제는 연마 직전 상변화 물질의 상태가 결정질인 상변화 물질을 연마할 수 있는 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법을 제공하는 데 있다.
또한, 본 발명의 일 기술적 과제는 상변화 물질의 연마율을 향상시킬 수 있는 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법을 제공하는 데 있다.
또한, 본 발명의 일 기술적 과제는 상변화 물질과 절연층의 연마 선택비를 향상시킬 수 있는 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법을 제공하는 데 있다.
또한, 본 발명의 일 기술적 과제는 스크래치 등의 표면 결함을 최소화하여 고품질의 연마 표면을 구현할 수 있는 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법을 제공하는 데 있다.
본 발명의 일 실시예에 따른 상변화 물질 연마용 슬러리는,
연마제와, 알칼리성 연마 향상제와, 표준 환원 전위가 과염소산염(Perchlorates) 보다 큰 산화제와, 초순수를 포함한다.
상기 상변화 물질은 결정질 칼코겐(Chalcogen) 2원 합금 또는 칼코겐 다원 합금인 것이 바람직하다
상기 연마제는 콜로이드 실리카(Colloidal silica), 세리아(Ceria), 퓸 실리카(Fumed silica), 및 알루미나(Al2O3)로 이루어진 그룹 중에서 적어도 어느 하나를 포함하는 것이 바람직하다.
상기 연마제는 연마용 슬러리 100 중량%를 기준으로 0.1 내지 10 중량%를 포함할 수 있다.
상기 상변화 물질은 절연층 상에 위치하고, 상기 연마제는 상기 절연층의 경도보다 낮은 것이 바람직하다.
상기 알칼리성 연마향상제는 TMAH(Tetramethylammonium hydroxide), KOH, NaOH 및 NH4OH 중 적어도 어느 하나를 포함하는 것이 바람직하다.
상기 상변화 물질 연마용 슬러리는 pH가 10 내지 12일 수 있다.
상기 산화제는 망가네이트이온(MnO4 -))과 양이온의 결합으로부터 생성된 물질을 포함하는 것이 바람직하다.
상기 산화제는 연마용 슬러리 100 중량%를 기준으로 0.005 내지 0.3 중량%로 포함되는 것이 바람직하다.
연마용 슬러리 100 중량%를 기준으로 0.0001 내지 3 중량%로 포함되는 연마 선택비 조절제를 더 포함할 수 있다.
상기 연마 선택비 조절제는 아민기를 함유하고 있는 폴리머를 포함하는 것이 바람직하다.
상기 연마 선택비 조절제는 1차 아민, 2차 아민, 및 3차 아민 중 적어도 어느 하나를 함유할 수 있다.
상기 연마 선택비 조절제는 폴리아크릴레이트(Polyacrylate), 폴리메틸메타클레이트(Polymethylmethacrylate), 폴리벤질메타클레이트(Polybenzylmethacrlyate)를 포함하는 아크릴계 고분자와, 폴리비닐폴리피로리돈(Polyvinyl pyrrolidone), 폴리아크릴아미드(Polyacrylamide), 및 이들의 염 치환물, 그리고 코폴리머(Copolymer)중 적어도 어느 하나를 포함하는 것이 바람직하다.
연마용 슬러리 100 중량%를 기준으로 0.00001 내지 2 중량%로 포함되는 표면 거칠기 조절제를 더 포함할 수 있다.
상기 표면 거칠기 조절제는, 하이드록실에틸 셀룰로우즈(Hydroxylethylcellulose), 카르복실메틸 셀룰로우즈(Carboxylmethyl cellulose), 에틸 셀룰로우즈(Ethyl cellulose), 메틸 셀룰로우즈(Methyl cellulose), 하이드록시프로필 셀룰로우즈(Hydroxypropyl cellulose), 아미노에틸 셀룰로우즈(Aminoethyl cellulose), 옥시에틸 셀룰로우즈(Oxyethyl cellulose), 하이드록시부틸 메틸 셀룰로우즈(Hydroxylbutyl methyl cellulose)를 포함하는 셀룰로우즈 계열 물질 및, 이들의 염(Salt) 화합물 중 적어도 어느 하나인 것이 바람직하다.
연마용 슬러리 100 중량%를 기준으로 0.001 내지 0.5 중량%로 포함되는 부식 방지제를 더 포함할 수 있다.
상기 부식 방지제는 벤조트리아졸(Benzotriazole), 아미노트리아졸(Aminotriazole), 트리아졸(Triazole)를 포함하는 아졸계 중에서 적어도 하나를 포함할 수 있다.
또한, 본 발명의 일 실시예에 따른 상변화 소자 제조 방법은,
기판을 준비하는 단계; 상기 기판 상에 결정질 상의 상변화 물질막을 형성하는 단계; 연마제와, 알칼리성 연마 향상제와, 표준 환원 전위가 과염소산염(Perchlorates) 보다 큰 산화제와, 초순수를 포함하는 상변화 물질 연마용 슬러리를 이용하여 화학기계적 연마 공정으로 상기 상변화 물질막의 일부를 제거하는 단계를 포함한다.
상기 결정질 상의 상변화 물질막을 형성하는 단계는, 상기 기판 상에 상변화 물질 증착시 결정질 상으로 증착하거나, 또는 상변화 물질 증착시 비정질 상태로 증착한 다음 후속 열처리 공정을 수행하여 형성할 수 있다.
상기 기판을 준비하는 단계 이후, 상기 기판 상측에 금속 패턴을 포함하는 하부 구조물층을 형성하고, 상기 하부 구조물층 상에 절연막을 형성하는 단계를 더 포함할 수 있다.
상기 절연막의 일부를 제거하여 상기 금속 패턴의 일부를 노출시키는 홀을 형성하는 단계를 더 포함할 수 있다.
상기한 바와 같은 본 발명의 실시예들에 의하면,
연마 직전 상변화 물질의 상태가 결정질인 상변화 물질을 연마하고 패터닝할 수 있다.
또한, 상변화 물질의 연마율을 향상시킬 수 있을 뿐만 아니라, 상변화 물질의 화학 에칭 속도를 억제하고, 상변화 물질과 절연층의 연마 선택비를 향상시킬 수 있다.
또한, 스크래치 등의 표면 결함을 최소화하여 고품질의 연마 표면을 구현할 수 있다. 이러한 고품질 연마 표면은 후속 공정에서 증착될 상부 전극과의 접촉 저항을 줄일 수 있게 하여, 상변화 소자의 동작 측면에서 유리하다.
도 1 내지 도 5는 본 발명의 일 실시예에 따른 상변화 소자 제조 방법을 도시한 단면도,
도 6은 연마 향상제 TMAH 첨가량에 따른 비정질 상과 결정질 상의 상변화 물질의 연마율을 도시한 그래프,
도 7은 [표 1]의 슬러리 각각의 Ge2Sb2Te5 상변화 물질에 대한 연마율을 도시한 그래프,
도 8은 [표 1]의 슬러리 각각의 Ge2Sb2Te5 상변화 물질에 대한 에칭 속도를 도시한 그래프,
도 9는 [표 1]의 슬러리 각각의 실리콘 산화막(SiO2)에 대한 연마율을 도시한 그래프이다.
이하, 첨부된 도면을 참조하여 본 발명의 실시예를 상세히 설명한다. 우선, 도면들 중 동일한 구성요소 또는 부품들은 가능한 한 동일한 참조부호를 나타내고 있음에 유의해야 한다. 본 발명을 설명함에 있어서 관련된 공지기능 혹은 구성에 대한 구체적인 설명은 본 발명의 요지를 모호하게 하지 않기 위해 생략한다.
도 1 내지 도 5는 본 발명의 일 실시예에 따른 상변화 소자 제조 방법을 설명하기 위한 단면도이다.
도 1을 참조하면, 하부 구조물이 형성된 하부 구조물층(110) 상에 절연층(120)을 형성한다. 상기 하부 구조물층(110)으로 금속 패턴이 형성된 반도체 기판을 사용하는 것이 효과적이다. 여기서, 상기 금속 패턴은 배선 형상으로 패터닝될 수 있다. 그리고, 물론 반도체 기판에 트랜지스터와 같은 스위치 소자가 형성될 수도 있다. 또한, 상기 금속 패턴은 복수의 금속 전극과 이들 간을 연결하는 복수의 연결 배선을 포함할 수 있다. 또한, 상기 금속 패턴은 상변화층의 하부에 형성되는 하부 전극일 수 있다.
상기 절연층(120)은 상변화 물질을 보호하고 인접한 일 셀 내의 상변화 물질을 전기적으로 고립시키고, 인접한 상변화 물질 간을 열적으로 분리시키는 역할을 한다. 따라서, 상기 절연층(120)으로는 상기의 역할을 수행할 수 있는 다양한 물질막을 사용한다. 본 발명의 일 실시예에서는 절연층(120)으로 실리콘 산화막(SiO2)를 사용하는 것이 바람직하다. 물론 이에 한정되지 않고, 실리콘 질화막(SiN) 또는 실리콘 산질화막(SiON) 또는 저유전률의 물질막을 사용할 수도 있다. 그리고, 상기 절연층(120)은 단층 또는 다층으로 제작될 수 있다.
도 2를 참조하면, 절연층(120)의 일부를 제거하여 하부 구조물층(110)의 일부를 노출시키는 홀(121)을 형성한다.
상기 홀(121)은 상변화 물질층을 패터닝하기 위한 것으로, 소망하는 상변화 물질층의 크기와 높이 및, 형상으로 제작하는 것이 바람직하다. 그리고, 상기 홀(121)을 통해 하부 구조물층(110)의 금속 패턴의 일부를 노출시키는 것이 바람직하다.
상술한 바와 같은 홀(121)을 절연층(120)에 형성하기 위해, 먼저 절연층(120) 상에 감광막을 도포한다. 감광 마스크를 이용한 노광 및 현상 공정(즉, 포토리소그라피 공정)을 실시하여 상기 홀이 형성될 영역의 절연층(120)을 노출시키고, 나머지 영역을 차폐시키는 감광막 마스크 패턴을 형성한다. 이어서, 상기 감광막 마스크 패턴을 식각 마스크로 하는 식각 공정을 통해 노출된 절연층(120)을 제거하여 상기 홀(121)을 형성한다. 홀(121)을 형성한 후, 잔류하는 감광막 마스크 패턴을 제거한다. 물론 이에 한정되지 않고, 감광막 대신 절연층(120) 상에 하드 마스크막을 형성하고, 이의 일부를 제거하여 하드 마스크막 패턴을 형성한 다음, 하드 마스크막 패턴을 식각 마스크로 하여 상기 홀을 형성할 수도 있다. 물론 여기서, 하드 마스크막 패턴을 위해 감광막이 사용될 수 있다. 또한, 하부 구조물층(110) 상에 감광막 마스크 패턴을 먼저 형성한 다음 절연층(120)을 형성하고, 연마를 통해 절연층(120)을 평탄화 및 감광막 마스크 패턴을 노출시키고, 이어서, 노출된 감광막 마스크 패턴을 제거하여 홀(121)을 형성할 수도 있다. 또한, 식각 공정이 아닌 레이저 또는 천공기를 이용한 천공 공정을 통해 상기 홀(121)을 형성할 수도 있다.
도 3 및 도 4를 참조하면, 상기 홀(121) 내부를 매립하고 상변화 물질을 포함하는 상변화층(130)을 형성한다.
상변화 물질은 인가되는 전류의 진폭과 주기에 따라 결정 상태와 비정질 상태로 서로 상변화를 일으키는 물질을 말한다.. 상변화 물질은 게르마늄(Ge), 안티몬(Sb) 또는 텔루리움(Te) 중 어느 하나 이상을 포함하는 화합물일 수 있다. 상변화 물질을 예로 들면, InSe, Sb2Te3, GeTe, Ge2Sb2Te5, InSbTe, GaSeTe, SnSb2Te4,InSbGe, AgInSbTe, (GeSn)SbTe, GeSb(SeTe), Te81Ge15Sb2S2일 수 있다. 본 발명의 일 실시예에서는 상변화 물질로 칼코게나이드(Chalcogenide; GST)를 사용한다. 상변화 물질은 보다 상세하게는 칼코겐(Chalcogen) 2원 합금 또는 칼코겐 다원 합금을 일 수 있으며, 그 일예로 Ge2Sb2Te5를 들 수 있다.
먼저, 홀(121)이 형성된 절연층(120) 전면에 결정질 상의 상변화 물질막(131)을 형성한다. 여기서, "결정질 상"의 상변화 물질막이라 함은 상변화 물질막의 일부 또는 전부가 결정질화 된 경우, 비정질 상보다 결정질 상이 다수 존재하는 경우, 단결정 상태, 다결정 상태 모두를 포함하는 것을 의미한다. 결정질 상의 상변화 물질막(131)은 고온의 온도 분위기에서 증착을 수행하여 형성할 수 있다. 또는, 결정질 상의 상변화 물질막(131)은 저온의 온도 분위기에서 상변화 물질을 증착한 다음, 열처리를 수행하여 형성할 수도 있다. 본 발명의 일 실시예에서는 Ge2Sb2Te5 상변화 물질을 DC 마그테틱 스퍼터링(Magnetic sputtering)으로 200 ℃에서 증착하여 2000 Å두께의 결정질 상의 상변화 물질막(131)을 형성하였다. 물론 이에 한정되지 않고, MOCVD(Metal Organic Chemical Vapor Deposition)법을 이용하여 상기 홀(121) 내부를 충전하도록 절연층(120) 표면 단차를 따라 상변화 물질막(131)을 증착할 수도 있고, 이외에도 CVD(Chemical Vapor Deposition) 또는 PVD(Physical Vapor Deposition) 또는 ALD(Atomic Layer Deposition) 법으로 홀(121) 내부에 형성할 수 있다. 물론 CVD와 ALD법을 혼합한 AL-CVD법으로 형성할 수도 있다.
이와 같이 상변화 물질막(131)을 홀(121) 내부에 충진되도록 형성한 다음, 홀(121) 영역을 제외한 나머지 영역의 절연층(120) 상에 형성된 상변화 물질막(131)을 제거하여 상변화층(130)을 형성한다. 이때, 상변화 물질막(131) 제거를 위해 CMP 공정을 수행한다. 여기서, CMP 공정은 본 발명의 일 실시예에 따른 상변화 물질 연마용 슬러리를 이용하여 실시하되, 절연층(120)을 연마 정지층으로 한다. 이를 통해 홀(121) 영역을 제외한 절연층(120) 상부의 상변화 물질막(131)을 제거하여 홀(121) 내측에 상변화층(130)을 형성한다. 본 발명에 따른 상변화 물질 연마용 슬러리를 이용하는 경우, CMP 공정 이전 단계에서 상변화 물질이 어떠한 상으로 형성되는 지 관계없이, CMP 공정 단계에서 상변화 물질이 결정질 상으로 형성되어 있으면 된다.
CMP 공정을 수행하여 절연층(120) 상부의 상변화 물질을 연마하여 제거한 후에, 절연층(120)과 상변화층(130) 위에 금속 패턴 등으로 복수의 상부 전극(140)을 형성하고, 이들 간을 연결하는 복수의 연결 배선을 형성하는 등 통상적인 후속 공정을 수행하여 상변화 소자를 제조한다.
결정질 상으로 형성된 상변화 물질막을 연마하기 위한 상변화 물질 연마용 슬러리는, 연마제와, 알칼리성 연마 향상제(Alkaline agent), 산화제 및, 초순수를 포함한다. 또한, 연마 선택비 조절제, 표면 거칠기 조절제, 그리고 부식 방지제를 포함할 수 있다.
상기 연마제로는 상변화 물질을 효과적으로 연마할 수 있는 물질을 사용한다. 이때, 연마제로 상변화 물질 하측에 위치하는 절연층(120)으로 사용되는 물질, 예를 들면 SiO2 보다 연성의 물질을 사용하는 것이 바람직하다. 다시 말해서, 상변화 물질이 절연층 상에 위치하게 되므로, 상기 연마제는 상기 절연층의 경도보다 낮은 것을 사용하는 것이 바람직하다. 본 발명의 일 실시예에서는 연마제는 콜로이드 실리카(Colloidal silica), 세리아(Ceria), 퓸 실리카(Fumed silica), 및 알루미나(Al2O3)로 이루어진 그룹 중에서 적어도 어느 하나를 포함한다. 물론, 상술한 재료들의 표면을 폴리머로 코팅한 코어쉘(Core-shell) 타입의 연마제를 사용할 수도 있다. 또한, 상기 연마제는 연마용 슬러리 100 중량%를 기준으로 0.1 내지 10 중량%를 포함하는 것이 바람직하다. 상기 연마제가 0.1 중량% 미만으로 포함되면 연마의 효과가 미미하며, 대략 10 중량%일 때 연마 효과가 최대에 다다른다. 10 중량%를 초과하여 포함되면 연마 효과의 상승이 미미하여 불필요한 비용이 발생한다. 또한, 상기 연마제를 구성하는 연마 입자의 1차 입경은 5 내지 100 nm인 것이 바람직하고, 2차 입경은 10 내지 200 nm인 것이 바람직하다.
상기 알칼리성 연마 향상제로서 알칼리 영역으로 pH를 조절하고, 상변화 물질의 연마율과 연마 선택비를 증대시킬 수 있는 물질을 사용하는 것이 바람직하다. 본 발명의 일 실시예에서는 알칼리성 연마 향상제로 TMAH(Tetramethylammonium hydroxide)를 사용한다. 물론 이에 한정되지 않고, 알칼리성 연마 향상제로 KOH, NaOH, NH4OH 중 적어도 어느 하나를 사용할 수도 있다. 본 발명의 일 실시예에서의 연마용 슬러리에서 상기 알칼리성 연마 향상제의 함량은 슬러리의 pH가 10 내지 12가 되도록 함유되는 것이 바람직하다. 슬러리의 pH가 10미만이면 표면에서의 연마제 흡착이 발생할 우려가 있고, 슬러리의 pH가 12를 초과하면 상변화 물질의 화학적 에칭 손상이 심해지고 또한 슬러리의 취급, 운반 등에 있어서 위험성이 높아진다.
종래의 연마용 슬러리를 사용하여 결정질 상의 상변화 물질을 연마하는 경우, 그 연마율은 비정질 상의 상변화 물질 연마율에 비해 현저히 낮아져서 효율이 떨어진다. 또한, 연마제 흡착 등이 발생하여 원하는 수준의 연마를 할 수 없게 된다. 이를 보완하기 위해서 본 발명의 일 실시예에서는 연마용 슬러리의 구성 물질로서 산화제를 첨가한다. 이러한 산화제로는 표준 환원 전위가 과염소산염(perchlorates, 퍼클로레이트)보다 높고, 염기성 환경에서 안정한 특성을 가진 물질인 것이 바람직하다. 여기서 염기성 환경에서 안정한 특성을 가진 물질이란, 염기성 환경에서 고체 상태로 석출되지 않는 물질을 말한다. 이러한 특성을 가진 물질로는 망가네이트이온(MnO4 -))과 양이온의 결합으로부터 생성된 물질을 포함할 수 있다. 보다 상세하게 설명하자면, 페망가네이트이온(MnO4 -))과 수소이온(H+)의 결합으로 생성된 페망가네이트산(HMnO4), 페망가네이트이온(MnO4 -)과 칼륨이온(K+)의 결합으로 생성된 칼륨 페망가네이트(KMnO4)), 페망가네이트이온(MnO4 -)과 나트륨이온(Na+)의 결합으로 생성된 나트륨 페망가네이트(NaMnO4), 페망가네이트이온(MnO4 -)과 마그네슘이온(Ma2+)의 결합으로 생성된 마그네슘 페망가네이트(Ma(MnO4)2), 페망가네이트이온(MnO4 -)과 칼슘이온(Ca2+)의 결합으로 생성된 칼슘 페망가네이트(Ca(MnO4)), 페망가네이트이온(MnO4 -)과 암모늄이온(NH4 -)의 결합으로 생성된 암모늄 페망가네이트(NH4MnO4) 등이 있다. 이때, 상기 산화제는 연마용 슬러리 100 중량%를 기준으로 0.005 내지 1 중량%로 포함되는 것이 바람직하다. 산화제의 함량이 0.005 중량% 미만이면 연마율의 향상 효과가 크지 않고, 1 중량%를 초과하면 상변화 물질의 에칭 속도가 원하는 속도보다 높아지고, 이로부터 디싱(dishing)이 일어날 우려가 있기 때문이다.
또한, 본 발명의 일 실시예의 상변화 물질 연마용 슬러리는 연마제와 알칼리성 연마 향상제 이외에 연마 선택비 조절제를 더 포함할 수 있다. 이 연마 선택비 조절제를 통해 상변화 물질에 대한 연마율을 더욱 향상시키면서, 절연층(예를 들면 SiO2층)의 연마율을 낮출 수 있고, 이를 통해 연마 선택비를 더욱 증가시킬 수 있다. 이와 같은 연마 선택비 조절제로는 아민기를 함유하고 있는 폴리머를 포함하는 것이 바람직하며, 이러한 폴리머로는 1차 아민(Primary amine), 2 차 아민(Secondary amine), 또는 3차 아민(Tertiary amine) 등이 있다. 이외에도 상기 연마 선택비 조절제로서 폴리아크릴레이트(Polyacrylate), 폴리메틸메타클레이트(Polymethylmethacrylate), 및 폴리벤질메타클레이트(Polybenzylmethacrlyate)를 포함하는 아크릴계 고분자와, 폴리비닐폴리피로리돈(Polyvinyl pyrrolidone), 폴리아크릴아미드(Polyacrylamide: PAM), 및 이들의 염 치환물, 그리고 코폴리머(Copolymer) 중 적어도 어느 하나를 포함하는 물질을 사용할 수 있다. 특히, 상기 연마 선택비 조절제로서 폴리아크릴아미드(PAM)를 사용하는 것이 바람직하다. 또한, 상기 연마 선택비 조절제는 연마용 슬러리 100 중량%를 기준으로 0.0001 내지 3 중량%로 포함되는 것이 바람직하다. 연마 선택비 조절제는 절연층의 연마를 억제하기 위한 것으로, 연마 선택비 조절제가 0.0001 중량% 미만으로 포함되면 절연층의 연마 억제 효과가 미미하여 연마 선택의 효과가 미미하고, 3 중량%를 초과하여 포함되면 연마 선택의 상승 효과가 미미하여, 불필요한 비용이 발생한다.
또한, 본 발명의 일 실시예의 상변화 물질 연마용 슬러리는 연마제, 알칼리성 연마 향상제, 산화제 및 선택비 조절제 이외에 표면 거칠기 조절제를 더 포함할 수 있다. 표면 거칠기 조절제를 통해 연마면의 표면 거칠기를 낮추어 연마 표면의 스크래치 발생을 방지할 수 있다. 이와 같은 표면 거칠기 조절제로는 셀룰로우즈 계열의 물질을 포함하는 것이 바람직한데, 보다 구체적으로는 하이드록실에틸 셀룰로우즈(hydroxylethylcellulose: HEC), 카르복실메틸 셀룰로우즈(carboxylmethyl cellulose), 에틸 셀룰로우즈(ethyl cellulose), 메틸 셀룰로우즈(methyl cellulose), 하이드록시프로필 셀룰로우즈(hydroxypropyl cellulose), 아미노에틸 셀룰로우즈(aminoethyl cellulose), 옥시에틸 셀룰로우즈(oxyethyl cellulose), 하이드록시부틸 메틸 셀룰로우즈(hydroxylbutyl methyl cellulose)를 포함하는 셀룰로우즈 계열 물질과, 상기 셀룰로우즈 계열 물질 간의 염(salt) 화합물 중 적어도 어느 하나를 사용할 수 있다. 본 발명의 일 실시예에서는 하이드록실에틸 셀룰로우즈(HEC)를 표면 거칠기 조절제로 사용한다. 상기 표면 거칠기 조절제는 연마용 슬러리 100 중량%를 기준으로 0.00001 내지 2 중량%로 포함되는 것이 바람직하다. 표면 거칠기 조절제가 0.00001 중량% 미만으로 포함되면 표면 거칠기 조절 효과가 미미하고, 2 중량%를 초과하여 포함되면 표면 거칠기 조절의 상승 효과가 미미하여, 불필요한 비용이 발생한다.
또한, 본 발명의 일 실시예의 상변화 물질 연마용 슬러리는 연마제, 알칼리성 연마 향상제, 산화제, 선택비 조절제 및 표면 거칠기 조절제 이외에 부식 방지제(Corrosion inhibitor)를 더 포함할 수 있다. 상기 연마용 슬러리에 산화제를 첨가함으로써 상변화 물질에 대한 부식 작용이 커지기 때문에 부식 방지제를 첨가하여 이를 방지하기 위함이다. 상기 부식 방지제는 벤조트리아졸(Benzotriazole), 아미노트리아졸(Aminotriazole), 트리아졸(Triazole)를 포함하는 아졸계 중에서 적어도 하나를 포함할 수 있다. 이때, 상기 부식 방지제는 연마용 슬러리 100 중량%를 기준으로 0.001 내지 0.5 중량%로 포함되는 것이 바람직하다. 부식 방지제가 0.001 중량% 미만으로 포함되면 부식 방지의 효과가 미미하고, 0.5 중량%를 초과하여 포함되면 표면 부식 방지의 상승 효과가 미미하여, 불필요한 비용이 발생한다.
하기에는 다양한 성분 물질과 조성 비율을 갖는 상변화 물질 연마용 슬러리를 제조하고 이를 이용하여 상변화 물질을 연마하는 구체적인 실험예를 설명한다. 실험예를 설명하기에 앞서, 연마 향상제 첨가량에 따른 비정질 상과 결정질 상의 상변화 물질의 연마율을 도시한 도 6을 참조하면, 비정질 상의 상변화 물질은 연마용 슬러리 100 중량%를 기준으로 0.12 중량%로 알칼리성 연마 향상제(예를 들어, TMAH)가 첨가되기까지는 연마율이 향상되다가, 그 이후 감소하는 경향을 보임을 확인할 수 있으나, 결정질 상의 상변화 물질은 TMAH의 첨가량과 연마율이 상관 관계를 보이지 않음을 확인할 수 있다. 즉, 연마 향상제를 투입하여도 결정질 상의 상변화 물질을 연마하기 어렵다. 이에, 본 발명의 발명자들은 각종 산화제를 소정의 비율로 첨가하여 첨가된 산화제와, 결정질 상의 상변화 물질과의 연마율, 연마 선택비 등에 관한 상관 관계를 도출하였다.
[실험예]
전술한 구성 물질이 함유된 슬러리를 제조한다. 먼저, 연마제(예를 들면, 콜로이달 실리카)의 전처리를 수행한다. 이어서, 혼합기에서 초순수와 연마제를 혼합한다. 그리고, 알칼리성 연마 향상제, 산화제, 선택비 조절제, 표면 거칠기 조절제, 부식 방지제 등을 전술한 비율로 투입하여 혼합기에서 분산 안정화시킨다. 그리고, 알칼리성 연마 향상제를 사용하여 pH를 조정하고 슬러리를 안정화시킨다. 이어서, 필터를 통해 거대 입자를 제거하여 슬러리의 제조를 완료한다. 구체적인 상변화 물질 연마용 슬러리의 성분 물질과 조성 비율은 하기 [표 1]과 같다.
[표 1]
Figure PCTKR2011000749-appb-I000001
연마 입자로는 1차 입경이 35 nm이고, 2차 입경이 70 nm인 콜로이드 실리카를 연마용 슬러리 100 중량%를 기준으로 1.3 중량%(이하, 중량%는 연마용 슬러리 100 중량%를 기준으로 한 것임)를 사용하였고, 이는 초순수에 고르게 분산되었다. 연마 향상제로는 TMAH 0.12 중량%를 사용하였고, 상변화 물질막과 실리콘 산화막 사이의 연마 선택비를 향상시키기 위하여 0.06 중량%의 폴리아크릴아미드를 사용하였다. 비교예 1은 산화제를 첨가하지 않았으며, 실험예 1 내지 3은 칼륨 퍼클로레이트(KClO4))를 산화제로 사용하였다. 실험예 4 내지 6은 과산화수소(H2O2)를 산화제로 사용하였으며, 실험예 7 내지 9는 칼륨 페망가네이트(KMnO4))를 산화제로 사용하였다. 구체적인 산화제 농도는 상기 [표 1]에 기재되어 있다. 연마용 슬러리의 pH는 10.5 내지 11.0이였다.
실리콘 웨이퍼 위 전면에 질소 도핑된 다결정질 Ge2Sb2Te5 상변화 물질이 형성된 8인치 웨이퍼에 대하여 연마를 수행하였다. Ge2Sb2Te5 상변화 물질을 200oC 온도 분위기에서 DC 마그네틱 스퍼터링(Magnetic sputtering)으로 2000 Å두께로 증착하여 상변화 물질막을 형성하였다. 그리고, 별개의 상기 웨이퍼 위에 TEOS(Tetra Ethyl Ortho Silicate)을 원료로 이용하여 플라즈마 CVD 공정으로 7000 두께의 실리콘 산화막을 형성하였다.
연마 장비로는 Strasbaugh사(www.strasbaugh.com 참조)의 6EC 장비를 사용하였고, 연마 패드로는 Rohm & Haas사의 IC 1000/Suba IV CMP 패드를 사용하였다. 연마 조건으로는 하강 압력 3.0 psi, 정반(Table)과 스핀들(Spindle)의 속도는 모두 70 rpm, 슬러리의 유속은 100 mL/min으로 상변화 물질막이 형성된 웨이퍼와 실리콘 산화막이 형성된 웨이퍼를 각각 30 sec와 60 sec 동안 연마하였다.
[표 2]
Figure PCTKR2011000749-appb-I000002
상기 [표 2]는 상기 [표 1]의 슬러리 각각에 대해 연마율, 에칭 선택비, 에칭 속도를 측정한 결과이다. 또한, 도 7은 상기 [표 1]의 슬러리 각각의 Ge2Sb2Te5 상변화 물질에 대한 연마율을 도시한 그래프이며, 도 8은 상기 [표 1]의 슬러리 각각의 Ge2Sb2Te5 상변화 물질에 대한 에칭 속도를 도시한 그래프이다.
상기 [표 2]에 나타난 바와 같이, 과염소산염(Perchlorates)의 일종인 과염소산칼륨을 첨가한 실험예 1 내지 3의 경우, 그 함유 비율에 따라 비교예 1과 비교하여 실험예 2를 제외하고는 에칭 속도가 소량 증가하고는 있지만, 결정질 Ge2Sb2Te5 물질막을 연마하는 연마율은 오히려 저하되는 것을 확인할 수 있다. 이로부터 과염소산염은 결정질 상 상변화 물질 연마용 슬러리에 첨가되는 산화제로는 부적당함을 확인할 수 있다.
과산화수소를 첨가한 실험예 4 내지 6의 경우, 과산화수소의 함유 비율이 증가함에 따라 연마율과 연마 선택비가 증가함을 확인할 수 있다. 특히, [표 2] 및 도 8을 참조하면, 결정질 상의 Ge2Sb2Te5 상변화 물질에 대한 에칭 속도는 다른 산화제를 첨가한 경우보다 훨씬 빠름을 확인할 수 있다.
칼륨 페망가네이트(KMnO4)를 첨가한 실험예 7 내지 9의 경우, 칼륨 페망가네이트의 함유 비율이 증가함에 따라 연마율과 연마 선택비가 증가함을 확인할 수 있다. 상기 [표 2] 및 도 7을 참조하면, 과산화수소를 첨가한 실험예 4 내지 6의 경우보다도 연마율과 연마 선택비가 현저하게 증가되었음을 확인할 수 있다. 특히, 첨가량이 0.3 중량% 일 때(실시예 9) 결정질 Ge2Sb2Te5 물질막의 연마율은 산화제가 없을 때(비교예 1)에 비해 연마율이 약 39배 가량 증가하였고, 과산화수소 0.3 중량%를 함유했을 때(실험예 6)에 비해 약 8배 가량 증가하였음을 확인할 수 있다.
순수한 화학적 에칭 속도를 고려하면, 칼륨 페망가네이트를 함유한 슬러리는 연마율과 연마 선택비는 높으나 상변화 물질의 에칭 속도는 과산화수소에 비해 훨씬 낮음을 확인할 수 있는데, 칼륨 페망가네이트를 함유한 슬러리의 이러한 특성으로 인해, 과산화수소를 첨가한 슬러리에 비해 화학기계적 연마시 디싱(Dishing), 균일성(Uniformity) 등의 측면에서 보다 유리하다.
반면에, 상기 [표 2] 및 도 9를 참조하면, 산화제의 첨가는 실리콘 산화막의 연마율에는 큰 영향을 주지 않음을 확인할 수 있다. 따라서, 상변화 물질막과 실리콘 산화막 사이의 연마 선택비도 칼륨 페망가네이트의 중량%의 증가에 따라 증가하는 것 임을 확인할 수 있다.
도 6 내지 도 9, 그리고 [표 2]를 참조하면, 결정질 상 상변화 물질 연마용 슬러리에 첨가되는 산화제로서는 표준 전극 전위(자세히는, 표준 환원 전극 전위)가 과염소산염보다 큰 것이 바람직하며, 망가네이트이온(MnO4 -)과 양이온의 결합으로부터 생성된 물질을 포함하는 것이 더욱 바람직한 것임을 알 수 있다. 또한, 이러한 산화제는 연마용 슬러리 100 중량%를 기준으로 0.005 내지 0.3 중량%로 포함되는 것이 바람직함을 알 수 있다.
[표 3]
Figure PCTKR2011000749-appb-I000003
상기 [표 3]은 백색광 주사간섭계(NanoView)와 원자 현미경(Atomic Force Microscope, AFM)으로 관찰한 연마 전, 연마 후의 상변화 물질 표면 거칠기 및 스크래치(Scratch)를 나타낸다. [표 3]에서 #은 스크래치 개수를 의미한다. NanoView는 넓은 측정 범위(mm2 단위)에서 표면을 관찰하기 때문에 스크래치 레벨도 동시에 관찰할 수 있지만, AFM은 μm2의 단위의 측정 범위를 가지기 때문에 표면 화학적 에칭으로 일으킨 표면 거칠기를 정밀하게 관찰할 수 있다. 표면 거칠기 값은 모두 제곱 평균 제곱근 Rq(Root-Mean Square)값으로 나타낸다. 여기서, [표 1] 및 [표 2]에서와는 달리 비교예 1의 경우, 연마가 되지 않아 이에 대한 값을 기재할 수 없었다.
상기 [표 3]에서 나타나는 바와 같이, 과염소산염을 산화제로 첨가한 실험예 1 내지 3의 경우, 연마가 잘 되지 않아서 표면 거칠기 값과 스크래치 개수가 다른 실험예에 비해 작음을 확인할 수 있다.
과산화수소를 첨가한 실험예 4 내지 6의 경우, 과산화수소의 함유 비율이 증가함에 따라 에칭 속도가 급속히 증가하여 표면 거칠기 값과 스크래치 개수가 급격히 증가함을 확인할 수 있다.
칼륨 페망가네이트(KMnO4))를 첨가한 실험예 7 내지 9의 경우, 칼륨 페망가네이트의 함유 비율 증가에 따른 화학적 에칭 속도의 증가는 완만하여 표면 거칠기 값 및 스크래치 개수가 점차 감소하고 있음을 확인할 수 있다.(도 8 참조) 다만, [표 3]에서 실험예 8의 경우 NanoView 측정값은 증가하고 있는 것으로 나타났으나, NanoView 보다 표면 거칠기를 보다 더 정밀하게 관찰할 수 있는 AFM에서는 표면 거칠기 값이 감소하고 있음을 확인할 수 있다.
상기 실험예들로부터 칼륨 페망가네이트(실험예 7 내지 9)를 산화제로 적정한 비율로 첨가하여 상변화 물질 연마용 슬러리를 제조하는 경우, 결정질 Ge2Sb2Te5에 대해 높은 연마율과, 낮은 에칭 속도를 보임을 확인할 수 있다. 또한, Ge2Sb2Te5와 SiO2의 높은 연마 선택비를 보임을 확인할 수 있고, 표면 거칠기가 작고 스크래치가 없는 고품질의 연마 표면을 얻을 수 있음을 확인할 수 있다.
이상과 같이 본 발명에 따른 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법을 예시한 도면을 참조로 하여 설명하였으나, 본 명세서에 개시된 실시예와 도면에 의해 본 발명이 한정되는 것은 아니며, 본 발명의 기술사상 범위내에서 당업자에 의해 다양한 변형이 이루어질 수 있음은 물론이다. 또한, 본 명세서에서 예시된 상변화 기억 소자 외에 다양한 소자의 상변화 물질 연마에 사용 가능하다.

Claims (21)

  1. 상변화 물질 연마용 슬러리로서,
    연마제와, 알칼리성 연마 향상제와, 표준 환원 전위가 과염소산염(perchlorates) 보다 큰 산화제와, 초순수를 포함하는 상변화 물질 연마용 슬러리.
  2. 청구항 1에 있어서,
    상기 상변화 물질은 결정질 칼코겐(Chalcogen) 2원 합금 또는 칼코겐 다원 합금인 상변화 물질 연마용 슬러리.
  3. 청구항 1에 있어서,
    상기 연마제는 콜로이드 실리카(Colloidal silica), 세리아(Ceria), 퓸 실리카(Fumed silica), 및 알루미나(Al2O3)로 이루어진 그룹 중에서 적어도 어느 하나를 포함하는 상변화 물질 연마용 슬러리.
  4. 청구항 1 또는 청구항 3에 있어서,
    상기 연마제는 연마용 슬러리 100 중량%를 기준으로 0.1 내지 10 중량%를 포함하는 상변화 물질 연마용 슬러리.
  5. 청구항 1 또는 청구항 2에 있어서,
    상기 상변화 물질은 절연층 상에 위치하고, 상기 연마제는 상기 절연층의 경도보다 낮은 상변화 물질 연마용 슬러리.
  6. 청구항 1에 있어서,
    상기 알칼리성 연마향상제는 TMAH(Tetramethylammonium hydroxide), KOH, NaOH 및 NH4OH 중 적어도 어느 하나를 포함하는 상변화 물질 연마용 슬러리.
  7. 청구항 1에 있어서,
    상기 상변화 물질 연마용 슬러리는 pH가 10 내지 12인 상변화 물질 연마용 슬러리.
  8. 청구항 1에 있어서,
    상기 산화제는 망가네이트이온(MnO4 -)과 양이온의 결합으로부터 생성된 물질을 포함하는 상변화 물질 연마용 슬러리.
  9. 청구항 8에 있어서,
    상기 산화제는 연마용 슬러리 100 중량%를 기준으로 0.005 내지 0.3 중량%로 포함되는 상변화 물질 연마용 슬러리.
  10. 청구항 1에 있어서,
    연마용 슬러리 100 중량%를 기준으로 0.0001 내지 3 중량%로 포함되는 연마 선택비 조절제를 더 포함하는 상변화 물질 연마용 슬러리.
  11. 청구항 10에 있어서,
    상기 연마 선택비 조절제는 아민기를 함유하고 있는 폴리머를 포함하는 상변화 물질 연마용 슬러리.
  12. 청구항 10에 있어서,
    상기 연마 선택비 조절제는 1차 아민, 2차 아민, 및 3차 아민 중 적어도 어느 하나를 함유하는 상변화 물질 연마용 슬러리.
  13. 청구항 10에 있어서,
    상기 연마 선택비 조절제는 폴리아크릴레이트(Polyacrylate), 폴리메틸메타클레이트(Polymethylmethacrylate), 폴리벤질메타클레이트(Polybenzylmethacrlyate)를 포함하는 아크릴계 고분자와, 폴리비닐폴리피로리돈(Polyvinyl pyrrolidone), 폴리아크릴아미드(Polyacrylamide), 및 이들의 염 치환물, 그리고 코폴리머(Copolymer)중 적어도 어느 하나를 포함하는 상변화 물질 연마용 슬러리.
  14. 청구항 1에 있어서,
    연마용 슬러리 100 중량%를 기준으로 0.00001 내지 2 중량%로 포함되는 표면 거칠기 조절제를 더 포함하는 상변화 물질 연마용 슬러리.
  15. 청구항 14에 있어서,
    상기 표면 거칠기 조절제는, 하이드록실에틸 셀룰로우즈(Hydroxylethylcellulose), 카르복실메틸 셀룰로우즈(Carboxylmethyl cellulose), 에틸 셀룰로우즈(Ethyl cellulose), 메틸 셀룰로우즈(Methyl cellulose), 하이드록시프로필 셀룰로우즈(Hydroxypropyl cellulose), 아미노에틸 셀룰로우즈(Aminoethyl cellulose), 옥시에틸 셀룰로우즈(Oxyethyl cellulose), 하이드록시부틸 메틸 셀룰로우즈(Hydroxylbutyl methyl cellulose)를 포함하는 셀룰로우즈 계열 물질 및, 이들의 염(Salt) 화합물 중 적어도 어느 하나인 상변화 물질 연마용 슬러리.
  16. 청구항 1에 있어서,
    연마용 슬러리 100 중량%를 기준으로 0.001 내지 0.5 중량%로 포함되는 부식 방지제를 더 포함하는 상변화 물질 연마용 슬러리.
  17. 청구항 16에 있어서,
    상기 부식 방지제는 벤조트리아졸(Benzotriazole), 아미노트리아졸(Aminotriazole), 트리아졸(Triazole)를 포함하는 아졸계 중에서 적어도 하나를 포함하는 상변화 물질 연마용 슬러리.
  18. 기판을 준비하는 단계;
    상기 기판 상에 결정질 상의 상변화 물질막을 형성하는 단계;
    연마제와, 알칼리성 연마 향상제와, 표준 환원 전위가 과염소산염(perchlorates) 보다 큰 산화제와, 초순수를 포함하는 상변화 물질 연마용 슬러리를 이용하여 화학기계적 연마 공정으로 상기 상변화 물질막의 일부를 제거하는 단계를 포함하는 상변화 소자 제조 방법.
  19. 청구항 18에 있어서,
    상기 결정질 상의 상변화 물질막을 형성하는 단계는, 상기 기판 상에 상변화 물질 증착시 결정질 상으로 증착하거나, 또는 상변화 물질 증착시 비정질 상태로 증착한 다음 후속 열처리 공정을 수행하여 결정질 상으로 형성하는 상변화 소자 제조 방법.
  20. 청구항 18 또는 청구항 19에 있어서,
    상기 기판을 준비하는 단계 이후, 상기 기판 상측에 금속 패턴을 포함하는 하부 구조물층을 형성하고, 상기 하부 구조물층 상에 절연막을 형성하는 단계를 더 포함하는 상변화 소자 제조 방법.
  21. 청구항 20에 있어서,
    상기 절연막의 일부를 제거하여 상기 금속 패턴의 일부를 노출시키는 홀을 형성하는 단계를 더 포함하는 상변화 소자 제조 방법.
PCT/KR2011/000749 2010-02-05 2011-02-01 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법 WO2011096745A2 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/577,257 US20130032572A1 (en) 2010-02-05 2011-02-01 Slurry for polishing phase-change materials and method for producing a phase-change device using same
US15/392,441 US10103331B2 (en) 2010-02-05 2016-12-28 Slurry for polishing phase-change materials and method for producing a phase-change device using same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2010-0011142 2010-02-05
KR1020100011142A KR101396232B1 (ko) 2010-02-05 2010-02-05 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US13/577,257 A-371-Of-International US20130032572A1 (en) 2010-02-05 2011-02-01 Slurry for polishing phase-change materials and method for producing a phase-change device using same
US14/818,261 Continuation US20150337173A1 (en) 2010-02-05 2015-08-04 Slurry for polishing phase-change materials and method for producing a phase-change device using same

Publications (2)

Publication Number Publication Date
WO2011096745A2 true WO2011096745A2 (ko) 2011-08-11
WO2011096745A3 WO2011096745A3 (ko) 2012-01-05

Family

ID=44355987

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2011/000749 WO2011096745A2 (ko) 2010-02-05 2011-02-01 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법

Country Status (3)

Country Link
US (1) US20130032572A1 (ko)
KR (1) KR101396232B1 (ko)
WO (1) WO2011096745A2 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100053817A1 (en) * 2008-09-04 2010-03-04 Robert Glenn Biskeborn Coated magnetic head and methods for fabrication thereof
JP6222907B2 (ja) * 2012-09-06 2017-11-01 株式会社フジミインコーポレーテッド 研磨用組成物
US8729522B2 (en) * 2012-10-23 2014-05-20 Micron Technology, Inc. Memory constructions comprising thin films of phase change material
US20150021513A1 (en) * 2013-07-17 2015-01-22 Yun-jeong Kim Cmp slurry composition for polishing an organic layer and method of forming a semiconductor device using the same
US20160053381A1 (en) * 2014-08-22 2016-02-25 Cabot Microelectronics Corporation Germanium chemical mechanical polishing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060228853A1 (en) * 2005-03-28 2006-10-12 Won-Cheol Jeong Memory devices including spacers on sidewalls of memory storage elements and related methods
KR20090002501A (ko) * 2007-06-29 2009-01-09 제일모직주식회사 상변화 메모리 소자 연마용 cmp 슬러리 조성물 및 이를이용한 연마 방법
US20090220744A1 (en) * 2008-02-28 2009-09-03 Martinez Jr Arturo M Method of making a vertical phase change memory (pcm) and a pcm device
KR20090114982A (ko) * 2008-04-30 2009-11-04 한양대학교 산학협력단 상변환 물질 연마용 슬러리 및 이를 이용한 상변환 물질의패터닝 방법

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3507628B2 (ja) * 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6309560B1 (en) * 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
DE10024874A1 (de) * 2000-05-16 2001-11-29 Siemens Ag Polierflüssigkeit und Verfahren zur Strukturierung von Metallen und Metalloxiden
US6541384B1 (en) * 2000-09-08 2003-04-01 Applied Materials, Inc. Method of initiating cooper CMP process
US7279119B2 (en) * 2001-06-14 2007-10-09 Ppg Industries Ohio, Inc. Silica and silica-based slurry
US6884723B2 (en) * 2001-12-21 2005-04-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using complexing agents
US20030139047A1 (en) * 2002-01-24 2003-07-24 Thomas Terence M. Metal polishing slurry having a static etch inhibitor and method of formulation
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US20040077295A1 (en) * 2002-08-05 2004-04-22 Hellring Stuart D. Process for reducing dishing and erosion during chemical mechanical planarization
JP4339034B2 (ja) * 2003-07-01 2009-10-07 花王株式会社 研磨液組成物
US7153335B2 (en) * 2003-10-10 2006-12-26 Dupont Air Products Nanomaterials Llc Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
US7161247B2 (en) * 2004-07-28 2007-01-09 Cabot Microelectronics Corporation Polishing composition for noble metals
GB2433515B (en) * 2005-12-22 2011-05-04 Kao Corp Polishing composition for hard disk substrate
TW200734436A (en) * 2006-01-30 2007-09-16 Fujifilm Corp Metal-polishing liquid and chemical mechanical polishing method using the same
JP4301251B2 (ja) * 2006-02-15 2009-07-22 住友電気工業株式会社 GaN結晶基板
DE102006008689B4 (de) * 2006-02-24 2012-01-26 Lanxess Deutschland Gmbh Poliermittel und dessen Verwendung
US20080135520A1 (en) * 2006-12-12 2008-06-12 Tao Sun Chemical composition for chemical mechanical planarization
GB2454343B (en) * 2007-10-29 2012-07-11 Kao Corp Polishing composition for hard disk substrate
JP2009158810A (ja) * 2007-12-27 2009-07-16 Toshiba Corp 化学的機械的研磨用スラリーおよび半導体装置の製造方法
US20100081279A1 (en) * 2008-09-30 2010-04-01 Dupont Air Products Nanomaterials Llc Method for Forming Through-base Wafer Vias in Fabrication of Stacked Devices
CN102448669B (zh) * 2009-05-27 2014-12-10 罗杰斯公司 抛光垫、其聚氨酯层及抛光硅晶片的方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060228853A1 (en) * 2005-03-28 2006-10-12 Won-Cheol Jeong Memory devices including spacers on sidewalls of memory storage elements and related methods
KR20090002501A (ko) * 2007-06-29 2009-01-09 제일모직주식회사 상변화 메모리 소자 연마용 cmp 슬러리 조성물 및 이를이용한 연마 방법
US20090220744A1 (en) * 2008-02-28 2009-09-03 Martinez Jr Arturo M Method of making a vertical phase change memory (pcm) and a pcm device
KR20090114982A (ko) * 2008-04-30 2009-11-04 한양대학교 산학협력단 상변환 물질 연마용 슬러리 및 이를 이용한 상변환 물질의패터닝 방법

Also Published As

Publication number Publication date
KR20110091351A (ko) 2011-08-11
US20130032572A1 (en) 2013-02-07
WO2011096745A3 (ko) 2012-01-05
KR101396232B1 (ko) 2014-05-19

Similar Documents

Publication Publication Date Title
US20090001339A1 (en) Chemical Mechanical Polishing Slurry Composition for Polishing Phase-Change Memory Device and Method for Polishing Phase-Change Memory Device Using the Same
US8735293B2 (en) Chemical mechanical polishing composition and methods relating thereto
US20090275188A1 (en) Slurry for polishing phase change material and method for patterning polishing phase change material using the same
WO2011096745A2 (ko) 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법
US8896045B2 (en) Integrated circuit including sidewall spacer
US20090294749A1 (en) Chemical Mechanical Polishing Slurry Composition for Polishing Phase-Change Memory Device and Method for Polishing Phase-Change Memory Device Using the Same
US20060197055A1 (en) Slurry for CMP, polishing method and method of manufacturing semiconductor device
US9493677B2 (en) Polishing composition, method for fabricating thereof and method of chemical mechanical polishing using the same
CN102756325A (zh) 用来抛光相变合金的化学机械抛光组合物和方法
CN101333420B (zh) 用于化学机械抛光的浆料组合物及抛光方法
US20120003834A1 (en) Method Of Polishing Chalcogenide Alloy
CN102756326B (zh) 用来对锗-锑-碲合金进行抛光的化学机械抛光组合物和方法
KR20130049538A (ko) 연마용 슬러리 조성물 및 이를 이용한 상변화 메모리 장치의 제조 방법
KR20090002503A (ko) 상변화 메모리 소자 연마용 cmp 슬러리 조성물 및 이를이용한 연마 방법
US10103331B2 (en) Slurry for polishing phase-change materials and method for producing a phase-change device using same
US20120001118A1 (en) Polishing slurry for chalcogenide alloy
US20150337173A1 (en) Slurry for polishing phase-change materials and method for producing a phase-change device using same
KR20100028072A (ko) 상변화 메모리 소자 연마용 cmp 슬러리 조성물을 이용한 연마 방법
KR100949255B1 (ko) 상변화 메모리 소자 연마용 cmp 슬러리 조성물
KR20120122934A (ko) 화학 기계적 연마 조성물 및 게르마늄-안티모니-텔루륨 합금의 연마 방법
KR20230063212A (ko) 화학적 기계적 연마용 슬러리 조성물 및 이를 사용한 반도체 소자 제조 방법
KR101068814B1 (ko) 상변화 메모리 장치의 제조 방법
KR20120123644A (ko) 화학 기계적 연마 조성물 및 상 변화 합금의 연마 방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11740041

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 13577257

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 11740041

Country of ref document: EP

Kind code of ref document: A2