WO2009085072A1 - Composition comprenant des agents chélateurs contenant des composés d'amidoxime - Google Patents

Composition comprenant des agents chélateurs contenant des composés d'amidoxime Download PDF

Info

Publication number
WO2009085072A1
WO2009085072A1 PCT/US2008/012237 US2008012237W WO2009085072A1 WO 2009085072 A1 WO2009085072 A1 WO 2009085072A1 US 2008012237 W US2008012237 W US 2008012237W WO 2009085072 A1 WO2009085072 A1 WO 2009085072A1
Authority
WO
WIPO (PCT)
Prior art keywords
acid
weight
cleaning
percent
amidoxime
Prior art date
Application number
PCT/US2008/012237
Other languages
English (en)
Inventor
Wai Mun Lee
Original Assignee
Ekc Technology, Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ekc Technology, Inc filed Critical Ekc Technology, Inc
Publication of WO2009085072A1 publication Critical patent/WO2009085072A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0042Reducing agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/046Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/32Amides; Substituted amides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Definitions

  • Front End Of Line processes perform an operation on a semiconductor wafer in the course of device manufacturing up to first metallization.
  • BEOL Back End Of Line processes
  • a large number of complexing agents for metal ions are used in a wide variety of applications, such as: semiconductor cleaning, detergents and cleaners, electroplating, water treatment and polymerizations, the photographic industry, the textile industry, the papermaking industry, pharmaceuticals, cosmetics, foodstuffs and plant feeding.
  • the present invention relates to the field of semiconductor processing and more specifically to a cleaning solution and a method of using the cleaning solution for Front End Of Line processes (FEOL) in a semiconductor manufacturing cleaning process.
  • FEOL Front End Of Line processes
  • Metal gate materials are currently being introduced in conjunction with high-k gate dielectrics. Some of the likely candidates, such as ruthenium or molybdenum, are likely to pose major challenges for wet processing, particularly in relation to the decontamination of the wafer backside. An undesired by-product of the chemical vapor or atomic layer deposition used to deposit these materials is the deposition of films on the wafer backside. A wet etch will likely be necessary to remove the deposited films from the backside of the wafer and to prevent front-side contamination issues and effective removal will likely be difficult.
  • Nickel silicide will likely be the primary choice at 65 nm and, likely, 45 nm. Metal suicides are formed by depositing the metal onto the surface of the wafer and annealing to form the silicide on the exposed silicon surfaces on the gate stack and source/drain regions. Where silicon is not exposed, there is a need for selective removal of the unreacted metal. Nickel will likely provide a challenge for selective frontside etch and backside decontamination. There is also interest in Ni(Pt)Si, mostly at 45 nm and below, as a replacement suicide because of its ability to improve the salicidation process. The addition of even 5% platinum may introduce a challenge to remove the unreacted metal after the salicidation process. Platinum metal is difficult to wet etch, and efforts to identify appropriate solutions are ongoing.
  • the effectiveness of different cleaning methods is heavily dependent on the surface being cleaned and the nature of the material being removed from the surface.
  • the wafer fabrication process may be broadly divided up into front end of line (FEOL) and back end of line (BEOL) processes.
  • the FEOL process is focused on the fabrication of the different devices that make up the circuit and the BEOL process is focused on interconnecting the devices.
  • the surfaces being cleaned in FEOL cleaning are typically silicon (Si) or silicon dioxide (SiO 2 ).
  • metal layers are present on the wafers and the allowable cleaning solutions are limited versus FEOL cleaning.
  • Surfaces may also be characterized as hydrophobic or hydrophilic.
  • SiO 2 surfaces are hydrophilic.
  • Hydrophilic surfaces are easily wetted by cleaning solutions. During drying, any particles on the surface tend to stay in solution until the solution is removed from the surface.
  • Si surfaces free of oxide are hydrophobic. Hydrophobic surfaces are more difficult to clean because cleaning solutions do not wet as well and during drying, the solutions tend to "bead" up on the surface, leaving particles on the surface instead of maintaining the particles in solution.
  • the analytical method for describing wetting and for determining whether a surface is hydrophobic or hydrophilic is meaurement of contact angle.
  • Contact angle is a quantitative measurement of the wetting of a solid by a liquid. It is defined geometrically as the angle formed by a liquid at the three phase boundary where a liquid, gas and solid intersect as shown below.
  • Contact angle measurement characterizes the interfacial tension between a solid and a liquid drop.
  • the technique provides a simple method to generate a great amount of information for surface analysis. And because the technique is extremely surface sensitive, it can be used in semiconductor cleaning applications.
  • Contact angle measurement is a simplified method of characterizing the interfacial tension present between a solid, a liquid, and a vapor.
  • a surface wetting agent present in the cleaning solution.
  • Surface wetting agents prevent contamination of the semiconductor work-piece by helping to stop spotting of the surface caused by droplets clinging to the surface.
  • Spotting also called watermarks
  • on the surface can saturate metrology tools that measure light point defects, thus masking defects in the semiconductor work-piece.
  • More than 100 steps in a standard IC manufacturing process flow involve wafer cleaning or surface preparation, which includes post-resist strip/ash residue removal, native oxide removal, and even selective etching.
  • dry processes continue to evolve and offer unique advantages for some applications, most cleaning/surface prep processes are "wet,” involving the use of a mixture of chemicals such as hydrofluoric; hydrochloric, sulfuric or phosphoric acid; or hydrogen peroxide, along with copious amounts of de-ionized water for dilution and rinsing.
  • FEOL cleaning involves only silicon or silicon oxide.
  • metals that will be employed for the metal gate in the FEOL, such as tantalum, tungsten, titanium, molybdenum or hafnium etc. Integrating these new materials requires new clean solutions for advanced gate stacks with high-k and metal gates. Post-etch cleaning strategies for high-k and metal gate materials are required to implement the cleaning process into the design of transistor flow to prevent corrosion of metal gate/new materials and eliminate cross contaminations.
  • Ion implantation through resist-coated wafers is employed to control the doping levels in integrated circuit fabrication.
  • the number of photoresist cleaning or stripping steps employed in the front end of the line (FEOL) semiconductor manufacturing process has grown greatly in the last few years.
  • the increasing number of ion implantation steps needed in the device manufacturing process has driven this increase.
  • Current high- current or high-energy implant operations are the most demanding in that they require a high degree of wafer cleanliness to be obtained while minimizing or eliminating photoresist popping, surface residues, and metal contamination, while requiring substantially no substrate/junction loss, or oxide loss.
  • Wafers are typically processed in a batch immersion or batch spray system or, increasingly, with a single-wafer approach.
  • the trend is toward more dilute chemistries, aided by the use of some form of mechanical energy, such as megasonics or jet-spray processing.
  • Batch wet etching and wet cleaning of silicon wafers is usually accomplished by immersing silicon wafers into a liquid. This is also sometimes accomplished by spraying a liquid onto a batch of wafers. Wafer cleaning and etching is traditionally conducted in a batch mode where several wafers (e.g. 50-100 wafers) are processed simultaneously.
  • Typical cleaning chemistries for the FEOL are mixtures of hydrogen peroxide with ammonium hydroxide, and/or hydrochloric acid, and/or sulfuric acid, and/or hydrofluoric acid with a surfactant. These solutions are commonly referred to as SCl, SC2, HPM, APM and IMEC cleaning solutions.
  • SCl, SC2, HPM, APM and IMEC cleaning solutions are commonly referred to as SCl, SC2, HPM, APM and IMEC cleaning solutions.
  • the cleaning sequence using these kinds of mixtures is also referred as a "RCA clean” (developed at Radio Corporation of America in the 1960's), 'TMEC clean” (a clean and wet cleaning sequence developed at the Inter- University Microelectronics Center in Leuven, Belgium in the 1990's) and "Ohmi Clean” (developed by Professor T. Ohmi).
  • the RCA clean is a FEOL clean.
  • the original RCA clean sequence is as follows:
  • the SCl clean removes organic residues and particles.
  • the SCl clean works by forming and dissolving hydrous oxide films.
  • the SC2 clean removes alkali metals and hydroxides (e.g., Li, Al, Ti, Zn, Cr, Fe, Ag, Pd, Au, S, Cu Ni, Co Pd, Mg, Nb, Te, W, Na, Fe) and leaves Cl residues.
  • alkali metals and hydroxides e.g., Li, Al, Ti, Zn, Cr, Fe, Ag, Pd, Au, S, Cu Ni, Co Pd, Mg, Nb, Te, W, Na, Fe
  • H 2 O 2 is commonly provided with stabilizers such as sodium phosphate, sodium stannate and many that may contain high levels of aluminum.
  • stabilizers such as sodium phosphate, sodium stannate and many that may contain high levels of aluminum.
  • high purity semiconductor grade chemicals with un-stabilized H 2 O 2 must be used.
  • H 2 O 2 also has a limited bath life and decomposes over time. Solution change-outs must be designed to insure proper cleaning activity. Insufficient H 2 O 2 levels in SCl baths can lead to surface pitting and insufficient H 2 O 2 levels in SPM baths lead to carbon build-up in the bath and poor removal of organic contaminants.
  • IMEC Interuniversity Microelectronics Center
  • Dilute clean replaces hydrogen peroxide with ozone in the sulfuric acid bath.
  • Sulfuric acid breaks down organic layers effectively, but over time carbon from organic layers builds up in the sulfuric acid bath.
  • Hydrogen peroxide is added to sulfuric acid to oxidize the carbon into carbon dioxide or carbon monoxide gases which volatilize out of the bath.
  • the dilute clean replaces hydrogen peroxide with ozone gas as the oxidizer in sulfuric acid baths, the use of ozone extends the bath life by 3X over hydrogen peroxide.
  • the dilute clean also replaces SCl -ammonium hydroxide/hydrogen peroxide/water (1:1:5) and the SC2 hydrochloric acid/hydrogen peroxide/water (1:1:6) bath with more dilute versions of the similar chemistries (1:1:50 for SCl and 1: 1:60 to 1:1:100 for SC2).
  • the final dry after the dilute clean uses a Marangoni technique which employs surface tension gradients in a thin aqueous film to induce a film of water to flow off of the wafer surface.
  • the reduced clean combines the HF oxide removal step with the HCl metal removal in a single step.
  • An optional rinse in ultrapure water with added ozone can be used to grow a thin protective chemical oxide on the clean surface.
  • IMEC Ozone The reduced clean IMEC Ozone replaces the sulfuric acid bath with an ozone-ultrapure water bath for organic removal. Utilizing ozone-ultrapure water allows the wafer to proceed directly from organic removal to the HF-HCl bath.
  • Ohmi clean is another simplified clean methodology incorporating ozone and adding hydrogen peroxide to hydrofluoric acid to improve metallic removal.
  • a dilute ammonium hydroxide/hydrogen peroxide/water (0.05: 1 :5) mixture is used for organic, particles and metal removal.
  • a hydrofluoric acid/hydrogen peroxide/water (1:35:65) mixture is used to remove the oxide grown in the dilute ammonium hydroxide/hydrogen peroxide/water (0.05: 1:5) mixture and to remove metals.
  • the use of hydrofluoric acid as the last step - the so called HF last method requires very careful rinsing to minimize particles.
  • a typical cleaning sequence consists of HF-SC1-SC2.
  • HF hydrofluoric acid
  • SCl solution Standard Clean 1
  • APM solution Ammonia Hydrogen Peroxide Mixture
  • the final solution is the Standard Clean 2 solution (SC2) that is a mixture of HCl, H 2 O 2 , and H 2 O.
  • SC2 solution is also called the HPM solution, which stands for Hydrochloric Acid Hydrogen Peroxide Mixture.
  • HPM solution Hydrochloric Acid Hydrogen Peroxide Mixture.
  • the SC2 solution is mainly used for removing metallic contamination.
  • the particular sequence of SCl and SC2 is most often referred to as the RCA (Radio Corporation of America) cleaning sequence.
  • DI deionized
  • Si-OH hydroxide groups
  • the first way is to increase the acidity [H+] of the solution. This produces a solution where most of the metallic ions that are common in semiconductor processing are soluble provided that there is a suitable oxidizing agent in the solution. Suitable oxidizing agents include O 2 , H 2 O 2 , and O 3 . The suitability of these ions is determined by their ability to prevent the reduction of any ions in the solution, such as copper (Cu 2+ ) Increasing the acidity and having a suitable oxidizing agent present is the method used by the most common metallic impurity removing solution, i.e., SC2.
  • the second way of removing metallic ions from the oxide surface is to decrease the free metal ion concentration [M x+ ] in the solution.
  • the free metal ion concentration of the solution may be decreased by adding a chelating agent to the solution.
  • the same level of metal ion impurity removal found through the use of the SC2 solution may be achieved through the use of a chelating agent in the SCl solution (the modified SCl solution) by meeting two requirements.
  • the first requirement is that the complex of the chelating agent and the bound metal ion remains soluble.
  • the second requirement is that the chelating agent binds to all the metal ions removed from the wafer surface.
  • Complexing agents for metal ions are required for a wide variety of industries. Examples of relevant purposes and uses are: detergents and cleaners, industrial cleaners, electroplating, water treatment and polymerizations, the photographic industry, the textile industry and the papermaking industry, and various applications in pharmaceuticals, in cosmetics, in foodstuffs and in plant feeding.
  • Chelating agents have been added to the SCl, SC2, APM, HPM etc., for RCA, IMEC and Ohmi cleaning processes as described in United States Patents 6,927,176; 6,927,176; 5,885,362 and others.
  • the purpose of the chelating agent is to remove metallic ions from the wafer.
  • Chelating agents are also known as complexing or sequestering agents. These agents have negatively charged ions called ligands that bind with free metal ions and form a combined complex that will remain soluble. The ligands bind to the free metal ions as follows:
  • Common metallic ions that may be present on the wafer are transition metals, such as copper, iron, nickel, aluminum, calcium, magnesium, and zinc. Other metallic ions may also be present.
  • chelating agents include polyacrylates, carbonates, phosphonates, and gluconates.
  • Specific chelating agents that would be useful as part of the cleaning solution include, but are not limited to, ethylenediaminetetraacetic acid (EDTA), N,N'-bis(2-riydroxyphenyl)ethylenediiminodiacetic acid (HPED), triethylenetetranitrilohexaacetic acid, desferriferrioxamine B (l-Amino-6,17-dihydroxy- 7,10,18,21-tetraoxo-27-(N-acetyl hydroxylamino)-6,ll,17,22-tetraazaheptaeicosane), N,N',N"- tris[2-(N-hydroxycarbonyl)ethyl]-l,3,5-benzenetricarboxamide (BAMTPH) and ethylenediaminodiorthohydroxyphenylacetic acid
  • EDTA ethylenedi
  • FIGURE 2 Ethylenediaminetetraacetic acid (EDTA)
  • FIGURE 3 A N,N'-bis(2-hydroxyphenyl)ethylenediiminodiacetic acid (HPED) .
  • FIGURE 3B Triethylenetetranitrilohexaacetic acid (TTHA)
  • FIGURE 3C Desferriferrioxamine B
  • FIGURE 3D N,N',N"-tris[2-(N-hydroxycarbonyl)ethyl]- 1 ,3,5-benzenetricarboxamide (BAMTPH) ;
  • FIGURE 3E Ethylenediaminodiorthohydroxyphenylacetic acid
  • Futhermore, United States Patent 5,885,362 describes the following chelating agents: ethylenediaminediorthohydroxyphenylacetic acid, [ethylenediamine- N,N'-bis(orthohydroxyphenylacetic acid)], 2-hydroxy-l-(2-hydroxy-5-methylphenylazo)- 4-naphthalenesulfonic acid, diammonium 4,4'-bis(3,4-dihydroxyphenylazo)-2,2'- stilbenedisulfonate, Pyrocatechol Violet, o,o'-dihydroxyazobenzene, l'2-dihydroxy-5- nitro-l,2'-azonaphthalene-4-sulfonic acid and N,N'-bis(2-hydroxybenzyl)ethylenediamine- N,N'-diacetic acid as a metal deposition preventive in a liquid medium.
  • NTA nitrilotriacetic acid
  • EDTA ethylenediaminetetraacetic acid
  • ETMP ethylenediaminetetramethylenephosphonic acid
  • PDTA propylenediaminetetraacetic acid
  • HPDTA hydroxypropylenediaminetetraacetic acid
  • ISDA isoserinediacetic acid
  • ⁇ ADA hydroxyethanediphosphonic acid
  • diethylenetriaminetetraacetic acid diethylenetriaminetetramethylenephosphonic acid
  • hydroxyethyleneaminodiacetic acid hydroxyethylethylenediaminetriacetic acid, diethylenetriaminepentaacetic acid and, furthermore, diethanolglycine, ethanolglycine, citric acid, glucoheptonic acid or tartaric acid.
  • the biodegradability of the above mentioned chelating agents are unsatisfactory.
  • EDTA proves to have inadequate biodegradability in conventional tests, as does PDTA or HPDTA, and corresponding aminomethylenephosphonates which, moreover, are often undesirable because of their phosphorus content, phosphorus (P) is one of the dopant for silicon.
  • complexing agents include, but are not limited to, nitrilotriacetic acid (NTA), ethylenediaminetetraacetic acid (EDTA), N,N'-bis(2- hydroxyphenyl) ethylenediiminodiacetic acid (HPED) , triethylenetetranitrilohexaacetic acid (TTHA) , desferriferrioxamin B, ,N,N',N"-tris[2-(N-hydroxycarbonyl)ethyl]-l,3,5- benzenetricarboxamide (BAMTPH) , and ethylenediaminediorthohydroxyphenylacetic acid (EDDHA), ethylenediaminetetramethylenephosphonic acid (EDTMP), propylenediaminetetraacetic acid (PDTA), hydroxypropylenediaminetetraacetic acid (HPDTA), isoserinediacetic acid (ISDA), ⁇ -alaninediacetic acid ( ⁇
  • biodegradibility is increased in semiconductor processing applications due to the extent of the use of chemistries containing complexing agents. In fact, more than one hundred steps are involved in a standard IC manufacturing process which involve wafer cleaning or surface preparation including post-resist strip/ash residue removal, native oxide removal, and even selective etching. Although dry processes continue to evolve and offer unique advantages for some applications, most cleaning/surface prep processes are "wet," sometimes involving the use of other chemicals that may offer environmental challenges, such as hydrofluoric; hydrochloric, sulfuric or phosphoric acid; or hydrogen peroxide.
  • the biodegradability is also unsatisfactory.
  • EDTA proves to have inadequate biodegradability in conventional tests, as does PDTA or HPDTA and corresponding aminomethylenephosphonates which, moreover, are often undesirable because of their phosphorus content.
  • Phosphorus is also a dopant in semiconductor devices. Therefore it is desirable to have cleaning solutions with non- phosphorus containing compounds.
  • the current complexing agents in use such as, glycolic acid, glyoxylic acid, acetic acid, lactic acid, phosphonic acid, are acidic in nature and have a tendency to attack the residue and remove both metals and metal oxides, such as copper and copper oxide. This presents a problem for formulators where a chelating function is sought but only selectively to metal oxide and not the metal itself, e.g in an application involving metal, such as copper. Accordingly, there is a need for complexing agents that are not aggressive toward metal substrates, while effectively providing for the chelation of metal ions residue created during the manufacturing processes.
  • an aqueous composition comprising an amidoxime compound (i.e., a compound containing one or more amidoxime functional groups) in a semiconductor application wherein the amidoxime compound complexes with metal (or a metal oxide) on a surface, in a residue, or both.
  • the composition contains one or more organic solvents.
  • the composition contains one or more surfactants.
  • the composition contains one or more additional compounds that contain functional groups which complex or chelate with metals or metal oxides.
  • the composition contains one or more acids or bases.
  • the composition contains a compound which has oxidation and reduction potentials, such as a hydoxylamine or a hydroxylamine derivative, such as a salt, and hydrogen peroxide.
  • composition may contain from about 0.1% to about 99.9% water and from about 0.01% to about 99.9% of one or more compounds with one or more amidoxime functional groups.
  • the amidoxime compounds may be used with other chelating compounds or in compounds with other functional groups that provide a complexing or chelating function, such as hydroxamic acid, thiohydroxamic acid, N-hydroxyurea, N-hydroxycarbamate and/or N-nitroso-alkyl-hydroxylamine groups.
  • the amidoxime compounds may be used in semiconductor manufacturing processes; including, but not limited to, use as a complexing agent for removal of residues from semiconductor substrates and for use in CMP slurries.
  • amidoxime compounds can be prepared by the reaction of nitriles (i.e., compounds containing a nitrile functional group) with hydroxylamine, as shown. N-OH
  • amidoxime structure may also be represented in its resonance (or tautomeric) form as illustrated below.
  • the amidoxime compounds are prepared by the reaction of hydroxylamine with nitrile compounds.
  • the nitrile compounds may be prepared by any known methods, including, but not limited to, cyanoethylation.
  • Particular classes of compounds which are suitable to undergo cyanoethylation include, but are not limited to, the following: compounds containing one or more -OH or -SH groups, such as water, alcohols (e.g., phenols), oximes, and thiols (e.g., hydrogen sulphide); compounds containing one or more -NH- or -NH 2 groups (e.g., ammonia, primary and secondary amines, hydrazines, and amides); ketones or aldehydes possessing a -CH-, -CH 2 -, or -CH 3 group adjacent to the carbonyl group; and compounds such as malonic esters, malonamide and cyanoacetamide, in which a -CH- or -CH
  • Formulations containing amidoximes may optionally include other complexing agents and the amidoxime compounds themselves could contain other functional groups within the molecule that have a chelating functionality.
  • compositions of the present application include semiconductor processing compositions comprising water and at least one amidoxime compound.
  • the amidoxime compound is prepared from a nitrile compound, either before its contact with the composition (i.e., pre-formed) or alternatively, during contact with the composition (i.e., in-situ formation).
  • the nitrile compound is derived from the cyanoethylation of a compound selected from the group consisting of sugar alcohols, hydroxy acids, sugar acids, monomelic polyols, polyhydric alcohols, glycol ethers, polymeric polyols, polyethylene glycols, polypropylene glycols, amines, amides, imides, amino alcohols, and synthetic polymers containing at least one functional group that is - OH or -NHR, where R is H or alkyl, heteroalkyl, aryl or heteroaryl.
  • Another exemplary embodiment of the present invention is a process for preparing a semiconductor surface comprising: (a) forming an aqueous mixture of a cyanoethylation catalyst and an alcohol or amine; (b) adding an unsaturated nitrile to the aqueous mixture of the catalyst and alcohol or amine, and allowing the unsaturated nitrile to react with the alcohol or amine to form a first aqueous solution; (c) adding a source of hydroxylamine to the first aqueous solution of step (b) to form a second aqueous solution; and (d) applying the second aqueous solution to a semiconductor surface containing copper.
  • the alcohol is sucrose or sorbitol.
  • the amine is a primary or secondary amine having 1 to 30 carbon atoms, or is a polyethyleneamine.
  • the source of hydroxylamine is hydroxylamine as the free base or a hydroxylamine salt, such as, for example, hydroxylamine hydrochloride or hydroxylamine sulfate.
  • the cyanoethylation catalyst is an effective amount (typically catalytic) of a hydroxide base such as, for example, lithium hydroxide, sodium hydroxide, or potassium hydroxide.
  • the unsaturated nitrile is acrylonitrile.
  • Another exemplary embodiment of the present invention is a method of processing a wafer comprising: placing a wafer in a single wafer or batch cleaning tool and exposing the wafer to an aqueous cleaning solution comprising at least one amidoxime compound, wherein the wafer is exposed to the solution for an appropriate time, such as in the approximate range of 30 seconds to 90 seconds.
  • the composition comprises water that is introduced as a constituent of the raw materials or components present in the composition.
  • the amidoxime compound is present in the amount of about 0.001 to about 99 percent by weight.
  • the cleaning solution optionally comprises an organic solvent in the amount of up to about 99 percent by weight; an acid in the amount of about 0.001 to about 15 percent by weight; an activator in the amount of about 0.001 to about 25 percent by weight; optionally an additional chelating or complexing agent in the amount of between 0 to about 15 percent by weight; and a surfactant in an amount of about 10 ppm to about 5 percent by weight.
  • the cleaning solution optionally comprises an organic solvent in the amount of up to about 99 percent by weight; a base in the amount of about 1 to about 45 percent by weight; an activator in the amount of about 0.001 to about 25 percent by weight; optionally an additional chelating or complexing agent in the amount of up to about 15 percent by weight; and a surfactant in an amount of about 10 ppm to about 5 percent by weight.
  • Another exemplary embodiment of the invention is a method of cleaning a wafer comprising: placing a wafer in single wafer cleaning tool; cleaning said wafer with a solution comprising: water, a compound with an amidoxime group; an organic solvent in the amount of up to about 99 percent by weight; a base in the amount of about 1 to about 45 percent by weight; a compound with oxidation and reduction potential in an amount of about 0.001 to about 25 percent by weight; an activator in the amount of about 0.001 to about 25 percent by weight; optionally an additional chelating or complexing agent in the amount of up to about 15 percent by weight; a surfactant in an amount of about 10 ppm to about 5 percent by weight; and a fluoride ion source in an amount of about 0.001 to about 10 percent by weight.
  • the present invention relates to methods of using compositions containing one or more complexing agents or compounds having one or more multidentate chelating groups where at least one agent or group is an amidoxime at the front end of line (FEOL) to prepare surfaces for semiconductor processing.
  • Such compositions exhibit improved performance in semiconductor applications, for example processes involving metals and metal oxides.
  • the compositions preferably contain other chelating agents or compounds having chelating/complexing functional groups.
  • Non-exhaustive examples of such complexing agents include nitrilotriacetic acid (NTA), ethylenediaminetetraacetic acid (EDTA), ethylenediaminetetramethylenephosphonic acid (EDTMP), propylenediaminetetraacetic acid (PDTA), hydroxypropylenediaminetetraacetic acid (HPDTA), isoserinediacetic acid (ISDA), ⁇ -alaninediacetic acid ( ⁇ -ADA), hydroxyethanediphosphonic acid, diethylenetriaminetetraacetic acid, diethylenetriaminetetramethylenephosphonic acid, hydroxyethyleneaminodiacetic acid, hydroxyethylethylenediaminetriacetic acid, diethylenetriaminepentaacetic acid and, furthermore, diethanolglycine, ethanol glycine, citric acid, glycolic acid, glyoxylic acid, acetic acid, lactic acid, phosphonic acid, glucoheptonic acid, catechol, gallic acid, tart
  • Amidoxime compounds can be designed to function as passivation agents on a metal surface by rendering insoluble the metal complex formed from the amidoxime compound or, alternatively, as cleaning agents by increasing the solubility of the metal complex containing residue.
  • Amidoxime copper complexes have been shown to be readily soluble in water under basic conditions but are less soluble under acidic conditions. Accordingly, the passivating/cleaning duality effect of the amidoxime compound can be controlled by altering the pH.
  • United States Patent No. 6,166,254 describes the formation of amidoxime compounds from aqueous hydroxylamine free base and nitriles, such as the reaction of acetonitrile with aqueous hydroxylamine at ambient temperature to yield the amidoxime in high purity.
  • nitriles such as the reaction of acetonitrile with aqueous hydroxylamine at ambient temperature to yield the amidoxime in high purity.
  • Amidoximes have been shown to complex with metals, such as copper, iron, sodium, potassium etc. Amidoximes of cyanoethylated cellulose have also been shown to complex with copper and other metal ions. ⁇ See, Altas H. Basta, International Journal of Polymeric Materials, 42, 1-26 (1998)).
  • the cleaning solution comprises an amidoxime in mixture of metal ion free quaternary ammonium hydroxide, an oxidizer and water.
  • nitrile compounds can be prepared from a typical cyanoethylation reaction.
  • Typical compounds which undergo cyanoethylation include the following:
  • nitrile functional groups can be introduced to organic compounds, such as polyethylene, by using radiation grafting of acrylonitrile to the substrate molecule and subsequently converting the resulting nitrile to an amidoxime by reacting the nitrile with hydroxylamine as exemplified below.
  • the cyanoethylation reaction except with certain amines, usually requires the presence of an alkaline catalyst (0.5 to 5 percent of the weight of acrylonitrile) such as, but not limited to, hydroxides, alkoxides and amides of sodium and potassium and the strongly basic quaternary ammonium hydroxides, particularly, tetramethylammonium hydroxide, benzyltrimethylammonium hydroxide etc., which are very effective because of their solubility in organic solvents. Many of the reactions are vigorously exothermic and require cooling to prevent excessive polymerization of the acrylonitrile.
  • an alkaline catalyst 0.5 to 5 percent of the weight of acrylonitrile
  • inert solvents such as, but not limited to, benzene, dioxan and pyridine
  • the catalyst is dissolved or dispersed in the hydrogen donor, with or without the use of an inert solvent, and acrylonitrile is added gradually while controlling the temperature of the reactions.
  • De-Acidite FF e.g., De-Acidite FF, IRA-400 or Dowex I
  • Dowex I e.g., De-Acidite FF, IRA-400 or Dowex I
  • the surface treatment is carried out with a composition of (ammonia+hydrogen peroxide+water+amidoxime chelating compound), but when the surface treatment composition is employed for an extended time, the ammonia is evaporated and the metal deposition preventive is gradually decomposed, thereby degrading the metal deposition preventive effect. Therefore, when the evaporated ammonia content is supplied, the supplement may be conducted in an exemplary embodiment with aqueous ammonia containing an amidoxime chelating compound in an amount of from 10 "7 to 15 wt %, such as from 10 ⁇ 6 to 10 wt %.
  • the surface treatment composition of the present invention is used for surface treatment operations including cleaning, etching, polishing, film-forming and the like, for substrates such as semiconductor, metal, glass, ceramics, plastic, magnetic material, superconductor and the like, the metal impurity contamination of which becomes troublesome.
  • the present invention is applied to cleaning or etching of a semiconductor substrate, the surface of which is demanded to be highly clean.
  • the present invention is applied particularly to alkali cleaning with a cleaning solution comprising (ammonia+hydrogen peroxide+water)
  • a cleaning solution comprising (ammonia+hydrogen peroxide+water)
  • the problem of said cleaning method i.e., the problem of metal impurity deposition on a substrate
  • this cleaning there can be satisfactorily provided a highly clean substrate surface without being contaminated with particles, organic materials and metals.
  • the surface treatment composition of the present invention achieves a satisfactory effect of preventing deposition of metal impurities for at the reason that a portion of the stable water-soluble metal complex is effectively formed between metal ions and/or is in combination with two or more added complexing agents.
  • the surface treatment composition of the present invention is used as a cleaning solution for cleaning a substrate
  • a method of bringing the cleaning solution directly into contact with the substrate is employed.
  • a cleaning method include dipping type cleaning wherein a substrate is dipped in the cleaning solution in a cleaning tank, spraying type cleaning wherein the cleaning solution is sprayed on a substrate, spinning type cleaning wherein the cleaning solution is dropped on a substrate rotated at a high speed, and the like.
  • a suitable method is employed depending on an object.
  • the dipping type cleaning method is used. The cleaning is carried out for a suitable time, such as from 10 seconds to 30 minutes, such as from 30 seconds to 15 minutes.
  • the cleaning is be carried out at normal temperature, while in another embodiment, the cleaning is carried out at a heated temperature to improve the cleaning effect.
  • the cleaning may be carried out in combination with a cleaning method employing a physical force. Examples of the cleaning method employing a physical force include, but are not limited to, ultrasonic cleaning, mechanical brush cleaning, and the like.
  • An exemplary embodiment of the present invention is compositions, and methods of use thereof, containing at least one of a group of higher pH range chelating compounds comprising at least two functional groups where at least one such group is an amidoxime.
  • the other groups or complexing compounds may be selected as may be beneficial for the application, the chemistry, and/or the conditions. Examples of other complexing groups include, but are not limited to, hydroxamic acid, thiohydroxamic acid, N-hydroxyurea, N-hydroxycarbamate, and N-nitroso-alkyl-hydroxylamine.
  • amidoximes for the removal of metal oxides, such as tungsten, molydeum oxide etc., where metals are being used as metal gate electrodes in the front end of the line fabrication.
  • Solutions of the amidoxime compounds form complexes with the metal oxide residues and render such oxides soluble in aqueous solutions.
  • these complexing agents may be purchased commercially or prepared by known methods. A representative list has been previously presented.
  • hydroxamic acid group is a synergistic functional group.
  • Such groups are well known (H. L. Yale, "The Hydroxamic Acids", Chem. Rev., 209-256 (1943)).
  • Polymers containing hydroxamic acid groups are known and can be prepared by addition of hydroxylamine to anhydride groups of anhydride-containing copolymers, such as styrene-maleic anhydride copolymer or poly(vinylmethylether/maleic anhydride) copolymers, or by reaction of hydroxylamine with ester groups.
  • Hydroxamic acid-containing polymers can also be prepared by acid-catalyzed hydrolysis of polymers that contain amidoxime groups (United States Pat.No. 3,345,344).
  • N-hydroxyureas represent another synergistic type of functional group with amidoximes and may be prepared by reaction of hydroxylamine with an isocyanate (A. O. Ilvespaa et al., Chimia (Switz.) 18, 1-16 (1964)).
  • N-Hydroxycarbamates represent another synergistic type of functional group with amidoximes and may be prepared by reaction of hydroxylamine with either a linear or cyclic carbonate (A. O. Ilvespaa et al., Chimia (Switz.) 18, 1-16 (1964)).
  • N-Nitroso-alkyl-hydroxylamines represent another synergistic type of functional groups with amidoximes and can be prepared by nitrosation of alkyl hydroxylamines (M. Shiino et al, Bioorganic and Medicinal Chemistry 95, 1233-1240 (2001)).
  • An exemplary embodiment of the present invention involves a cleaning solution which comprises a chelating compound with one or more amidoxime functional group.
  • the amidoximes can be prepared by the reaction of nitrile-containing compounds with hydroxylamine.
  • An exemplary route to the formation of amidoxime chelating compounds is to add hydroxylamine to the nitrile compound corresponding to the amidoxime compound.
  • cyanide addition reactions such as, but not limited to, hydrocyanation, polymerization of nitrile-containing monomers to form polyacrylonitrile or copolymers of acrylonitrile with vinyl monomers, and dehydration of amides.
  • Exemplary procedures for the syntheses of nitriles may be found in J. March, Advanced Organic Chemistry, 4th ed., John Wiley and Sons, NY, (1992).
  • suitable for use in preparing the amidoxime compounds of this invention include, but are not limited to, the following: Cyanoacetylene, Cyanoacetaldehyde, Acrylonitrile, Fluoroacetonitrile, Acetonitrile (or Cyanomethane), Trichloroacetonitrile, Methacrylonitrile (or ⁇ -Methylacrylonitrile), Propionitrile (or Cyanoethane), Isobutyronitrile, Trimethylacetonitrile (or tert-Butylcyanide), 2-Ethyacrylonitrile, Dichloroacetonitrile, ⁇ -Chloroisobutyronitrile, n-Butyronitrile (or 1-Cyanopropane), trans- Crotononitrile, Allycyanide, Methoxyacetonitrile, 2-Hydroxyisobutyronitrile (or Acetone cyanohydrins), 3-Hydroxy-4-methoxybenzon
  • the present invention further include the "nitrile quaternaries", cationic nitriles of the formula
  • Rl is — H, — CH 3 , a C 2-24 -alkyl or a C 2-24 -alkenyl radical, a substituted methyl,substituted C 2-24 -alkyl or substituted C 2-24 -alkenyl radical, wherein the substituted radicals contain at least one substituent from the group — Cl, — Br, — OH, — NH 2 , — CN, an alkyl-aryl or alkenyl-aryl radical with a C].
  • the detergent and cleaner according to the invention comprise cationic nitrites in which Rl is methyl, ethyl, propyl, isopropyl or an n-butyl, n-hexyl, n-octyl, n-decyl, n-dodecyl, n- tetradecyl, n-hexadecyl or n-octadecyl radical.
  • R2 and R3 are preferably chosen from methyl, ethyl, propyl, isopropyl and hydroxyethyl, where one or both of the radicals may advantageously also be a cyanomethylene radical.
  • radicals Ri to R 3 are identical, for example (CH 3 ) 3 N (+) CH 2 — CN (X “ ), (CH 3 CH 2 ) 3 N (+) CH 2 — CN X “ , (CH 3 CH 2 CH 2 ) 3 N (+) CH 2 — CN X ⁇ (CH 3 CH(CH 3 )) 3 N (+) CH 2 — CN X " or (HO— CH 2 - CH 2 ) 3 N (+) CH 2 — CN X " , where X " is preferably an anion which is chosen from the group consisting of hydroxide, chloride, bromide, iodide, hydrogensulfate, methosulfate, p-toluenesulfonate (tosylate) or xylenesulfonate.
  • cyanoethylation in which acrylonitrile, which is optionally substituted, undergoes a conjugate addition reaction with protic nucleophiles such as alcohols and amines.
  • protic nucleophiles such as alcohols and amines.
  • Other unsaturated nitriles can also be used in place of acrylonitrile.
  • Exemplary amines for the cyanoethylation reaction are primary amines and secondary amines having 1 to 30 carbon atoms, and polyethylene amine. Alcohols may be primary, secondary, or tertiary.
  • the cyanoethylation reaction (or "cyanoalkylation" reaction) using an unsaturated nitrile other than acrylonitrile may be carried out in the presence of a cyanoethylation catalyst.
  • the cyanoethylation catalysts include lithium hydroxide; sodium hydroxide; potassium hydroxide; and metal ion free bases from tetraalkylammonium hydroxide, such as tetramethyl ammonium hydroxide (TMAH), TMAH pentahydrate, BTMAH (benzyltetramethylammonium hydroxide), tetrabutylammonium hydroxide (TBAH), choline, and TEMAH (Tris(2- hydroxyethyl)methylammonium hydroxide).
  • TMAH tetramethyl ammonium hydroxide
  • BTMAH benzyltetramethylammonium hydroxide
  • TBAH tetrabutylammonium hydroxide
  • TEMAH Tris(2- hydroxyethyl)methylammonium hydroxide
  • the amount of catalyst used is between 0.05 mol % and 15 mol %, based on unsaturated nitrile.
  • the cyanoethylation products are derived from the following groups:
  • hydroxy acids hydroxyphenylacetic acid (mandelic acid), 2- hydroxypropionic acid (lactic acid), glycolic acid, hydroxysuccinic acid (malic acid), 2,3- dihydroxybutanedioic, acid (tartaric acid), 2-hydroxy-l,2,3-propanetricarboxylic, acid (citric acid), ascorbic acid, 2-hydroxybenzoic, acid (salicylic acid), 3,4,5- trihydroxybenzoic acid (gallic acid);
  • sugar acids galactonic acid, mannonic, acid, fructonic acid, arabinonic acid, xylonic acid, ribonic, acid, 2-deoxyribonic acid, and alginic acid;
  • amino acids alanine, valine, leucine, isoleucine, proline, tryptophan, phenylalanine, methionine, glycine, serine, tyrosine, threonine, cysteine, asparagine, glutamine, aspartic acid, glutamic acid, lysine, arginine, and histidine;
  • polymeric polyols chosen from the group of polyethylene glycols and polypropylene glycols, wherein the polyethylene glycols (PEGS) are polymers of ethylene glycol which satisfy the general formula
  • n can assume values between 1 (ethylene glycol, see below) and about 16.
  • polyethylene glycols are commercially available, for example, under the trade names Carbowax®, PEG 200 (Union Carbide), Emkapol® 200 (ICI Americas), Lipoxol® 200 MED (HOLS America), Polyglycol® E-200 (Dow Chemical), Alkapol® PEG 300 (Rhone-Poulenc), Lutrol® E300 (BASF), and the corresponding trade names with higher numbers.
  • PPGs Polypropylene glycols which can be used according to the invention are polymers of propylene glycol which satisfy the general formula
  • n can assume values between 1 (propylene glycol) and about 12.
  • amines structurally, amines resemble the compound ammonia (NH 3 ), wherein one or more hydrogen atoms are replaced by organic substituents such as alkyl, heteralkyl, aryl and heteroaryl groups.
  • organic substituents such as alkyl, heteralkyl, aryl and heteroaryl groups.
  • an amide may be regarded as an amine where one of the nitrogen substituents is an acyl group; it is generally represented by the formula: Ri(CO)NR 2 R 3 , where either or both R 2 and R 3 may be hydrogen and Ri is as described above for the nitrile quaternaries.
  • an amide can also be regarded as a derivative of a carboxylic acid in which the hydroxyl group has been replaced by an amine or ammonia:
  • imide an imide is a functional group consisting of two carbon yl groups bound to an amine.
  • R 3 is H in the generic structure for the imide shown below and R 2 and R 3 are independently alkyl, heteroalkyl, aryl or heteroaryl:
  • amino alcohols or alkanolamines
  • the amino alcohols are organic compounds that contain both an amine functional group and an alcohol functional group
  • the amine can be a primary or secondary amine of the formula, wherein X is independently selected from alkylene, heteroalkylene, arylene, heteroarylene, alkylene-heteroaryl, or alkylene-aryl group.
  • the synthetic polymers include, but are not limited to, acetone-formaldehyde condensate, acetone-isobutyraldehyde condensate, methyl ethyl ketone-formaldehyde condensate, poly(allyl alcohol), poly(crotyl alcohol), poly(3-chloroallyl alcohol), ethylene-carbon monoxide copolymers, polyketone from propylene, ethylene and carbon monoxide, poly(methallyl alcohol, poly(methyl vinyl ketone, and polyvinyl alcohol).
  • the synthetic polymers include, but are not limited to, acetone-formaldehyde condensate, acetone-isobutyraldehyde condensate, methyl ethyl ketone-formaldehyde condensate, poly(allyl alcohol), poly(crotyl alcohol), poly(3-chloroallyl alcohol), ethylene-carbon monoxide copolymers, polyketone from prop
  • Synthetic polymers such as acetone-formaldehyde condensate, acetone- isobutyraldehyde condensate, methyl ethyl ketone-formaldehyde condensate, poly(allyl alcohol), poly(crotyl alcohol), poly(3-chloroallyl alcohol), ethylene-carbon monoxide copolymers, polyketone from propylene, ethylene and carbon monoxide, poly(methallyl alcohol, poly(methyl vinyl ketone, and polyvinyl alcohol) have also been cyanoethylated and can also serve as platforms for further modification into metal-binding polymers.
  • nitrile groups of these cyanoethylates or cyanoalkylates can be reacted with hydroxylamine to form the amidoxime.
  • hydroxylamine, hydroxylamine hydrochloride, and hydroxylamine sulfate are suitable sources of hydroxylamine.
  • hydroxylamine salt is used instead of hydroxylamine freebase, a base such as sodium hydroxide, sodium carbonate or metal ion free base such ammonium hydroxide, tetraalkylammonium hydroxide should be used to release hydroxylamine as free base for the reaction.
  • the metal-ion-free base is ammonium hydroxide or a group of a tetraalkylammonium hydroxide, such as tetramethylammonium hydroxide (TMAH), TMAH pentahydrate, BTMAH (benzyltetramethyl ammonium hydroxide), tetrabutylammonium hydroxide (TBAH), choline, or TEMAH (Tris(2- hydroxyethyl)methylammonium hydroxide).
  • TMAH tetramethylammonium hydroxide
  • BTMAH benzyltetramethyl ammonium hydroxide
  • TBAH tetrabutylammonium hydroxide
  • TEMAH Tris(2- hydroxyethyl)methylammonium hydroxide
  • Metals such as copper and others, complex strongly with molecules containing amidoxime groups, for example amidoximes of sucrose and sorbitol, to bind metal contaminant residues.
  • the present invention offers the benefit of binding to the metal oxide surface to create an oxidation barrier, particularly where the amidoxime is derived from functionalized amidoxime polymer, such as from polyvinylalcohol, polyacrylonitriles and its copolymers.
  • functionalized amidoxime polymer such as from polyvinylalcohol, polyacrylonitriles and its copolymers.
  • the present invention utilizes the cyanoethylated compounds referenced in "The Chemistry of Acrylonitrile”. 2nd ed. as starting materials for synthesis of amidoximes, and this reference is incorporated herein to the extent of the cyanoethylated compounds disclosed therein.
  • the starting materials for synthesis of amidoximes are those prepared from cyanoethylated sugar alcohols, such as sucrose, or reduced sugar alcohols, such as sorbitol.
  • the present invention further offers the benefit of increasing the bulk removal of metal during the CMP process when a chelating agent disclosed herein (e.g., (l,2,3,4,5,6-(hexa-(2-amidoximo)ethoxy)hexane) combined with a compound with oxidation and reduction potentialssuch as hydroxylamine and its salts, hydrogen peroxide, hydrazines.
  • a chelating agent disclosed herein e.g., (l,2,3,4,5,6-(hexa-(2-amidoximo)ethoxy
  • a compound with oxidation and reduction potentials such as hydroxylamine and its salts, hydrogen peroxide, hydrazines.
  • the present invention further offers the benefit of more efficient and effective binding to metal ions found in semiconductor manufacturing processes, such as residue after plasma etching particularly with leading edge technology where copper is used as conducting metal.
  • compositions comprising an amidoxime compound are further diluted with water prior to removing residue from a substrate, such as during integrated circuit fabrication.
  • the dilution factor is from about 10 to about 500.
  • [00135] Mixed 42.5 g (60 ml) of freshly-distilled diethylamine and 26.5 g. (33 ml) of pure acrylonitrile in a 250 ml round-bottomed flask fitted with a reflux condenser. Heated at 50°C in a water bath for 10 hours and then allowed to stand at room temperature for 2 days. Distilled off the excess of diethylamine on a water bath, and distilled the residue from a Claisen flask under reduced pressure. Collected the ⁇ - Diethylaminopropionitrile at 75-77° C/l l mm. The yield was 54 g.
  • Ethyl n-propyl-2-cyanoethylmalonate [00139] Added 8.0 g (10.0 ml) of redistilled acrylonitrile to a stirred solution of ethyl n-propyl malonate (30.2 g.) and of 30 percent methanolic potassium hydroxide (4.0 g.) in tert-butyl alcohol (100 g.). Kept the reaction mixture at 30°-35° C during the addition and stirred for a further 3 hours. Neutralized the solution with dilute hydrochloric acid (1:4), diluted with water and extracted with ether.
  • a cyanoethylated diaminocyclohexane was prepared according to
  • Patent 3,345,344 [00145] 80 parts by weight of polyacrylonitrile of molecular weight of about
  • amidoxime compounds are not commercially available.
  • these amidoxime compounds are prepared in-situ, particularly from nitrile compounds and hydroxylamine, while blending the cleaning formulations of the invention.
  • photoresist stripper formulations that may be used with the amidoxime compounds of the present invention:
  • Exemplary Amidoximes Prepared from Lactic Acid [00156] Exemplary Amidoximes Prepared from Propylene Glycol:
  • Silica was activated by heating it above 100 0 C in vacuum and was then allowed to cool to room temperature under nitrogen. To the activated silica (10 g) was absorbed aniline (1.86 g, 20 mmol) and acrylonitrile (2.65 g, 50 mmol) and the flask was capped tightly. The contents were then stirred with a magnetic stirrer for 6 days at 60 °C. After this time the mixture was cooled to room temperature and extracted with MeOH.
  • TMAH TMAH (25% in water, 10.95 g, 30.04 mmol) at room temperature. The mixture was stirred for 24 hours, and was then cooled to 0 °C. A mixture of 12M HCl (2.69 cm 3 , 32.1 mmol) and ice (3 g) was added and the mixture was extracted with CH 2 Cl 2 (5x50 cm 3 ). The extracts were evaporated under vacuum to give 2,2-bis(2-cyanoethyl)malonic acid (0.25 g, 15.8%) as a colourless very viscous oil (lit decomposed. 158 °C).
  • Acetamide (2 g, 33.9 mmol) was mixed with acrylonitrile (2.26 g, 42.7 mmol) at 0 °C and TMAH (25% in water, 0.06 cm 3 , 0.06 g, 1.7 mmol) was added. The mixture was then stirred overnight, allowing it to warm to room temperature slowly. The mixture was filtered through a pad of silica with the aid of Et 2 O/CH 2 Cl 2 (200 cm 3 ) and the filtrate was concentrated under reduced pressure.
  • the product was heated with spinning in a Kugelrohr at 150 °C/2 mmHg to remove side products and to give N,N-bis(2- cyanoethyl)acetamide (0.89 g, 15.9%) as a viscous oil.
  • the N-substituent in the amides is non-equivalent due to amide rotation.
  • TMAH (25% in water, 0.34 cm 3 , 0.35 g, 9.5 mmol) in dioxane (5 cm 3 ) was added acrylonitrile (3.53 g, 66.1 mmol) dropwise. The mixture was then stirred overnight, and allowed to warm to room temperature. More acrylonitrile (1.51 g, 28 mmol) and TMAH (0.25 cm 3 , 7 mmol) was added and stirring was continued for additional 24 h. The crude mixture was filtered through a pad of silica (Et 2 OZCH 2 Cl 2 as eluent) and evaporated to remove dioxane.
  • Cinnamonitrile (1 g, 7.74 mmol) and hydroxylamine (0.71 cm 3 , 11.6 mmol, 1.5 eq) were reacted in EtOH (7 cm 3 ) as described for AO6 (two chromatographic separations were needed in purification) to give N'-hydroxycinnamimidamide (0.88 g, 70%) as a light orange solid, mp 85-87 °C (lit 93 °C).
  • a 1000 mL three-necked round-bottomed flask was equipped with a mechanical stirrer, condenser, and addition funnel under nitrogen.
  • DS6 14.77 g, 29.5 mmol
  • water 200 mL
  • hydroxylamine hydrochloride 11.47 g, 165 mmol, 5.6 eq
  • ammonium hydroxide 22.1 ml of 28% solution, 177 mmol, 6.0 eq
  • hydroxylamine solution was then added in one portion directly to the mixture in the round-bottomed flask at room temperature.
  • Hydroxylamine freebase (50%) aqueous solution can be used to replace the solution by blending hydroxylamine chloride and ammonium hydroxide.
  • the ER spectrum indicated loss of most of the nitrile peak at 2250 cm "1 and the appearance of a new peak at 1660 cm "1 , indicative of the amidoxime or hydroxamic acid.
  • polyamidoxime Preparation and analysis of polyamidoxime is essentially that described in United States Patent 3,345,344, which is incorporated herein by reference in its entirety.
  • 80 parts by weight of polyacrylonitrile of molecular weight of about 130,000 in the form of very fine powder (-300 mesh) was suspended in a solution of 300 parts by weight of hydroxyl ammonium sulfate, 140 parts by weight of sodium hydroxide and 2500 parts by weight of deionized water.
  • the pH of the solution was 7.6.
  • the mixture was heated to 90° C and held at that temperature for 12 hours, all of the time under vigorous agitation. It was cooled to 35° C and the product filtered off and washed repeatedly with deionized water.
  • the resin remained insoluble throughout the reaction, but was softened somewhat by the chemical and heat. This caused it to grow from a very fine powder to small clusters of 10 to 20 mesh.
  • the product weighed 130 grams. The yield is always considerably more than theoretical because of a firmly occluded salt.
  • the product is essentially a poly-amidoxime having the following reoccurring unit
  • Amidoxime chelating agents can substitute for organic carboxylic acids, organic carboxylic ammonium salts or amine carboxylates in their use in cleaning formulations and processes.
  • the FEOL stripping and cleaning compositions of the present invention for stripping-cleaning ion-implanted wafer substrates comprise a) an amidoxime compound, b) at least one organic stripping solvent, and c)water.
  • the FEOL stripping and cleaning compositions of this invention may additionally comprise one or more components such as acids, bases, surfactants and other chelating agents.
  • compositions comprising an amidoxime compound are further diluted with water prior to removing residue from a substrate, such as during integrated circuit fabrication.
  • the dilution factor is from about 10 to about 500.
  • An exemplary embodiment involves a method for removing organometallic and organosilicate residues remaining after a dry etch process from semiconductor substrates.
  • the substrate is exposed to a conditioning solution of phosphoric acid, hydrofluoric acid, and a carboxylic acid, such as acetic acid, which removes the remaining dry etch residues while minimizing removal of material from desired substrate features.
  • the approximate proportions of the conditioning solution are typically 80 to 95 percent by weight amidoxime compound and acetic acid, 1 to 15 percent by weight phosphoric acid, and 0.01 to 5.0 percent by weight hydrofluoric acid. See, United States Patent No. 7,261,835.
  • Another exemplary embodiment includes from about 0.5% to about
  • aqueous semiconductor cleaning solution having a pH between about 1.5 and about 6 and comprising: at least about 75% by weight of a mixture of water and an organic solvent; from about 0.5% to about 10% by weight phosphoric acid; optionally one or more other acid compounds; optionally one or more fluoride-containing compounds; and at least one alkaline compound selected from the group consisting of: a trialkylammonium hydroxide and/or a tetraalkylammonium hydroxide; a hydroxylamine derivative; and one or more alkanolamines.
  • Table 1 lists other exemplary embodiments of the present invention where the formulations additionally include from about 0.5% to about 24% by weight of compounds with amidoxime functional groups in aqueous semiconductor cleaning solutions.
  • Such formulations may contain additional components consistent with this application such as surfactants, alkaline components,' and organic solvents.
  • Example 3 Another exemplary embodiment is a composition for cleaning or etching a semiconductor substrate and method for using the same.
  • the compositions include from about 0.01% to about 50%, more preferably about 0.5% to about 24% by weight of compounds with amidoxime functional groups may include a fluorine- containing compound as an active agent such as a quaternary ammonium fluoride, a quaternary phosphonium fluoride, sulfonium fluoride, more generally an -onium fluoride or "multi" quaternary -onium fluoride that includes two or more quaternary- onium groups linked together by one or more carbon-containing groups.
  • a fluorine- containing compound as an active agent such as a quaternary ammonium fluoride, a quaternary phosphonium fluoride, sulfonium fluoride, more generally an -onium fluoride or "multi" quaternary -onium fluoride that includes two or more quaternary-
  • the composition may further include a pH adjusting acid such as a mineral acid, carboxylic acid, dicarboxylic acid, sulfonic acid, or combination thereof to give a pH of about 2 to 9.
  • a pH adjusting acid such as a mineral acid, carboxylic acid, dicarboxylic acid, sulfonic acid, or combination thereof to give a pH of about 2 to 9.
  • the composition can be anhydrous and may further include an organic solvent such as an alcohol, amide, ether, or combination thereof.
  • the compositions are useful for obtaining improved etch rate, etch selectivity, etch uniformity and cleaning criteria on a variety of substrates.
  • the present invention can be used with methods and compositions for removing silicon-containing sacrificial layers from Micro Electro Mechanical System (MEMS) and other semiconductor substrates having such sacrificial layers is described.
  • the etching compositions include a supercritical fluid (SCF), an etchant species, a co-solvent, chelating agent containing at least one amidoxime group, and optionally a surfactant.
  • SCF supercritical fluid
  • etchant species e.g., a co-solvent
  • chelating agent containing at least one amidoxime group e.g., the non-polar character of SCFs and their associated inability to solubilize polar species that must be removed from the semiconductor substrate.
  • the resultant etched substrates experience lower incidents of stiction relative to substrates etched using conventional wet etching techniques. See United States Patent No. 7,160,815.
  • the invention uses a supercritical fluid (SFC)-based composition, comprising at least one co-solvent, at least one etchant species, and optionally at least one surfactant, wherein said at least one etchant comprises an alkyl phosphonium difluoride and wherein said SFC-based composition is useful for etching sacrificial silicon-containing layers, said compositions containing from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating group, at least one being an amidoxime functional groups.
  • SFC supercritical fluid
  • the surfactant comprises at least one nonionic or anionic surfactant, or a combination thereof
  • the surfactant is preferably a nonionic surfactant selected from the group consisting of fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene ethers, polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid; dodecylbeuzenesulfonic salts, polyaciylate polymers, dinonylphenyl polyoxyethylene, silicone polymers, modified silicone polymers, acetylenic diols, modified acetylenic diols, alkylammonium salts, modified alkylammonium salts, and combinations comprising at least one of the foregoing.
  • compositions for use in semiconductor processing wherein the composition comprises water, phosphoric acid, and an organic acid; wherein the organic acid is ascorbic acid or is an organic acid having two or more carboxylic acid groups (e.g., citric acid).
  • the said compositions containing from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound and such compounds can be in addition to, part of, or in substitution of the organic acid.
  • the water can be present in about 40 wt. % to about 85 wt. % of the composition
  • the phosphoric acid can be present in about 0.01 wt.
  • the composition can be used for cleaning various surfaces, such as, for example, patterned metal layers and vias by exposing the surfaces to the composition. See United States Patent No. 7,135,444.
  • the present invention can also be used with a polishing liquid composition for polishing a surface, with one embodiment comprising an insulating layer and a metal layer, the polishing liquid composition comprising a compound having six or more carbon atoms and a structure in which each of two or more adjacent carbon atoms has a hydroxyl group in a molecule, and water, wherein the compound having a structure in which each of two or more adjacent carbon atoms has a hydroxyl group in a molecule is represented by the formula (I): R 1 -X ⁇ (CH 2 ) q -[CH(OH)] n -CH 2 OH (I) wherein R 1 is a hydrocarbon group having 1 to 12 carbon atoms; X is a group represented by (CH 2 ) m , wherein m is 1, oxygen atom, sulfur atom, COO group, OCO group, a group represented by NR 2 or 0(R 2 O)P(O)O, wherein R 2 is hydrogen atom or
  • compositions for use in semiconductor processing wherein the composition comprises water, phosphoric acid, and an organic acid; wherein the organic acid is ascorbic acid or is an organic acid having two or more carboxylic acid groups (e.g., citric acid), further comprising from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound and such compounds can be in addition to, part of, or in substitution of the organic acid.
  • the water can be present in about 40 wt. % to about 85 wt. % of the composition
  • the phosphoric acid can be present in about 0.01 wt.
  • the composition can be used for cleaning various surfaces, such as, for example, patterned metal layers and vias by exposing the surfaces to the composition. See United States Patent Nos. 7,087,561; 7,067,466; and 7,029,588.
  • the solution further includes a peroxygen compound, such as hydrogen peroxide, in solution with a pre-mixed solution of a carboxylic acid and a halogen salt, such as glycolic acid and sodium bromide, respectively.
  • abrasive consists essentially of polymethylmethacrylate. See United States Patent No. 7,029,373.
  • Another exemplary embodiment of the present invention is a non- corrosive cleaning composition for removing residues from a substrate comprising: (a) water; (b) at least one hydroxyl ammonium compound; (c) at least one basic compound, preferably selected from the group consisting of amines and quaternary ammonium hydroxides; (d) at least one organic carboxylic acid; (e) from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound and such compounds can be in addition to, part of, or in substitution of the organic acid; and (f) optionally, a polyhydric compound.
  • the pH of the composition is preferably between about 2 to about 6. See United States Patent No. 7,001,874.
  • the present invention may also be used with a cleaning solution where the cleaning solution also contains one of polyvalent carboxylic acid and its salt, such as where the polyvalent carboxylic acid contains at least one selected from the group consisting of oxalic acid, citric acid, malic acid, maleic acid, succinic acid, tartaric acid, and malonic acid, wherein the cleaning solution contains from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound and such compounds can be in addition to, part of, or in substitution of the organic acid, which can be used in addition to, as part of, or in substitution of the polyvalent carboxylic acid.
  • the cleaning solution further contains a polyamino carboxylic acid and its salt. See United States Patent No. 6,998,352.
  • a further exemplary embodiment of the present invention is a method of chemically-mechanically polishing a substrate, which method comprises: (i) contacting a substrate comprising at least one layer of ruthenium and at least one layer of copper with a polishing pad and a chemical-mechanical polishing composition comprising: (a) an abrasive consisting of .alpha.-alumina treated with a negatively-charged polymer or copolymer, (b) hydrogen peroxide, (c) from about 0.01% to about 50% by weight, preferably about 0.5% to about 24% of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound; (d) at least one heterocyclic compound, wherein the at least one heterocyclic compound comprises at least one nitrogen atom, (e) a phosphonic acid, and (f) water, (ii) moving the polishing pad relative to the substrate, and (iii) abrading at least a portion of the substrate to polish the substrate,
  • Another exemplary embodiment of the present invention is to a semiconductor wafer cleaning formulation, including 1-21% wt. fluoride source, 20-55% wt. organic amine(s), 0.5-40% wt. nitrogenous component, e.g., a nitrogen-containing carboxylic acid or an imine, 23-50% wt. water, and 0-21% wt. of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound.
  • the formulations are useful to remove residue from wafers following a resist plasma ashing step, such as inorganic residue from semiconductor wafers containing delicate copper interconnecting structures. See United States Patent No. 6,967,169.
  • Example 15 Example 15
  • the present invention also includes a method for chemical mechanical polishing copper, barrier material and dielectric material, the method comprises the steps of: a) providing a first chemical mechanical polishing slurry comprising (i) 1-10 wt. % silica particles, (ii) 1-12 wt. % oxidizing agent, and (iii) 0-2 wt. % corrosion inhibitor and cleaning agent, wherein said first slurry has a higher removal rate on copper relative to a lower removal rate on said barrier material; b) chemical mechanical polishing a semiconductor wafer surface with said first slurry; c) providing a second chemical mechanical polishing slurry comprising (i) 1-10 wt.
  • % silica particles (ii) 0.1-1.5 wt. % oxidizing agent, and (iii) 0.1-2 wt. % carboxylic acid, having a pH in a range from about 2 to about 5, wherein the amount of (ii) is not more than the amount of (iii), and wherein said second slurry has a higher removal rate on said barrier material relative to a lower removal rate on said dielectric material and an intermediate removal rate on copper; and d) chemical mechanical polishing said semiconductor wafer surface with said second slurry, wherein either or both slurries contains from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound. See United States Patent No. 6,936,542.
  • the present invention further includes a method for cleaning a surface of a substrate, which comprises at least the following steps (1) and (2), wherein the step (2) is carried out after carrying out the step (1): Step (1): A cleaning step of cleaning the surface of the substrate with an alkaline cleaning agent containing a complexing agent, and Step (2): A cleaning step employing a cleaning agent having a hydrofluoric acid content C (wt %) of from 0.03 to 3 wt %, the complexing agent is from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound. See United States Patent No. 6,896,744.
  • Example 17 Another exemplary embodiment of the present invention is a cleaning gas that is obtained by vaporizing a carboxylic acid and/or a compound with one or more chelating groups/agents, at least one being an amidoxime functional group/compound which is supplied into a treatment chamber having an insulating substance adhering to the inside thereof, and the inside of the treatment chamber is evacuated.
  • the cleaning gas supplied into the treatment chamber comes in contact with the insulating substance adhering to an inside wall and a susceptor in the treatment chamber, the insulating substance is turned into a complex, so that the complex of the insulating substance is formed.
  • the complex of the insulating substance is easily vaporized due to its high vapor pressure.
  • the vaporized complex of the insulating substance is discharged out of the treatment chamber by the evacuation. See United States Patent No. 6,893,964.
  • the present invention includes a method for rinsing metallized semiconductor substrates following treatment of the substrates with an etch residue removal chemistry, the method comprising the steps of: providing at least one metallized semiconductor substrate, the substrate having etch residue removal chemistry thereon, wherein the etch residue removal chemistry includes N-methylpyrrolidinone; rinsing the etch residue removal chemistry from the substrate and minimizing metal corrosion of the substrate by rinsing the substrate with an aqueous medium comprising an anti-corrosive agent including an organic acid selected from the group consisting of mono- and polycarboxylic acids in an amount effective to minimize metal corrosion; removing the aqueous medium from the process vessel; and introducing a drying vapor into the process vessel which the substrate remains substantially stationary within the process vessel, wherein the remover includes from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound
  • the present invention may also be used with the compositions of
  • the present invention also includes a method of cleaning a surface of a copper-containing material by exposing the surface to an acidic mixture comprising NO 3 " , F " , and one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound.
  • the mixture may also include one or more organic acids to remove at least some of the particles. See United States Patent No. 6,835,668.
  • the present invention also includes a cleaning composition
  • a cleaning composition comprising at least one of fluoride salts and hydrogendifluoride salts; an organic solvent having a heteroatom or atoms; optionally one or more surfactants in an amount of from 0.0001 to 10.0%; water and from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound. See United States Patent No. 6,831,048.
  • the present invention further includes a glycol-free composition for cleaning a semiconductor substrate, the composition consisting essentially of: a. an acidic buffer solution having an acid selected from a carboxylic acid and a polybasic acid and an ammonium salt of the acid in a molar ratio of acid to ammonium salt ranging from 10: 1 to 1:10 and wherein the acidic buffer solution is present in an amount sufficient to maintain a pH of the composition from about 3 to about 6, b. from 30% by weight to 90% by weight of an organic polar solvent that is miscible in all proportion in water, c. from 0.1% by weight to 20% by weight of fluoride, d. from 0.5% by weight to 40% by weight of water, and e.
  • an acidic buffer solution having an acid selected from a carboxylic acid and a polybasic acid and an ammonium salt of the acid in a molar ratio of acid to ammonium salt ranging from 10: 1 to 1:10 and wherein the acidic buffer solution is present in an amount sufficient to maintain a pH of
  • composition further contains from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound or such compounds may be used in place of the corrosion inhibitor. See United States Patent No. 6,828,289. [00350] Example 23
  • the present invention further includes compositions containing AEEA and or AEEA derivatives which can be present in an amount ranging from about 1% to about 99%, though in most instances the amount ranges from about 10% to about 85%.
  • AEEA range given for various compositions described herein, there is a "high- AEEA” embodiment where the amount of AEEA is in the upper half of the range, and a “low-AEEA” embodiment where AEEA is present in an amount bounded by the lower half of the range.
  • the embodiments further include from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound.
  • these compositions also include other compounds, particularly polar organic solvents, water, alkanolamines, hydroxylamines, additional chelating agents, and/or corrosion inhibitors. See United States Patent No. 6,825,156.
  • a composition for the stripping of photoresist and the cleaning of residues from substrates, and for silicon oxide etch comprising from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 10 percent by weight to about 95% by weight of a sulfoxide or sulfone solvent, and from about 20 percent by weight to about 50 percent by weight water, further including from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound.
  • the composition may contain corrosion inhibitors, chelating agents, co- solvents, basic amine compounds, surfactants, acids and bases. See United States Patent No. 6,777,380.
  • a polishing composition for polishing a semiconductor substrate has a pH of under 5.0 and comprises (a) a carboxylic acid polymer comprising polymerized unsaturated carboxylic acid monomers having a number average molecular weight of about 20,000 to 1,500,000 or blends of high and low number average molecular weight polymers of polymerized unsaturated carboxylic acid monomers, (b) 1 to 15% by weight of an oxidizing agent, (c) up to 3.0% by weight of abrasive particles, (d) 50-5,000 ppm (parts per million) of an inhibitor, (e) up to 3.0% by weight of a complexing agent, such as, malic acid, and (f) 0.1 to 5.0% by weight of a surfactant, from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound. See United States Patent No. 6,679,928.
  • Particulate and metal ion contamination is removed from a surface, such as a semiconductor wafer containing copper damascene or dual damascene features, employing aqueous composition comprising a fluoride containing compound; a dicarboxylic acid and/or salt thereof; and a hydroxycarboxylic acid and/or salt thereof, the composition contains from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound. See United States Patent No. 6,673,757.
  • a semiconductor wafer cleaning formulation including 2-98% wt. organic amine, 0-50% wt. water, 0.1-60% wt. 1,3-dicarbonyl compound chelating agent, 0-25% wt. of additional different chelating agent(s), 0.5-40% wt. nitrogen-containing carboxylic acid or an imine, and 2-98% wt polar organic solvent.
  • the formulations are useful to remove residue from wafers following a resist plasma ashing step, such as inorganic residue from semiconductor wafers containing delicate copper interconnecting structures.
  • compositions used are aqueous, acidic compositions containing flouride and polar, organic solvents.
  • the compositions are free of glycols and hydroxyl amine and have a low surface tension and viscosity and further include from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound. See United States Patent No. 6,656,894.
  • the invention includes a method of cleaning a surface of a copper- containing material by exposing the surface to an acidic mixture comprising NO 3 -, F- and from about 0.01% to about 50% by weight, preferably about 0.5% to about 24%, of compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound and/or one or more organic acid anions having carboxylate groups.
  • the invention also includes an improved semiconductor processing method of forming an opening to a copper-containing material. A mass is formed over a copper- containing material within an opening in a substrate. The mass contains at least one of an oxide barrier material and a dielectric material.
  • a second opening is etched through the mass into the copper-containing material to form a base surface of the copper-containing material that is at least partially covered by particles comprising at least one of a copper oxide, a silicon oxide or a copper fluoride.
  • the base surface is cleaned with a solution comprising nitric acid, hydrofluoric acid and one or more organic acids to remove at least some of the particles.
  • An exemplary composition includes an acetic acid solution (99.8%, by weight in water), an HF solution (49%, by weight in water), an HNO 3 solution (70.4%, by weight in water), and H 2 O, the resulting cleaning mixture being: from about 3% to about 20% of compounds with one or more chelating groups/agents, at least one being an amidoxime compound, by weight; from about 0.1% to about 2.0% HNO 3 by weight; and from about 0.05% to about 3.0% HF, by weight. See United States Patent No. 6,589,882.
  • Another exemplary embodiment of the present invention is a composition for selective etching of oxides over a metal.
  • the composition contains water, hydroxylammonium salt, one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, a fluorine containing compound, and optionally, a base.
  • the pH of the composition is about 2 to 6. See United States Patent No. 6,589,439.
  • Another exemplary embodiment of the present invention is an etching treatment comprising a combination including hydrofluoric acid of 15 percent by weight to 19 percent by weight, one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound of 0.5 percent by weight to 24 percent by weight and ammonium fluoride of 12 percent by weight to 42 percent by weight, said combination having a hydrogen ion concentration of 10 ⁇ 6 mol/L to 10 "1 8 , further comprising a surfactant of 0.001 percent by weight to 1 percent by weight. See United States Patent No. 6,585,910.
  • Another exemplary embodiment of the present invention is a semiconductor wafer cleaning formulation, including 2-98% wt. organic amine, 0-50% wt. water, 0.1-60% wt. one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, 0-25% wt. of additional different chelating agent(s), 0.1-40% wt. nitrogen-containing carboxylic acid or an imine, optionally 1,3-dicarbonyl compound chelating agent, and 2-98% wt polar organic solvent.
  • the formulations are useful to remove residue from wafers following a resist plasma ashing step, such as inorganic residue from semiconductor wafers containing delicate copper interconnecting structures. See United States Patent No. 6,566,315.
  • An exemplary embodiment of the present invention is a method for removing organometallic and organosilicate residues remaining after a dry etch process from semiconductor substrates.
  • the substrate is exposed to a conditioning solution of a fluorine source, a non-aqueous solvent, a complementary acid, and a surface passivation agent.
  • the fluorine source is typically hydrofluoric acid.
  • the non-aqueous solvent is typically a polyhydric alcohol such as propylene glycol.
  • the complementary acid is typically either phosphoric acid or hydrochloric acid.
  • the surface passivation agent is one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and may optionally include a carboxylic acid such as citric acid. Exposing the substrate to the conditioning solution removes the remaining dry etch residues while minimizing removal of material from desired substrate features. See United States Patent No. 6,562,726.
  • Another exemplary embodiment of the present invention is a stripping and cleaning composition for the removal of residue from metal and dielectric surfaces in the manufacture of semi-conductors and microcircuits.
  • the composition is an aqueous system including organic polar solvents including corrosive inhibitor component from one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound and optionally a select group of aromatic carboxylic acids used in effective inhibiting amounts.
  • a method in accordance with this invention for the removal of residues from metal and dielectric surfaces comprises the steps of contacting the metal or dielectric surface with the above inhibited compositions for a time sufficient to remove the residues. See United States Patent No. 6,558,879.
  • Another exemplary embodiment of the present invention is a homogeneous non-aqueous composition containing a fluorinated solvent, ozone, one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and optionally a co-solvent and the use of these compositions for cleaning and oxidizing substrates is described. See United States Patent No. 6,537,380.
  • the present invention also includes a chemical mechanical polishing slurry and method for using the slurry for polishing copper, barrier material and dielectric material that comprises a first and second slurry.
  • the first slurry has a high removal rate on copper and a low removal rate on barrier material.
  • the second slurry has a high removal rate on barrier material and a low removal rate on copper and dielectric material.
  • the first and second slurries at least comprise silica particles, an oxidizing agent, one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, optionally a corrosion inhibitor, and a cleaning agent. See, United States Patent No. 6,527,819.
  • Another exemplary embodiment of the present invention is a method for removing organometallic and organosihicate residues remaining after a dry etch process from semiconductor substrates.
  • the substrate is exposed to a conditioning solution of phosphoric acid, hydrofluoric acid, and one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and optionally a carboxylic acid, such as acetic acid, which removes the remaining dry etch residues while minimizing removal of material from desired substrate features.
  • the approximate proportions of the conditioning solution are typically 80 to 95 percent by weight one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound and carboxylic acid, 1 to 15 percent by weight phosphoric acid, and 0.01 to 5.0 percent by weight hydrofluoric acid.
  • compositions for use in semiconductor processing wherein the composition comprises water, phosphoric acid, and one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and optionally an organic acid; wherein the organic acid is ascorbic acid or is an organic acid having two or more carboxylic acid groups (e.g., citric acid).
  • the water can be present in about 40 wt. % to about 85 wt. % of the composition, the phosphoric acid can be present in about 0.01 wt. % to about 10 wt.
  • composition can be used for cleaning various surfaces, such as, for example, patterned metal layers and vias by exposing the surfaces to the composition. See United States Patent No. 6,486,108.
  • Example 39 Another exemplary embodiment of the present invention is a method for removing organometallic and organosilicate residues remaining after a dry etch process from semiconductor substrates.
  • the substrate is exposed to a conditioning solution of phosphoric acid, hydrofluoric acid, and one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and optionally a carboxylic acid, such as acetic acid, which removes the remaining dry etch residues while minimizing removal of material from desired substrate features.
  • the approximate proportions of the conditioning solution are typically 80 to 95 percent by weight one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound and acetic acid, 1 to 15 percent by weight phosphoric acid, and 0.01 to 5.0 percent by weight hydrofluoric acid. See United States Patent No. 6,453,914.
  • Another exemplary embodiment of the present invention is a method for cleaning a substrate which has a metal material and a semiconductor material both exposed at the surface and which has been subjected to a chemical mechanical polishing treatment, the substrate is first cleaned with a first cleaning solution containing ammonia water, etc. and then with a second cleaning solution containing (a) a first complexing agent capable of easily forming a complex with the oxide of said metal material, etc. and (b) an anionic or cationic surfactant. See United States Patent No. 6,444,583.
  • the present invention is also exemplified by a cleaning agent for semiconductor parts, which can decrease a load on the environment and has a high cleaning effect on CMP (chemical mechanical polishing) abrasive particles, metallic impurities and other impurities left on the semiconductor parts such as semiconductor substrates after the CMP, comprising a (co)polymer having one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and optionally at least one kind of group selected from the group consisting of sulfonic acid (salt) groups and carboxylic acid (salt) groups, the cleaning agent further containing a phosphonic acid (salt) group-containing (co)polymer, a phosphonic acid compound or a surfactant as needed; and a method for cleaning semiconductor parts with the above cleaning agent. See United States Patent No. 6,440,856.
  • the present invention also includes a non-corrosive cleaning composition for removing residues from a substrate.
  • the composition comprises: (a) water; (b) at least one hydroxylammonium compound; (c) at least one basic compound, preferably selected from the group consisting of amines and quaternary ammonium hydroxides; (d) one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, (e) optionally at least one organic carboxylic acid; and (f) optionally, a polyhydric compound.
  • the pH of the composition is preferably between about 2 to about 6. See United States Patent No. 6,413,923.
  • Another embodiment of the present invention is a composition
  • a composition comprising a slurry having an acidic pH and a corrosion inhibitor with one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and optionally a carboxylic acid corrosion inhibitor, wherein said carboxylic acid is selected from the group consisting of: glycine, oxalic acid, malonic acid, succinic acid and nitrilotriacetic acid.
  • Another exemplary embodiment of the present invention is a chemical formulation consisting of a chelating agent, wherein said chelating agent is one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and optionally one or more additional chelating agents selected from the group consisting of iminodiacetic, malonic, oxalic, succinic, boric and malic acids and 2,4 pentanedione; a fluoride; and a glycol solvent, wherein said chelating agents consist of approximately 0.1-10% by weight of the formulation; and wherein said fluoride consists of a compound selected from the group consisting of ammonium fluoride, an organic derivative of ammonium fluoride, and a organic derivative of a polyammonium fluoride; and wherein said fluoride consists of approximately 1.65-7% by weight of the formulation; and wherein said glycol solvent consists of approximately 73-98.25% by weight of said formulation, further comprising of imin
  • the chelating agents generally contain one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and optionally contain two carboxylic acid groups or two hydroxyl groups or two carbonyl groups such that the two groups in the chelating agent are in close proximity to each other.
  • Other chelating agents which are also weakly to moderately acidic and are structurally similar to those claimed are also expected to be suitable. See United States Patent No. 6,383,410.
  • Another exemplary embodiment of the present invention is a cleaning composition
  • a cleaning composition comprising a partially fluorinated solvent, a co-solvent, one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and ozone
  • said fluorinated solvent comprises hydrofluoroethers
  • said co-solvent is selected from the group consisting of ethers, esters, tertiary alcohols, carboxylic acids, ketones and aliphatic hydrocarbons. See United States Patent No. 6,372,700.
  • Another exemplary embodiment of the present invention is a combination of one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound and optionally a carboxylic acid corrosion inhibitor.
  • the combination of corrosion inhibitors can effectively inhibit metal corrosion of aluminum, copper, and their alloys.
  • Suitable carboxylic acids include monocarboxylic and polycarboxylic acids.
  • the carboxylic acid may be, but is not limited to, formic acid, acetic acid, propionic acid, valeric acid, isovaleric acid, oxalic, acid, malonic acid, succinic acid, glutaric acid, maleic acid, filmaric acid, phthalic acid, 1,2,3-benzenetricarboxylic acid, glycolic acid, lactic acid, citric acid, salicylic acid, tartaric acid, gluconic acid, and mixtures thereof.
  • a preferred carboxylic acid is citric acid.
  • Another exemplary embodiment of the present invention is a composition for selective etching of oxides over a metal comprising: (a) water; (b) hydroxylammonium salt in an amount about 0.1 wt. % to about 0.5 wt.
  • composition % of said composition; (c) one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound; (d) optionally a carboxylic acid selected from the group consisting of: formic acid, acetic acid, propionic acid, valeric acid, isovaleric acid, oxalic acid, malonic acid, succinic acid, glutaric acid, maleic acid, fumaric acid, phthalic acid, 1,2,3-benzenetricarboxylic acid, glycolic acid, lactic acid, citric acid, salicylic acid, tartaric acid, gluconic acid, and mixtures thereof; (e) a fluorine-containing compound; and (e) optionally, base. See United States Patent No. 6,361,712.
  • the invention relates to a semiconductor wafer cleaning formulation for use in post plasma ashing semiconductor fabrication, comprising the following components in the percentage by weight (based on the total weight of the formulation) ranges shown
  • Another exemplary embodiment of the present invention is an anhydrous cleaning composition
  • a fluorinated solvent from 0.005 to 2 weight percent of hydrogen fluoride or complex thereof, and from 0.01 to 5 weight percent of a co-solvent, wherein said co-solvent is selected from one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, ethers, polyethers, carboxylic acids, primary and secondary alcohols, phenolic alcohols, ketones, aliphatic hydrocarbons and aromatic hydrocarbons. See United States Patent No. 6,310,018.
  • Example 51 Another exemplary embodiment of the present invention is a composition comprising a chelating agent, a fluoride salt, and a glycol solvent, wherein said chelating agent is weakly to moderately acidic, and consists of approximately 0.1- 10% by weight of the formulation; and wherein said fluoride salt consists of a compound selected from the group consisting of ammonium fluoride, an organic derivative of ammonium fluoride, and a organic derivative of a polyammonium fluoride; and wherein said fluoride salt consists of approximately 1.65-7% by weight of the formulation; and wherein said glycol solvent consists of 73-98.25% by weight of said formulation; and further including an amine, wherein said amine consists of approximately 0.1-10% by weight of said formulation; and wherein said chelating agent is an amidoxime or hydroxamic acid. See United States Patent No. 6,280,651.
  • Another exemplary embodiment of the present invention is a cleaning agent for use in producing semiconductor devices, which consists essentially of an aqueous solution containing (A) 0.1 to 15% by weight based on the total amount of the cleaning agent of at least one fluorine-containing compound selected from the group consisting of hydrofluoric acid, ammonium fluoride, ammonium hydrogenfluoride, acidic ammonium fluoride, methylamine salt of hydrogen fluoride, ethylamine salt of hydrogen fluoride, propylamine salt of hydrogen fluoride and tetramethylammonium fluoride, (B) 0.1 to 15% by weight based on the total amount of the cleaning agent of a salt of boric acid and (C) 0.5 to 50% by weight of one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound; and (d) 5 to 80% by weight based on the total amount of the cleaning agent of a water-soluble organic solvent, and optionally further containing
  • Another exemplary embodiment of the present invention is a cleaning liquid in the form of an aqueous solution for cleaning a semiconductor device during production of a semiconductor device, which comprises (A) a fluorine-containing compound; (B) a water-soluble or water-miscible organic solvent; (C) one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound; (D) optionally, an organic acid; and (E) a quaternary ammonium salt.
  • the cleaning solution also contains a surfactant.
  • the organic acid is typically selected from the group consisting of formic acid, acetic acid, propionic acid, butyric acid, isobutyric acid, valeric acid, isovaleric acid, heptanoic acid, lauric acid, palmitic acid, stearic acid, acrylic acid, crotonic acid, methacrylic acid, oxalic acid, malonic acid, maleic acid, succinic acid, adipic acid, azelaic acid, sebacic acid, benzoic acid, toluic acid, phthalic acid, trimellitic acid, pyromellitic acid, benzenesulfonic acid, toluenesulfonic acid, salicylic acid and phthalic anhydride. See United States Patent No. 5,972,862.
  • Another exemplary embodiment is a method for semiconductor processing comprising etching of oxide layers, especially etching thick SiO 2 layers and/or last step in the cleaning process wherein the oxide layers are etched in the gas phase with a mixture of hydrogen fluoride, one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and optionally one or more carboxylic acids, eventually in admixture with water.
  • a mixture of hydrogen fluoride one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and optionally one or more carboxylic acids, eventually in admixture with water.
  • the complexing agents of the present invention may also be added to the rinse containing a peroxide of United States Patent No. 5,911,836.
  • Another exemplary embodiment of the present invention is a method and apparatus for increasing the deposition of ions onto a surface, such as the adsorption of uranium ions on the detecting surface of a radionuclide detector.
  • the method includes the step of exposing the surface to one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound, and optionally, a phosphate ion solution, which has an affinity for the dissolved species to be deposited on the surface.
  • This provides, for example, enhanced sensitivity of the radionuclide detector. See United States Patent No. 5,652,013.
  • Another exemplary embodiment of the present invention is a stripping and cleaning agent for removing dry-etching photoresist residues, and a method for forming an aluminum based line pattern using the stripping and cleaning agent.
  • the stripping and cleaning agent contains (a) from 5 to 50% by weight of one or more compounds with one or more chelating groups/agents, at least one being an amidoxime functional group/compound; (b) from 0.5 to 15% by weight of a fluorine compound; and (c) a solvent, including water
  • the inventive method is advantageously applied to treating a dry-etched semiconductor substrate with the stripping and cleaning agent.
  • the semiconductor substrate comprises a semiconductor wafer having thereon a conductive layer containing aluminum.
  • the conductive layer is dry-etched through a patterned photoresist mask to form a wiring body having etched side walls.
  • the dry etching forms a side wall protection film on the side walls.
  • the side wall protection film and other resist residues ' are completely released without corroding the wiring body. See, United States Patent No. 5,630,904. [00419]
  • Example 58 Particle performance on thermal oxide
  • Example 59 Particle performance on Blackdiamond (BDl) Particle counts on BD1
  • Example 60 Metal Contamination Thermal Oxide
  • United States Patent No. 6,927,176 describes the effectiveness of chelating compounds due to their binding sites. See, e.g., Figures 2a and 2b of United States Patent No. 6,927,176. The patent indicates that there are 6 binding sites as shown:
  • amidoxime l,2,3,4,5,6-(hexa-(2-amidoximo)ethoxy)hexane has 18 binding sites as depicted below:
  • the amidoxime chelating agents of the invention can substitute for polyacrylates, carbonates, phosphonates, and gluconates, ethylenediaminetetraacetic acid (EDTA), N,N'-bis(2-hydroxyphenyl)ethylenediiminodiacetic acid (HPED), ,triethylenetetranitrilohexaacetic acid (TTHA) , desferriferrioxamine B ,N,N',N"-tris[2-(N- hydroxycarbonyl)ethyl]-l,3,5-benzenetricarboxamide (BAMTPH) , and ethylenediaminodiorthohydroxyphenylacetic acid (EDDHA).
  • EDTA ethylenediaminetetraacetic acid
  • HPED N,N'-bis(2-hydroxyphenyl)ethylenediiminodiacetic acid
  • TTHA triethylenetetranitrilohexaacetic acid
  • BAMTPH ethylenediaminodior
  • solutions of the present application include compositions comprising:
  • R a and R b are independently hydrogen, alkyl, hetero-alkyl, alkyl-aryl, or alkyl-heteroaryl groups.
  • R is independently selected from alkyl, alkyl-aryl, or alkyl-heteroaryl groups.
  • chelation of the amidoxime to metal centers may be favored because, in reaction with a metal centre, a proton can be lost from NR 3 R b so as to form a nominally covalent bond with the metal center.
  • NR a R b is further substituted with R c so the amidoxime has the following chemical formula:
  • a negatively charged counter-ion balances the positive charge on the nitrogen atom.
  • Any negatively charged counter-ion may be used, for example chloride, bromide, iodide, a SO 4 ion, a PF 6 ion or a ClO 4 ion.
  • R c may be hydrogen or an R group as defined below.
  • R 3 , R b and / or R c can join onto one another and / or join onto R so as to form one or more cycles.
  • the amidoxime compounds of the invention are represented by the following structures (and their resonance/tautomeric forms).
  • R is an alkyl, heteroalkyl, alkyl-aryl, alkyl-heteroaryl, aryl or heteroaryl group.
  • R may be connected to one or more of R a , R b and R c .
  • a representative amidoxime compound within the scope of the the above structures is shown below:
  • AIk is an alkyl group as defined below.
  • the three alkyl groups may be independently selected or may be the same.
  • the alkyl group is methyl or ethyl.
  • the alkyl group may be completely saturated or may contain unsaturated groups (i.e., may contain alkene and alkyne functional groups, so the term
  • alkyl encompasses the terms “alkylene” , “alkenylene” and “alkynylene” within its scope).
  • the alkyl group may be straight-chained or branched.
  • the alkyl group may contain any number of carbon and hydrogen atoms. While alkyl groups having a lesser number of carbon atoms tend to be more soluble in polar solvents such as DMSO and water, alkyl groups having a greater number of carbons can have other advantageous properties, for example surfactant properties. Therefore, in one embodiment, the alkyl group contains 1 to 10 carbon atoms, for example the alkyl group is a lower alkyl group containing 1 to 6 carbon atoms. In another embodiment, the alkyl group contains 10 or more carbon atoms, for example 10 to 24 carbon atoms. The alkyl group may be unsubstituted (i.e.
  • the alkyl group contains only carbon and hydrogen).
  • the unsubstituted alkyl group may be unsaturated or saturated.
  • saturated unsubstituted alkyl groups include methyl, ethyl, n-propyl, sec-propyl, cyclopropyl, n-butyl, sec-butyl, tert-butyl, cyclobutyl, pentyl (branched or unbranched), hexyl (branched or unbranched), heptyl (branched or unbranched), octyl (branched or unbranched), nonyl (branched or unbranched), and decyl (branched or unbranched).
  • Saturated unsubstituted alkyl groups having a greater number of carbons may also be used.
  • Cyclic alkyl groups may also be used, so the alkyl group may comprise, for example, a cyclopropyl group, a cylcobutyl group, a cyclopentyl group, a cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cylcononyl group and / or a cyclodecyl group.
  • These cyclic alkyl groups may directly append the amidoxime group or may be joined to the amidoxime through one or more carbon atoms.
  • amidoxime compounds containing unsubstituted saturated alkyl groups include, but are not limited to:
  • Examples further include:
  • AIk is methyl or ethyl and R is an alkyl group.
  • R may be, for example, an alkyl group containing 8 to 25 carbon atoms. If the alkyl group is substituted, it may, for example, be substituted at the opposite end of the alkyl group to the amidoxime group. For example, the alkyl group may be substituted antipodally to the amidoxime group by one or more halogens, for example fluorine.
  • Examples further include alkyl groups appending two or more amidoxime functional groups.
  • the amidoxime may have the following structure:
  • R is independently selected from alkylene, heteroalkylene, arylene, heteroarylene, alkylene-heteroaryl, or alkylene-aryl group.
  • R may be a straight chained alkylene group, such as an unsubstituted straight chained alkylene group.
  • suitable groups include methyl, ethyl, propyl, butyl, pentyl, hexyl, heptyl, octyl, nonyl and decyl.
  • unsubstituted saturated alkyl amidoximes include the following:
  • alkyl group may have one or more unsaturated carbon-carbon bonds in the alkyl chain. These unsaturated group(s) may optionally be in conjugation with the amidoxime group.
  • unsaturated alkyl amidoxime molecules A specific example of an unsubstituted . unsaturated alkyl amidoxime molecules is as shown:
  • the alkyl group may also be substituted with one or more heteroatoms or groups of heteroatoms. Groups containing heteroatoms joined to carbon atoms are contained within the scope of the term "heteroalklyl".
  • the alkyl group may comprise an aldehyde, a ketone, a carboxylic acid or an amide.
  • the alkyl group may comprise the following functionalities: -(CZi)-CH-(CZ 2 )-, wherein Zi and Z 2 are independently selected from O, NH and NOH.
  • the CH in this group is further substituted with hydrogen or an alkyl group or joined to the amidoxime functional group.
  • an alkyl group appending an amidoxime group may simply be substituted with, for example one or more independently-selected halogens, for example fluorine, chlorine, bromine or iodine.
  • the halogens are substituted at the antipodal (i.e., opposite) end of the alkyl group to the amidoxime group. This arrangement may, for example, provide surfactant activity, in particular for example if the halogen is fluorine.
  • Ri and R 2 are independently selected from hydrogen and alkyl groups.
  • R 1 wherein Ri and R 2 are independently selected from hydrogen and alkyl groups.
  • the different isomers can be differentiated by carbon- 13 NMR.
  • the amidoxime may have the following chemical structure:
  • n varies from 1 to N and y varies from 1 to Y n ; N varies from 0 to 3; Y n varies from 0 to 5.
  • R 1 is independently-selected alkylene groups; R y is independently selected from alkyl, or hetero-alkyl groups, or adjoins R 1 so to form a heterocycle with the directly appending X n .
  • R 1 may also be a direct bond, so that the amidoxime group is connected directly to the one or more heteroatoms.
  • X n is a heteroatom or a group of heteroatoms selected from boron, nitrogen, oxygen, silicon, phosphorus and sulphur.
  • Each heteroatom or group of heteroatoms and each alkyl group is independently selected from one another.
  • the above formula includes an amidoxime group directly bearing an alkyl group.
  • the alkyl group is substituted with N independently-selected heteroatoms or groups of heteroatoms.
  • Each heteroatom or group of heteroatoms is itself substituted with one or more independently-selected alkyl groups or hetero-alkyl groups.
  • X may be or may comprise boron, nitrogen, oxygen, silicon, phosphorus or sulphur. In one embodiment, X is oxygen.
  • X may be part of an ether group (-O-), an ester (-O-CO-), -O-CO-O-, -O-CO-NH-, -0-CO-NR 2 -, -O- CNH-, -O-CNH-O-, -0-CNH-NH-, -0-CNH-NR 2 -, -O-CNOH-, -0-CN0H-0-, -O- CNOH-NH- or -0-CNOH-NR 2 -, wherein R 2 is independently selected alkyl group, hetero- alkyl group, or hetero-aryl group.
  • X is a nitrogen atom.
  • X may be part of one of the following groups: -NR 2 H, -NR 2 -, -NR 2 R 3 - (with an appropriate counter-ion), -NHNH-, -NH-CO-, -NR2-CO-, -NH-CO-O-, -NH-CO-NH-, - NH-CO-NR 2 -, -NR 2 -CO-NH-, -NR 2 -CO-NR 3 -, -NH-CNH-, -NR2-CNH-, -NH-CNH-O-, - NH-CNH-NH-, -NH-CNH-NR 2 -, -NR 2 -CNH-NH-, -NR 2 -CNH-NR 3 -, -NH-CNOH-, - NR2-CN0H-, -NH-CNOH-O-, -NH-CNOH-NH-, -NH-CNOH-NR 2 -, -NR 2 -CNOH-NH-,
  • R 2 to R 3 are independently selected alkyl groups, hetero-alkyl groups, or hetero-aryl groups, wherein the heteroalkyl group and hetero-aryl group may be unsubstituted or substituted with one or more heteroatoms or group of heteroatoms or itself be substituted with another heteroalkyl group. If more than one hetero-substituent is present, the substituents are independently selected from one another unless they form a part of a particular functional group (e.g., an amide group).
  • a particular functional group e.g., an amide group
  • X comprises boron. In this case, X may also comprise oxygen. In another embodiment, X comprises phosphorus. In this case, X may also comprise oxygen, for example in an -OPO(OH)(OR 2 ) group or an -OPO(OR 2 )(OR 3 ) group. In another embodiment, X comprises sulphur, for example as a thiol ether or as a sulphone.
  • heteroalkyl also includes within its scope cyclic alkyl groups containing a heteroatom. If X is N or O, examples of such groups include a lactone, lactam or lactim. Further examples of heteroalkyl groups include azetidines, oxetane, thietane, dithietane, dihydrofuran, tetrahydrofuran, dihydrothiophene, tetrahydrothiophene, piperidine, pyroline, pyrolidine, tetrahydropyran, dihydropyran, thiane, piperazine, oxazine, dithiane, dioxane and morpholine.
  • cyclic groups may be directly joined to the amidoxime group or may be joined to the amidoxime group through an alkyl group.
  • the heteroalkyl group may be unsubstituted or substituted with one or more hetero-atoms or group of hetero-atoms or itself be substituted with another heteroalkyl group. If more than one hetero-substituent is present, the substituents are independently selected from one another unless they form a part of a particular functional group (e.g. an amide group).
  • the heteroalkyl group may comprise the following functionality: -(CZi)-CH-(CZ 2 )-, wherein Zi and Z 2 are independently selected from O, NH and NOH.
  • the CH in this group is further substituted with hydrogen or an alkyl group or heteroalkyl group or joined to the amidoxime functional group.
  • Amines are versatile functional groups for use in the present invention, in part because of their ease of preparation. For example, by using acrylonitrile, a variety of functionalized amines can be synthesized. Examples include, but are not limited to:
  • R a and R b and R are independently-selected R groups as previously defined.
  • R c is an alkyl group, for example a straight-chained unsubstituted alkyl group containing 1 to 8 carbon atoms.
  • R 0 may be CH 2 -CH 2 .
  • R a and R b may be independently selected alkyl groups, for example unsubstituted alkyl groups containing 1 to 8 carbon atoms, for example methyl or ethyl.
  • Specific examples of amidoximes comprising a heteroalkyl group include:
  • R may itself be a heteroatom or group of heteroatoms.
  • the heteroatoms may be unsubstituted or substituted with one or more alkyl groups.
  • R may be H, NH 2 , NHR 1 , ORi or NRiR 2 , wherein Ri and R 2 are independently-selected alkyl groups.
  • R may be an aryl group.
  • aryl refers to a group comprising an aromatic cycle.
  • the cycle is made from carbon atoms.
  • the cycle itself may contain any number of atoms, for example 3 to 10 atoms. For the sake of convenient synthesis, cycles comprising 5 or 6 atoms have been found to be particularly useful.
  • An example of an aryl substituent is a phenyl group.
  • the aryl group may be unsubstituted.
  • a specific example of an amidoxime bearing an unsubstituted aryl is:
  • the aryl group may also be substituted with one or more alkyl groups, heteroalkyl groups or hetero-atom substituents. If more than one substituent is present, the substituents are independently selected from one another.
  • the one or more alkyl groups are the alkyl groups defined previously ' and the one or more heteroalkyl groups are the heteroalkyl groups defined previously.
  • Specific examples of substituted aryl amidoxime molecules are as shown:
  • R may also be heteroaryl.
  • heteroaryl refers to an aryl group containing one or more hetero-atoms in its aromatic cycle.
  • the one or more hetero-atoms are independently-selected from, for example, boron, nitrogen, oxygen, silicon, phosphorus and sulfur.
  • heteroaryl groups include pyrrole, furan, thiophene, pyridine, melamine, pyran, thiine, diazine and thiazine.
  • the heteroaryl group may be unsubstituted.
  • a specific example of an unsubstituted heteroaryl amidoxime molecule is as shown:
  • the heteroaryl group may be attached to the amidoxime group through its heteroatom, for example (the following molecule being accompanied by a counter anion):
  • the heteroaryl group may be substituted with one or more alkyl groups, heteroalkyl groups or hetero-atom substituents. If more than one substituent is present, the substituents are independently selected from one another.
  • the one or more alkyl groups are as defined previously and the one or more heteroalkyl groups are as defined previously.
  • aryl are alkyl-aryl groups.
  • alkyl-aryl refers to an amidoxime group bearing (i.e., directly joined to) an alkyl group (i.e., an "alkylene-aryl” group). The alkyl group is then itself substituted with an aryl group.
  • heteroaryl are alkyl-heteroaryl groups.
  • the alkyl group may be any alkyl group previously defined.
  • the aryl / heteroaryl group may also be any aryl group known in the art. Both the alkyl group and the aryl / heteroalkyl group may be unsubstituted. Specific examples of unsubstituted alkyl-aryl amidoxime molecules are as shown:
  • amidoxime molecules containing more than one amidoxime functional groups can be conveniently prepared from precursors having more than one nitrile group. Specific amidoxime molecules having two amidoxime functional groups which have been synthesised in this way include, but are not limited to:
  • nucleophiles are well known to the person skilled in the art, see for example the Guidebook to Mechanism in Organic Chemistry by Peter Sykes.
  • suitable nucleophiles are molecules having an OH, SH, NH- or a suitable CH- group, for example one having a low pK a (for example below about 15).
  • OH, SH and NH- the hydrogen is optionally removed before acting as a nucleophile in order to augment its nucleophilicity.
  • CH- they hydrogen is usually removed with a suitable base so that it can act as a nucleophile.
  • Leaving groups are well known to the person skilled in the art, see for example the Guidebook to Mechanism in Organic Chemistry by Peter Sykes.
  • suitable leaving groups include Cl, Br, I, O-tosyl, O-mesolate and other leaving group well known to the person skilled in the art.
  • the ability to act as a leaving group may be enhanced by adding an acid, either protic or Lewis.
  • a nitrile can be formed accordingly:
  • R 3 is independently selected from alkylene, heteroalkylene, arylene, heteroarylene, alkylene-heteroaryl, or alkylene-aryl group.
  • R n is independently selected
  • hetero-atom substituents 128 or more hetero-atoms or groups containing hetero-atoms. If the aryl / heteroalkyl group is substituted, it may be substituted with one or more alkyl groups, heteroalkyl groups or hetero-atom substituents. If more than one substituent is present, the substituents are independently selected from one another.
  • the alkyl group may comprise the following functionality: -(CZi)-CH-(CZ 2 )-, wherein Zi and Z 2 are independently selected from O, NH and NOH.
  • the CH in this group is further substituted with hydrogen or an alkyl group or heteroalkyl group or joined to the amidoxime functional group.
  • heteroalkyl-aryl refers to an amidoxime group bearing (i.e. directly joined to) an heteroalkyl group. The heteroalkyl group is then itself substituted with an aryl group.
  • heteroaryl are also heteroalkyl-aryl groups.
  • the heteroalkyl group may be any alkyl group known in the art or described herein.
  • the aryl / heteroaryl group may also be any aryl group known in the art or described herein. Both the heteroalkyl group and the aryl / heteroaryl group may be unsubstituted.
  • the heteroalkyl group and the aryl / heteroaryl group may be substituted. If the heteroalkyl group is substituted, it may be substituted with one or more hetero-atoms or groups containing hetero-atoms. If the aryl / heteroaryl group is substituted, it may be substituted with one or more alkyl groups, heteroalkyl groups or hetero-atom substituents. If more than one substituent is present, the substituents are independently selected from one another.
  • the heteroalkyl group may comprise the following functionality: -(CZi)-CH-(CZ 2 )-, wherein Z) and Z 2 are independently selected from O, NH and NOH.
  • the CH in this group is further substituted with hydrogen or an alkyl group or heteroalkyl group or joined to the amidoxime functional group.
  • a preferred substituent to any type of R group is a tetra-valent nitrogen.
  • any of the above groups may be substituted with -NR 3 R b R c Rd where R 3 to Rj are independently-selected R groups as defined herein.
  • R 3 to Ra are unsubstituted saturated alkyl groups having 1 to 6 carbon atoms.
  • one or more of (for example all of) R 3 to R d are methyl and / or ethyl. With this substituent, the tetra-valent nitrogen is preferably substituted in an antipodal position to the amidoxime group.
  • R is a straight-chained unsubstituted saturated alkyl group of the form (CH 2 ) n , then the tetra-valent nitrogen is at one end of the alkyl group and the amidoxime group is at the other end.
  • n is preferably 1, 2, 3, 4, 5 or 6.
  • the present invention provides an amidoxime molecule that contains only one amidoxime functional group.
  • the present invention provides an amidoxime molecule containing two or more amidoxime functional groups.
  • a large number of functional groups can be contained in a single molecule, for example if a polymer has repeating units having appending amidoxime functional groups. Examples of amidoxime compounds that contain more than one amidoxime functional groups have been described previously throughout the specification.
  • Amidoxime compounds may be conveniently prepared from nitrile- containing molecules as follows:
  • hydroxylamine is used. If one or both of R 3 and R b in the desired amidoxime is not hydrogen, the amidoxime can be prepared either using the corresponding hydroxylamine or by further reacting the
  • X may be any a nucleophile selected from O, S, N, and suitable C. N varies from 1 to 3.
  • Y is a leaving group.
  • XH OH
  • the OH may be an alcohol group or may, for example, be part of a hemiacetal or carboxylic acid group.
  • NH 2 or NHR 5 NH-CO-, NH-CNH-, NH- CHOH- or -NHNR 5 R 6 (wherein R 5 and R 6 are independently-selected alkyl, heteroalkyl, aryl, heteroaryl or alkyl-aryl).
  • R 5 and R 6 are independently-selected alkyl, heteroalkyl, aryl, heteroaryl or alkyl-aryl.
  • XH may be selected from but not limited to -CHCO-R 5 , -CHCOOH, - CHCN, -CHCO-OR 5 , -CHCO-NR 5 R 6 , -CHCNH-R 5 , -CHCNH-OR 5 , -CHCNH-NR 5 R 6 , - CHCNOH-R 5 , -CHCNOH-OR 5 and -CHCNOH-NR 5 R 6 .
  • a specific example is:
  • R 5 and R 6 are independently-selected alkyl, heteroalkyl, aryl, heteroaryl or alkyl- aryl or a heteroatom optionally substituted with any of these groups.
  • either one or both of R 5 and R 6 are oxygen or nitrogen atoms optionally independently substituted with alkyl, heteroalkyl, aryl, heteroaryl or alkyl-aryl groups, for example:
  • the compounds may also be formed by any type of nucleophilic reaction using any of the above nucleophiles.
  • X bears N independently-selected substituents.
  • Each R n is independently chosen from hydrogen, alkyl, heteroalkyl, aryl, heteroaryl and alkylaryl as previously defined.
  • X is a nucleophile as previously defined.
  • the acrylonitrile may be substituted as desired.
  • the acrylonitrile may have the following formula:
  • R 4 , R 5 and R 6 are independently selected from hydrogen, heteteroatoms, heterogroups, alkyl, heteroalkyl, aryl and heteroaryl.
  • the present invention also relates to amidoxime compounds for use in semiconductor processing prepared by the addition of a nucleophile to an unsubstituted or substituted acrylonitrile.
  • the intermediate can be functionalized using standard chemistry known to the person skilled in the art:
  • This reaction is particularly versatile, especially when applied to the synthesis of . multidentate amidoxime compounds (i.e. molecules containing two or more amidoxime functional groups). For example, it can be used to functionalize compounds having two or more NH groups. In one example, the reaction can be used to functionalize a molecule containing two or more primary amines. For example: i ⁇ — NC. ⁇ . L ⁇ . ⁇ CN where n is 1 or more, for example 1 to 24. Further functionalization of a primary amine is possible. For example, a tetradentate amidoxime, for example the functional equivalent of EDTA, may be conveniently formed:
  • R 10 is alkyl, heteroalkyl, aryl or heteroaryl.
  • Ri 0 is nothing: the starting material is hydrazine.
  • An example of this reaction where Rio is CH 2 CH 2 is provided in the examples.
  • a molecule having two or more secondary amines can be functionaized:
  • Rn and Rj 2 are independently selected alkyl, heteroalkyl, aryl or heteroaryl.
  • the secondary amines can be part of a cyclic system:
  • an oxygen nucleophile may be used to provide nitrile precursors to amidoxime molecules.
  • the nucleophile is an alcohol: where R 3 is alkyl, heteroalkyl, aryl or heteroaryl.
  • polyalcohol compounds may be functionalized.
  • Poly-alcohols are molecules that contain more than one alcohol functional group.
  • the following is a polyalcohol:
  • n is 0 or more, for example 0 to 24.
  • n is 0 (glycol).
  • n is 6 (sorbitol).
  • the polyalcohol forms part of a polymer.
  • reaction may be carried out with a polymer comprising polyethylene oxide.
  • the polymer may contain just ethylene oxide units, or may comprise polyethylene oxide units as a copolymer (i.e. with one or more other monomer units).
  • the polymer may be a block copolymer comprising polyethylene oxide.
  • the polymer may comprise a monomer unit not containing alcohol units.
  • the polymer may comprise blocks of polyethylene glycol (PEG).
  • Copolymer (e.g. block copolymers) of polyethylene oxide and polyethylene glycol may be advantageous because the surfactant properties of the blocks of polyethylene glycol can be used and controlled.
  • Carbon nucleophiles can also be used. Many carbon nucleophiles are known in the art. For example, an enol group can act as a nucleophile. Harder carbon- based nucleophiles can be generated by deprotonation of a carbon. While many carbons bearing a proton can be deprotonated if a strong enough base is provided, it is often more convenient to be able to use a weak base to generate a carbon nucleophile, for example NaOEt or LDA. As a result, in one embodiment, a CH group having a pK a of 20 or less, for example 15 or less, is deprotonated to form the carbon-based nucleophile.
  • Ri and R 2 are independently selected alkyl groups, heteroalkyl groups, aryl groups, heteroaryl groups and heteroatoms.
  • This in fact means that sometimes control of reaction conditions is preferably used to prevent a cyano compound, once formed by reaction of a nucleophile with acrylonitrile, from deprotonating at its alpha position and reacting with a second acrylonitrile group.
  • selection of base and reaction conditions e.g. temperature
  • this observation can be taken advantage of to functionalize molecules that already contain one or more nitrile functionalities.
  • the following reaction occurs in basic conditions:
  • the cyanoethylation process usually requires a strong base as a catalyst.
  • alkali metal hydroxides such as, e.g., sodium oxide, lithium hydroxide, sodium hydroxide and potassium hydroxide.
  • These metals can exist as impurities in the amidoxime compound solution.
  • the existence of such metals in the amidoxime compound solution is not acceptable for use in electronic, and more specifically, semiconductor manufacturing processes and as stabilizer for hydroxylamine freebase and other radical sensitive reaction chemicals.
  • Prefer alkali bases are metal ion free organic ammonium hydroxide compound, such as tetramethylammonium hydroxide, trimethylbenzylammonium hydroxide and the like.
  • metal ion free organic ammonium hydroxide compound such as tetramethylammonium hydroxide, trimethylbenzylammonium hydroxide and the like.
  • water may be introduced into the composition essentially only in chemically and/or physically bound form or as a constituent of the raw materials or compounds.
  • the compositon further comprises chemicals from one or more groups selecting from the following:
  • Solvent - From about 1% to 99% by weight.
  • compositions of the present invention also include 0% to about
  • water miscible organic solvents include, but are not limited to, dimethylacetamide (DMAC), N-methyl pyrrolidinone (NMP), N-Ethyl pyrrolidone (NEP), N-Hydroxyethyl Pyrrolidone (HEP), N-Cyclohexyl Pyrrolidone (CHP) dimethylsulfoxide (DMSO), Sulfolane, dimethylformamide (DMF), N-methylformamide (NMF), formamide, Monoethanol amine (MEA), Diglycolamine, dimethyl-2-piperidone (DMPD), morpholine, N-morpholine-N-Oxide (NMNO), tetrahydrofurfuryl alcohol, cyclohexanol, cyclohexanone, polyethylene glycols and polypropylene glycols, glycerol, glycerol carbonate, triacetin, ethylene glycol, propylene glycol, propylene glycol, propy
  • Acids - From about 0.001% to 15% by weight [00472] Acids - From about 0.001% to 15% by weight [00473] Possible acids are either inorganic acids or organic acids provided these are compatible with the other ingredients. Inorganic acids include hydrochloric acid, hydrofluoric acid, sulfuric acid, phosphoric acid, phosphorous acid, hypophosphorous acid, phosphonic acid, nitric acid, and the like.
  • Organic acids include monomelic and/or polymeric organic acids from the groups of unbranched saturated or unsaturated monocarboxylic acids, of branched saturated or unsaturated monocarboxylic acids, of saturated and unsaturated dicarboxylic acids, of aromatic mono-, di- and tricarboxylic acids, of sugar acids, of hydroxy acids, of oxo acids, of amino acids and/or of polymeric carboxylic acids are preferred.
  • aromatic mono-, di- and tricarboxylic acids benzoic acid, 2-carboxybenzoic acid (phthalic acid), 3-carboxybenzoic acid (isophthalic acid), 4- carboxybenzoic acid (terephthalic acid), 3,4-dicarboxybenzoic acid (trimellitic acid), and 3,5-dicarboxybenzoic acid (trimesionic acid).
  • sugar acids galactonic acid, mannonic acid, fructonic acid, arabinonic acid, xylonic acid, ribonic acid, 2- deoxyribonic acid, alginic acid.
  • hydroxy acids hydroxyphenylacetic acid (mandelic acid), 2-hydroxypropionic acid (lactic acid), hydroxysuccinic acid (malic acid), 2,3- dihydroxybutanedioic acid (tartaric acid), 2-hydroxy-l,2,3-propanetricarboxylic acid (citric acid), ascorbic acid, 2-hydroxybenzoic acid (salicylic acid), an d 3,4,5- trihydroxybenzoic acid (gallic acid).
  • oxo acids 2-oxopropionic acid (pyruvic acid) and 4-oxopentanoic acid (levulinic acid).
  • amino acids From the group of amino acids: alanine, valine, leucine, isoleucine, proline, tryptophan, phenylalanine, methionine, glycine, serine, tyrosine, threonine, cysteine, asparagine, glutamine, aspartic acid, glutamic acid, lysine, arginine, and histidine.
  • Possible bases are either inorganic bases or organic bases provided these are compatible with the other ingredients.
  • Inorganic bases include sodium hydroxide, lithium hydroxide, potassium hydroxide, ammonium hydroxide and the like.
  • Organic bases including organic amines, and quaternary alkylammonium hydroxide which may include, but are not limited to, tetramethyl ammonium hydroxide (TMAH), TMAH pentahydrate, benzyltetramethylammonium hydroxide (BTMAH), TBAH, choline, and Tris(2-hydroxyethyl)methylammonium hydroxide (TEMAH).
  • the cleaning compositions comprise one or more substances from the group of activators, in particular from the groups of polyacylated alkylenediamines, in particular tetraacetylethylenediamine (TAED), N- acylimides, in particular N-nonanoylsuccinimide (NOSI), acylated phenolsulfonates, in particular n-nonanoyl- or isononanoyloxybenzenesulfonate (n- or iso-NOBS) and n- methylmorpholiniumacetonitrile, methylsulfate (MMA), and "nitrile quaternary" compound in amounts of from 0.1 to 20% by weight, preferably from 0.5 to 15% by weight and in particular from 1 to 10% by weight, in each case based on the total composition to enhance the oxidation/reduction performance of the cleaning solutions.
  • the "nitrile quats", cationic nitrites has the formula,
  • These compounds include hydroxylamine and its salts, such as hydroxylamine chloride, hydroxylamine nitrate, hydroxylamine sulfate, hydroxylamine phosphate or its derivatives, such as N,N-diethylhydroxylamine, N-Phenylhydroxylamine, hydrazine and its derivatives; hydrogen peroxide; persulfate salts of ammonium, potassium and sodium, permanganate salt of potassium, sodium; and other sources of peroxide are selected from the group consisting of: perborate monohydrate, perborate tetrahydrate, percarbonate, salts thereof, and combinations thereof. For environmental reasons, hydroxylamine phosphate is not preferred.
  • diacyl peroxides such as, for example, dibenzoyl peroxide.
  • peroxy acids such as the alkyl peroxy acids and the aryl peroxy acids.
  • Preferred representatives are (a) peroxybenzoic acid and its ring substituted derivatives, such as alkylperoxybenzoic acids, but also peroxy-a-naphthoic acid and magnesium monoperphthalate, (b) the aliphatic or substituted aliphatic peroxy acids, such as peroxylauric acid, peroxystearic acid, c-phthalimidoperoxycaproic acid [phthaloiminoperoxyhexanoic acid (PAP)], o-carboxybenzamidoperoxycaproic acid, N- nonenylamidoperadipic acid and N-nonenylamidopersuccinate, and (c) aliphatic and araliphatic peroxydicarboxylic acids, such as 1,2-diperoxycarboxylic acid, 1,9- diperoxyazelaic acid, diperoxysebacic acid, diperoxybrassylic acid, the diperoxyphthalic acids, 2-decyldiperoxybutane-l,
  • the cleaning composition comprises (by weight of the composition) from 0.0% to 15% of additional one or more chelant.
  • Chelate complexing agents are substances which form cyclic compounds with metal ions, where a single ligand occupies more than one coordination site on a central atom, i.e. is at least "bidentate". In this case, stretched compounds are thus normally closed by complex formation via an ion to give rings. The number of bonded ligands depends on the coordination number of the central ion.
  • Complexing groups (ligands) of customary complex forming polymers are iminodiacetic acid, hydroxyquinoline, thiourea, guanidine, dithiocarbamate, hydroxamic acid, amidoxime, aminophosphoric acid, (cycl.) polyamino, mercapto, 1,3- dicarbonyl and crown ether radicals, some of which have very specific activities toward ions of different metals.
  • complexing agents of the prior art may belong to different chemical groups.
  • the chelating/complexing agents include the following, individually or in a mixture with one another:
  • polycarboxylic acids in which the sum of the carboxyl and optionally hydroxyl groups is at least 5, such as gluconic acid;
  • nitrogen-containing mono- or polycarboxylic acids such as ethyle ⁇ ediaminetetraacetic acid (EDTA), N-hydroxyethylethylenediaminetriacetic acid, diethylenetriaminepentaacetic acid, hydroxy-ethyliminodiacetic acid, nitridodi acetic acid- 3-propionic acid, isoserinediacetic acid, N,N-di(.beta.-hydroxyethyl)glycine, N-(l,2- dicarboxy-2-hydroxyethyl)glycine, N-(l,2-dicarboxy-2-hydroxyethyl)-aspartic acid or nitrilotriacetic acid (NTA); [00488] 3) geminal diphosphonic acids, such as l-hydroxyethane-1,1- diphosphonic acid (HEDP), higher homologs thereof having up to 8 carbon atoms, and hydroxy or amino group-containing derivatives thereof and l-a
  • HEDP
  • aminophosphonic acids such as ethylenediamine- tetra(methylenephosphonic acid); diethylenetriaminepenta(methylenephosphonic acid) or nitrilotri(methylenephosphonic acid);
  • phosphonopolycarboxylic acids such as 2-phosphonobutane- 1,2,4- tricarboxylic acid
  • compositions according to the invention may thus also comprise anionic, cationic, and/or amphoteric surfactants as surfactant component.
  • Source of fluoride ions From an amount about 0.001% to 10%
  • Sources of fluoride ions include, but are not limited to, ammonium bifluoride, ammonium fluoride, hydrofluoric acid, sodium hexafluorosilicate, fluorosilicic acid and tetrafluoroboric acid.
  • the solution according to the present invention can also be used in an immersion bath for a batch type cleaning process and provide improved cleaning.
  • compositions can be metered and mixed in situ just prior dispensing to the substrate surface for treatment.
  • analytical devices can be installed to monitor the composition and chemical ingredients can be re-constituted to mixture to the specification to deliver the cleaning performance.
  • Critical paramenters that can be monitored includes physical and chemical properties of the composition, such as pH, water concentration, oxidation/reduction potential and solvent components.
  • N3 represents 3-hydroxypropionitrile and AO3 is N',3- dihydroxypropanimidamide from reacting 3-hydroxypropionitrile with hydroxylamine to form its corresponding amidoxime.
  • CE36 represents cyanoethylated product of ethylene glycol and AO36 is from reacting3-(2-ethoxyethoxy) propanenitrile with hydroxylamine to form its corresponding amidoxime.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

La présente invention concerne une solution de nettoyage aqueuse inédite utilisable dans le cadre du processus d'une unité de fabrication initiale de semi-conducteurs (FEOL), ladite solution de nettoyage comprenant au moins un composé d'amidoxime.
PCT/US2008/012237 2007-12-31 2008-10-29 Composition comprenant des agents chélateurs contenant des composés d'amidoxime WO2009085072A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US621807P 2007-12-31 2007-12-31
US61/006,218 2007-12-31

Publications (1)

Publication Number Publication Date
WO2009085072A1 true WO2009085072A1 (fr) 2009-07-09

Family

ID=40262203

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/012237 WO2009085072A1 (fr) 2007-12-31 2008-10-29 Composition comprenant des agents chélateurs contenant des composés d'amidoxime

Country Status (1)

Country Link
WO (1) WO2009085072A1 (fr)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838483B2 (en) 2008-10-29 2010-11-23 Ekc Technology, Inc. Process of purification of amidoxime containing cleaning solutions and their use
US7947130B2 (en) 2009-10-24 2011-05-24 Wai Mun Lee Troika acid semiconductor cleaning compositions and methods of use
US8062429B2 (en) 2007-10-29 2011-11-22 Ekc Technology, Inc. Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
CN103605270A (zh) * 2013-10-31 2014-02-26 合肥中南光电有限公司 一种光刻胶水基硅片清洗液及其制备方法
US8802609B2 (en) 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
CN105936824A (zh) * 2016-04-06 2016-09-14 深圳多元拓展环保科技有限公司 一种土壤重金属活化剂及其制备方法和应用
JPWO2015030060A1 (ja) * 2013-08-28 2017-03-02 日産化学工業株式会社 レジスト下層膜を適用したパターン形成方法
CN106905189A (zh) * 2017-03-01 2017-06-30 苏州汶颢微流控技术股份有限公司 用于乙二醇双(丙腈)醚合成的微反应器系统和方法
CN107620206A (zh) * 2017-09-05 2018-01-23 海南大学 氰基化合物的偕胺肟化方法
CN109694782A (zh) * 2017-10-24 2019-04-30 蓝思科技(长沙)有限公司 一种陶瓷清洗剂及其制备方法
CN110850691A (zh) * 2019-12-03 2020-02-28 苏州博洋化学股份有限公司 一种用于叠层晶圆的光刻胶剥离液

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004099086A1 (fr) * 2003-05-07 2004-11-18 Ebara Corporation Cartouche filtrante pour fluides afin de traiter la surface du substrat d'un dispositif electronique
WO2006107517A2 (fr) * 2005-04-04 2006-10-12 Mallinckrodt Baker, Inc. Composition permettant d'eliminer une photoresine a implantation ionique dans des applications feol

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004099086A1 (fr) * 2003-05-07 2004-11-18 Ebara Corporation Cartouche filtrante pour fluides afin de traiter la surface du substrat d'un dispositif electronique
WO2006107517A2 (fr) * 2005-04-04 2006-10-12 Mallinckrodt Baker, Inc. Composition permettant d'eliminer une photoresine a implantation ionique dans des applications feol

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8062429B2 (en) 2007-10-29 2011-11-22 Ekc Technology, Inc. Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
US8802609B2 (en) 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
US7838483B2 (en) 2008-10-29 2010-11-23 Ekc Technology, Inc. Process of purification of amidoxime containing cleaning solutions and their use
US7947130B2 (en) 2009-10-24 2011-05-24 Wai Mun Lee Troika acid semiconductor cleaning compositions and methods of use
US8303839B2 (en) 2009-10-24 2012-11-06 Wai Mun Lee Trioka acid semiconductor cleaning compositions and methods of use
JPWO2015030060A1 (ja) * 2013-08-28 2017-03-02 日産化学工業株式会社 レジスト下層膜を適用したパターン形成方法
CN103605270A (zh) * 2013-10-31 2014-02-26 合肥中南光电有限公司 一种光刻胶水基硅片清洗液及其制备方法
CN105936824A (zh) * 2016-04-06 2016-09-14 深圳多元拓展环保科技有限公司 一种土壤重金属活化剂及其制备方法和应用
CN106905189A (zh) * 2017-03-01 2017-06-30 苏州汶颢微流控技术股份有限公司 用于乙二醇双(丙腈)醚合成的微反应器系统和方法
CN106905189B (zh) * 2017-03-01 2019-03-26 苏州汶颢微流控技术股份有限公司 用于乙二醇双(丙腈)醚合成的微反应器系统和方法
CN107620206A (zh) * 2017-09-05 2018-01-23 海南大学 氰基化合物的偕胺肟化方法
CN109694782A (zh) * 2017-10-24 2019-04-30 蓝思科技(长沙)有限公司 一种陶瓷清洗剂及其制备方法
CN110850691A (zh) * 2019-12-03 2020-02-28 苏州博洋化学股份有限公司 一种用于叠层晶圆的光刻胶剥离液

Similar Documents

Publication Publication Date Title
US8062429B2 (en) Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
US20100105595A1 (en) Composition comprising chelating agents containing amidoxime compounds
US20090133716A1 (en) Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
US20090107520A1 (en) Amidoxime compounds as chelating agents in semiconductor processes
WO2009085072A1 (fr) Composition comprenant des agents chélateurs contenant des composés d'amidoxime
US20090137191A1 (en) Copper cmp polishing pad cleaning composition comprising of amidoxime compounds
JP7005562B2 (ja) 化学機械研磨後製剤および使用方法
US10731109B2 (en) Post chemical mechanical polishing formulations and method of use
US20090130849A1 (en) Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
US20110065622A1 (en) Novel nitrile and amidoxime compounds and methods of preparation for semiconductor processing
US11845917B2 (en) Compositions and methods for post-CMP cleaning of cobalt substrates
US7922823B2 (en) Compositions for processing of semiconductor substrates
TW201800571A (zh) 鎢之化學機械研磨後清洗組合物
JP2012060050A (ja) 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
JP2003289060A (ja) 半導体デバイス用基板の洗浄液および洗浄方法
KR20210024187A (ko) 부식 억제제를 갖는 세정 조성물
US8802609B2 (en) Nitrile and amidoxime compounds and methods of preparation for semiconductor processing

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08868470

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08868470

Country of ref document: EP

Kind code of ref document: A1