WO2008085805A1 - Formation of vertical devices by electroplating - Google Patents

Formation of vertical devices by electroplating Download PDF

Info

Publication number
WO2008085805A1
WO2008085805A1 PCT/US2008/000003 US2008000003W WO2008085805A1 WO 2008085805 A1 WO2008085805 A1 WO 2008085805A1 US 2008000003 W US2008000003 W US 2008000003W WO 2008085805 A1 WO2008085805 A1 WO 2008085805A1
Authority
WO
WIPO (PCT)
Prior art keywords
metal
layer
electroplating
vertical
metal contact
Prior art date
Application number
PCT/US2008/000003
Other languages
French (fr)
Inventor
Hariklia Deligianni
Qiang Huang
John P. Hummel
Lubomyr T. Romankiw
Mary B. Rothwell
Original Assignee
International Business Machines Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corporation filed Critical International Business Machines Corporation
Priority to JP2009544909A priority Critical patent/JP5284981B2/en
Priority to KR1020097011935A priority patent/KR101054841B1/en
Priority to EP08712915.1A priority patent/EP2100319B1/en
Priority to CN2008800016534A priority patent/CN101652826B/en
Publication of WO2008085805A1 publication Critical patent/WO2008085805A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H51/00Electromagnetic relays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S205/00Electrolysis: processes, compositions used therein, and methods of preparing the compositions
    • Y10S205/924Electrolytic coating substrate predominantly comprised of specified synthetic resin
    • Y10S205/925Synthetic resin is electrically conductive

Definitions

  • the present invention relates to formation of vertical devices by electroplating, and more specifically, to formation of vertical structures using electroplating techniques.
  • Electroplating which is also referred to as electrodeposition, has been widely used for metallization in semiconductor manufacturing. Electroplating is particularly useful for forming deep metal vias that extend through the inter-level dielectric (ILD) layers to connect the metal contacts in different metal levels.
  • ILD inter-level dielectric
  • a template structure is formed, which comprises a substrate 100 and an inter-level dielectric (ILD) layer 101, as shown in FIG. IA.
  • the substrate 100 may be formed of either an insulator or a semiconductor with little or practically no conductivity.
  • the ILD layer 101 contains deep vias 102 therein, which can be readily formed by well-known photolithography and etching techniques.
  • a continuous metal seed layer 103 is deposited over the entire template structure, as shown in FIG. IB.
  • the metal seed layer 103 covers both the top surface of the ILD layer 101 and the sidewalls and bottom surfaces of the deep vias 102.
  • the metal seed layer 103 comprises one or more contacts (not shown), through which an electroplating current can be applied to the metal seed layer 103.
  • Electroplating of the template structure is then carried out by using a special electroplating chemistry, which preferentially deposits metal 104 into the deep vias 102 of the ILD layer 101 (i.e., the metal 104 is deposited into the deep vias 102 at a rate that is significantly faster than on the top surface of the ILD layer 101) to form metal wire structures free of voids, as shown in FIG. 1C.
  • a special electroplating chemistry which preferentially deposits metal 104 into the deep vias 102 of the ILD layer 101 (i.e., the metal 104 is deposited into the deep vias 102 at a rate that is significantly faster than on the top surface of the ILD layer 101) to form metal wire structures free of voids, as shown in FIG. 1C.
  • the above-described superfilling method has advantages in filling vias with a single element or a homogeneous alloy of two or more different element.
  • the superfilling method cannot be used to form a column with modulated compositions along a longitudinal axis thereof, due to several reasons.
  • the electroplating step in this method proceeds simultaneously on the bottom surface and over the sidewalls of the deep vias 102. Therefore, the superfilling method can only be used to form compositionally homogeneous structures (i.e., structures comprising the same metal or metal alloy throughout the entire structures), but not compositionally modulated structures (i.e., structures comprising alternating layers of different material compositions along the longitudinal direction).
  • the superfilling method requires a special electroplating chemistry, which contains numerous different additives, each of which exerts a different effect on the metal plating rate to jointly achieve the preferential metal deposition. Therefore, the superfilling method has so far only been used for plating of a single metal, such as copper, but not for plating of metal alloys or alternating layers of different metals, due to uncertainties related to how the different additives in the special electroplating chemistry will affect the plating rates of different metals.
  • FIGS. 2A-2B Another conventional electroplating method, which is commonly used for forming metal wire structures, is referred to as the plating through mask method and is illustrated by FIGS. 2A-2B.
  • a continuous metal seed layer 203 is firstly deposited over a surface of the substrate 200, followed by the deposition of an inter-level layer 201 of photo-resist, dielectrics, or doped semiconductors with relatively low conductivity over the metal seed layer 203.
  • deep vias 202 are formed in the inter-level layer 201 by photolithography and etching, as shown in FIG. 2A.
  • the plating through mask method is a bottom-up filling process, which can be used for forming not only metal wire structures that comprise a single metal, but also those comprising metal alloys. Further, it can be used to form compositionally modulated structures comprising alternating layers of different material compositions along longitudinal axes of the structures.
  • a major disadvantage of the traditional plating through mask or bottom-up plating process is the requirement for the continuous metal seed layer 203. Because the metal seed layer 203 is continuous over the entire surface of the substrate 200 and connects all the metal wire structures, such metal wires cannot function independently of one another and therefore cannot be used to form separate electronic devices, unless the metal seed layer 203 is selectively removed. However, because the metal seed layer 203 is sandwiched between the inter-level layer 201 and the substrate 200, it is almost impossible to remove it without disrupting or damaging the inter-level layer 201 and the substrate 200.
  • the present invention employs discrete metal contact pads and metal vias for applying the electroplating current during the electroplating step.
  • Such discrete metal contact pads and metal vias remain parts of the final devices and therefore allow the formation of vertical conductive structures that contain alloys of different conductive materials or alternating layers of different conductive materials, but without connecting all the vertical conductive structures together or otherwise affecting the independent functionality of each vertical conductive structures. In this manner, the resulting vertical conductive structures can be readily used to form separate electronic devices.
  • the present invention relates to a method comprising: forming a template structure comprising a substrate, a discrete metal contact pad located over a top surface of the substrate, an inter-level dielectric (ILD) layer covering both the substrate and the metal contact pad, and a metal via structure extending through the ILD layer(s) to the discrete metal contact pad; forming a vertical via in the template structure, wherein the vertical via extends through the ILD layer onto the discrete metal contact pad; and forming a vertical conductive structure in the vertical via by electroplating, wherein the electroplating is conducted by applying an electroplating current to the discrete metal contact pad under the ILD layer through the metallic via structure.
  • ILD inter-level dielectric
  • the vertical conductive structure comprises one or more ferromagnetic metals. More preferably, the vertical conductive structure comprises alternating layers of different ferromagnetic metals. Alternatively, the vertical conductive structure may comprise conductive polymers or doped semiconductor materials.
  • the template structure as described hereinabove may comprise a single metal contact pad on the upper surface of the substrate, with a single metal via structure and a single vertical via extending thereto for formation of a single vertical conductive structure. More preferably, the template structure of the present invention comprises multiple discrete metal contact pads located on the upper surface of the substrate and having multiple metal via structures and multiple vertical vias extending thereto. In this manner, multiple vertical conductive structures can be subsequently formed by electroplating in a single wafer or chip, while the resulted vertical conductive structures are not interconnected with one another.
  • the template structure further comprises a patterned metal layer that is located on an upper surface of the ILD layer and is electrically connected to all of the metal via structures, so that the electroplating can be carried out by applying an electroplating current to the multiple discrete metal contact pads through the patterned metal layer and the multiple metal via structures.
  • the patterned metal layer is preferably formed before the vertical vias. More preferably, it is formed by first depositing a blanket metal layer over the ILD layer and then patterning the blanket metal layer to form multiple openings that each is vertically aligned with one of the multiple discrete metal contact pads.
  • an insulating layer can be formed over the patterned metal layer before formation of the multiple vertical vias, so that the subsequently formed vertical vias extend through both the insulating layer and the ILD layer. More preferably, the patterned metal layer is completely covered by the insulating layer, except in the edge region of the processing unit, i.e., wafer or substrate, so that the electroplating current can be carried through the edge region to the patterned metal layer during subsequent electroplating. After electroplating, both the patterned metal layer and the insulating layer are removed from the upper surface of the ILD layer, and multiple surface metal contacts can be formed thereover to provide access to the multiple vertical conductive structures.
  • the present invention relates to a device structure that comprises a substrate, a metal contact pad located over a top surface of the substrate, an inter-level dielectric (ILD) layer covering both the substrate and the metal contact pad, a metal via structure extending through the ILD layer to the discrete metal contact pad, and a vertical conductive structure extends through the ILD layer onto the discrete metal contact pad.
  • ILD inter-level dielectric
  • FIGS. 1 A-IC are cross-sectional views that illustrate the processing steps of a conventional superfilling process for forming deep metal vias.
  • FIG. 2A-2B are cross-sectional views that illustrate the processing steps of a conventional plating through mask process for forming deep metal vias.
  • FIG. 3 is a cross-sectional view of two electronic devices, each comprising a functional unit, two auxiliary units, a metal contact pad at each end of the functional unit, and a metal via structure extending through the ILD layer to the metal contact pad that is located over a non-conductive substrate, according to one embodiment of the present invention.
  • the metal via structures together with the metal contact pads provide access to the functional units.
  • FIGS. 4-10 are cross-sectional views that illustrate exemplary processing steps for forming the device structure of FIG. 3, according to one embodiment of the present invention.
  • metal contact refers to metal structures of limited lateral extension. Unlike a continuous metal layer that covers the entire substrate surface or a majority portion thereof, the metal contact or metal contacts only extend(s) over a selected region or selected regions of the substrate surface upon which subsequent device structures are to be built.
  • the metal contact or metal contacts can be formed by patterning a continuous metal layer.
  • discrete refers to structures that are isolated from each other with no overlap therebetween.
  • ferrromagnetic material refers to any material that can be magnetized by applying an external magnetic field and exhibits remnant magnetization after the external magnetic field is removed.
  • the present invention uses discrete metal contact pads and metal via structures, which are the existing elements of an integrated circuit, to apply electroplating current during electroplating for forming vertical conductive structures.
  • the resulting vertical conductive structures preferably, but not necessarily, contain alloys of different conductive materials (i.e., metals, conductive polymers, or doped semiconductors) or alternating layers of different conductive materials.
  • the present invention is particularly useful for forming ferromagnetic structures that comprise alternating ferromagnetic layers of different material compositions.
  • applications of the present invention are not limited to ferromagnetic structures, but extend broadly to cover any device structure that requires vertical conductive structures.
  • FIG. 3 shows a cross-sectional view of a device structure containing two general electronic devices with vertical conductive structures 305.
  • Each of the electronic devices may include: (1) a vertical functional unit, i.e., the vertical conductive structure 305, (2) conductive contacts 302 and 304 at both ends of the functional unit 305, (3) a metal via 303 that extends onto the bottom conductive contact 302 to provide access to the bottom conductive contact 302, and (4) certain auxiliary elements and associated circuits 306.
  • the auxiliary elements and circuits 306 can be either reading and writing elements or other sensing and controlling elements for the functional unit 305.
  • the device structure as described hereinabove is formed over a non- conductive substrate 300 with an ILD layer 301 located thereover.
  • the non- conductive substrate 300 may comprise any suitable non-conductive material, and it preferably comprises a ceramic, dielectric, glass or polymer material, including, but not limited to: Al 2 O 3 , SiO 2 , Si 3 N 4 , and HfO 2 .
  • the non-conductive substrate 300 may comprise an un-doped or lowly doped semiconductor material, including, but not limited to: Si, SiC, SiGe, SiGeC, Ge alloys, GaAs, InAs, InP, as well as other III- V or II- VI compound semiconductors.
  • the ILD layer 301 may be formed of any suitable photoresist or dielectric material, such as, for example, SiO 2 , Si 3 N 4 , HfO 2 , and Al 2 O 3 .
  • the device structure of FIG. 3 can be formed by exemplary processing steps as illustrated by FIGS. 4-10, according to one embodiment of the present invention.
  • FIG. 4 shows a template structure that comprises the non-conductive substrate 300, the ILD layer 301, the bottom metal contacts 302, the metal vias 303, and the auxiliary elements 306 (optional).
  • a template structure can be readily formed by conventional semiconductor processing and metallization techniques, which are not described here in order to avoid obscuring the present invention.
  • a patterned metal layer 404 is deposited over a top surface of the ILD layer 301.
  • the patterned metal layer 404 forms an electrical contact with all the metal vias 303, and through such metal vias 303, the patterned metal layer 404 forms electrical contact with all the bottom metal contacts 302.
  • the patterned metal layer 404 can be readily formed by first depositing a blanket metal layer (not shown) over the entire top surface of the ILD layer 301, followed by patterning the blanket metal layer (not shown) to form multiple openings 405.
  • Each of the openings 405 in the patterned metal layer 404 is vertically aligned with one of the bottom metal contacts 302, thereby defining the locations where the vertical conductive structures 305 are to be formed.
  • Patterning of the blanket metal layer (not shown) can be readily carried out using any conventional metal patterning techniques, such as lithography, etch back, lift-off, etc.
  • a layer of insulating material 406 is then deposited over the patterned metal layer 404 to cover the entire layer 404 except at the edge region 407.
  • the insulating material layer 406 can be formed of the same insulating material as the ILD layer 301, but generally it is formed of a different insulating material.
  • the edge region 407 denotes the edge region of the wafer.
  • the patterned metal layer 404 can still be accessed at the edge region 407 after formation of the insulating material layer 406, which the patterned metal layer 404 in turn provides access to the bottom metal contacts 302 through the metal vias 303.
  • a patterning process (preferably an etching process, such as reactive ion etching) is carried out to form vertical vias (or deep vias) 408 through the insulating layer 406 and the ILD layer 301.
  • the dimension and shape of the vertical vias 408 define the dimension and shape of the vertical conductive structures 305 to be formed.
  • the vertical vias 408 can be of any size or shape, depending on the requirements for the final devices and the limitations of the fabrication process.
  • each of the vertical vias 408 so formed has a depth ranging from about 1 micron to about 1000 microns and a cross-sectional diameter ranging from about 10 nm to about 1000 run.
  • the vertical vias 408 can have any suitable cross-sectional shape, including, but not limited to: circular, square, rectangular, triangular, polygonal, semi-circular, elliptical, ring-shaped, etc.
  • the etching process may comprise either a single etching step or multiple etching steps.
  • electroplating is carried out by first immersing the above- described structure in an electroplating solution and then applying an electroplating current to the patterned metal layer 404 by contacting the edge region 407. In this manner, the electroplating current is carried through the patterned metal layer 404 and the metal vias 303 to the discrete metal contact pads 302 at the bottom surface of the ILD layer 301, so that a conductive material 305 is deposited onto the discrete metal contact pads 302 and gradually fills the vertical vias 408 in a bottom-up manner.
  • the electroplating solution as described hereinabove may comprise a single salt for deposition of a single elemental, or salts of different elements for deposition of an alloy.
  • the resulted structure 305 can comprise any suitable conductive materials, such as metals, doped semiconductors, conductive polymers, and alloys thereof, but it preferentially comprises a metal or metal alloy. Electrodeposition of metals, doped semiconductors, and conductive polymers is well known in the art and is therefore not described in detail herein.
  • the electroplating solution comprises salts of two or more different ferromagnetic metals for deposition of a ferromagnetic metal alloy. More preferably, the electroplating can be carried out by applying a pulsed electroplating current with high and low potential pulses for deposition of alternating ferromagnetic layers of different material compositions.
  • the electroplating step is allowed to proceed until the vertical vias 408 are completely filled with the metal to form vertical conductive structures 305, as shown in FIG. 8.
  • the dimension and shape of the vertical conductive structures 305 are defined by the vertical vias 408. Therefore, the vertical conductive structures 305 may also have a depth ranging from about 1 micron to about 1000 microns and a cross-sectional diameter ranging from about 10 nm to about 1000 nm, and they may also have any suitable cross-sectional shape, including, but not limited to: circular, square, rectangular, triangular, polygonal, semi-circular, elliptical, ring-shaped, etc.
  • etching and/or polishing steps can be carried out to remove the overgrown portions of the vertical conductive structures 305, the insulating layer 406, and the patterned metal layer 404, and to planarize the again- exposed upper surface of the ILD layer 301 with the metal via 303 and the newly formed vertical conductive structure 305, as shown in FIG. 9.
  • a patterned insulating layer 410 is deposited over the exposed upper surface of the ILD layer 301, as shown in FIG. 10.
  • the patterned insulating layer 410 may comprise either the same or different material from that of the ILD layer 301, and it contains multiple openings 411, through which the vertical conductive structures 305 and the metal vias 303 are exposed. In this manner, surface metal contacts 304 can be formed in the openings 411 to provide access to the vertical conductive structures 305 and the metal vias 303, thereby forming a complete device structure as shown in FIG. 3.
  • FIGS. 3-10 illustratively demonstrate exemplary device structures and processing steps according to specific embodiments of the present invention
  • a person ordinarily skilled in the art can readily modify such device structures and processing steps for adaptation to specific application requirements, consistent with the above descriptions.
  • the exemplary device structures as shown in FIGS. 3-10 each contain a single ILD layer, two discrete metal contact pads and two vertical conductive structures
  • the device structure of the present invention may comprise any numbers of ILD layers, discrete metal contact pads, and vertical conductive structures.
  • the device substrates of the present invention can be readily used for forming any semiconductor devices that require vertical conductive structures with at least one bottom metal contacts.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

The present invention is related to a method for forming vertical conductive structures by electroplating. Specifically, a template structure is first formed, which includes a substrate, a discrete metal contact pad located on the substrate surface, an inter-level dielectric (ILD) layer over both the discrete metal contact pad and the substrate, and a metal via structure extending through the ILD layer onto the discrete metal contact pad. Next, a vertical via is formed in the template structure, which extends through the ILD layer onto the discrete metal contact pad. A vertical conductive structure is then formed in the vertical via by electroplating, which is conducted by applying an electroplating current to the discrete metal contact pad through the metal via structure. Preferably, the template structure comprises multiple discrete metal contact pads, multiple metal via structures, and multiple vertical vias for formation of multiple vertical conductive structures.

Description

FORMATION OF VERTICAL DEVICES BY ELECTROPLATING
FIELD OF THE INVENTION
[0001] The present invention relates to formation of vertical devices by electroplating, and more specifically, to formation of vertical structures using electroplating techniques.
BACKGROUND OF THE INVENTION
[0002] Electroplating, which is also referred to as electrodeposition, has been widely used for metallization in semiconductor manufacturing. Electroplating is particularly useful for forming deep metal vias that extend through the inter-level dielectric (ILD) layers to connect the metal contacts in different metal levels.
[0003] One of the conventional electroplating methods commonly used for copper metallization in semiconductor manufacturing processes is referred to as the damascene or superfilling method, as disclosed in U.S. Patent No. 6,709,562 entitled "METHOD OF MAKING ELECTROPLATED INTERCONNECTION STRUCTURES ON INTEGRATED CIRCUIT CHIPS" and illustrated herein by FIGS. IA- 1C. First, a template structure is formed, which comprises a substrate 100 and an inter-level dielectric (ILD) layer 101, as shown in FIG. IA. The substrate 100 may be formed of either an insulator or a semiconductor with little or practically no conductivity. The ILD layer 101 contains deep vias 102 therein, which can be readily formed by well-known photolithography and etching techniques. Next, a continuous metal seed layer 103 is deposited over the entire template structure, as shown in FIG. IB. The metal seed layer 103 covers both the top surface of the ILD layer 101 and the sidewalls and bottom surfaces of the deep vias 102. The metal seed layer 103 comprises one or more contacts (not shown), through which an electroplating current can be applied to the metal seed layer 103. Electroplating of the template structure is then carried out by using a special electroplating chemistry, which preferentially deposits metal 104 into the deep vias 102 of the ILD layer 101 (i.e., the metal 104 is deposited into the deep vias 102 at a rate that is significantly faster than on the top surface of the ILD layer 101) to form metal wire structures free of voids, as shown in FIG. 1C.
[0004] The above-described superfilling method has advantages in filling vias with a single element or a homogeneous alloy of two or more different element. However, the superfilling method cannot be used to form a column with modulated compositions along a longitudinal axis thereof, due to several reasons. First, the electroplating step in this method proceeds simultaneously on the bottom surface and over the sidewalls of the deep vias 102. Therefore, the superfilling method can only be used to form compositionally homogeneous structures (i.e., structures comprising the same metal or metal alloy throughout the entire structures), but not compositionally modulated structures (i.e., structures comprising alternating layers of different material compositions along the longitudinal direction). Further, the superfilling method requires a special electroplating chemistry, which contains numerous different additives, each of which exerts a different effect on the metal plating rate to jointly achieve the preferential metal deposition. Therefore, the superfilling method has so far only been used for plating of a single metal, such as copper, but not for plating of metal alloys or alternating layers of different metals, due to uncertainties related to how the different additives in the special electroplating chemistry will affect the plating rates of different metals.
[0005] Another conventional electroplating method, which is commonly used for forming metal wire structures, is referred to as the plating through mask method and is illustrated by FIGS. 2A-2B. In this method, a continuous metal seed layer 203 is firstly deposited over a surface of the substrate 200, followed by the deposition of an inter-level layer 201 of photo-resist, dielectrics, or doped semiconductors with relatively low conductivity over the metal seed layer 203. Next, deep vias 202 are formed in the inter-level layer 201 by photolithography and etching, as shown in FIG. 2A. During subsequent electroplating, an electroplating current is applied to the metal seed layer 203 to deposit a metal 204 over the bottom surfaces of the deep vias 202 and gradually fill up the deep vias 202 to form vertical metal wire structures, as shown in FIG. 2B. [0006] The plating through mask method is a bottom-up filling process, which can be used for forming not only metal wire structures that comprise a single metal, but also those comprising metal alloys. Further, it can be used to form compositionally modulated structures comprising alternating layers of different material compositions along longitudinal axes of the structures.
[0007] However, a major disadvantage of the traditional plating through mask or bottom-up plating process is the requirement for the continuous metal seed layer 203. Because the metal seed layer 203 is continuous over the entire surface of the substrate 200 and connects all the metal wire structures, such metal wires cannot function independently of one another and therefore cannot be used to form separate electronic devices, unless the metal seed layer 203 is selectively removed. However, because the metal seed layer 203 is sandwiched between the inter-level layer 201 and the substrate 200, it is almost impossible to remove it without disrupting or damaging the inter-level layer 201 and the substrate 200.
[0008] There is a continuing need for an improved method for forming vertical device structures. More importantly, there is a need for an improved method for forming separate vertical device structures that comprise alloys or alternating layers of different conductive materials.
SUMMARY OF THE INVENTION
[0009] The present invention employs discrete metal contact pads and metal vias for applying the electroplating current during the electroplating step. Such discrete metal contact pads and metal vias remain parts of the final devices and therefore allow the formation of vertical conductive structures that contain alloys of different conductive materials or alternating layers of different conductive materials, but without connecting all the vertical conductive structures together or otherwise affecting the independent functionality of each vertical conductive structures. In this manner, the resulting vertical conductive structures can be readily used to form separate electronic devices.
[0010] In one aspect, the present invention relates to a method comprising: forming a template structure comprising a substrate, a discrete metal contact pad located over a top surface of the substrate, an inter-level dielectric (ILD) layer covering both the substrate and the metal contact pad, and a metal via structure extending through the ILD layer(s) to the discrete metal contact pad; forming a vertical via in the template structure, wherein the vertical via extends through the ILD layer onto the discrete metal contact pad; and forming a vertical conductive structure in the vertical via by electroplating, wherein the electroplating is conducted by applying an electroplating current to the discrete metal contact pad under the ILD layer through the metallic via structure.
[0011] Preferably, but not necessarily, the vertical conductive structure comprises one or more ferromagnetic metals. More preferably, the vertical conductive structure comprises alternating layers of different ferromagnetic metals. Alternatively, the vertical conductive structure may comprise conductive polymers or doped semiconductor materials.
[0012] The template structure as described hereinabove may comprise a single metal contact pad on the upper surface of the substrate, with a single metal via structure and a single vertical via extending thereto for formation of a single vertical conductive structure. More preferably, the template structure of the present invention comprises multiple discrete metal contact pads located on the upper surface of the substrate and having multiple metal via structures and multiple vertical vias extending thereto. In this manner, multiple vertical conductive structures can be subsequently formed by electroplating in a single wafer or chip, while the resulted vertical conductive structures are not interconnected with one another.
[0013] In a specific embodiment of the present invention, the template structure further comprises a patterned metal layer that is located on an upper surface of the ILD layer and is electrically connected to all of the metal via structures, so that the electroplating can be carried out by applying an electroplating current to the multiple discrete metal contact pads through the patterned metal layer and the multiple metal via structures. [0014] The patterned metal layer is preferably formed before the vertical vias. More preferably, it is formed by first depositing a blanket metal layer over the ILD layer and then patterning the blanket metal layer to form multiple openings that each is vertically aligned with one of the multiple discrete metal contact pads.
[0015] Further, an insulating layer can be formed over the patterned metal layer before formation of the multiple vertical vias, so that the subsequently formed vertical vias extend through both the insulating layer and the ILD layer. More preferably, the patterned metal layer is completely covered by the insulating layer, except in the edge region of the processing unit, i.e., wafer or substrate, so that the electroplating current can be carried through the edge region to the patterned metal layer during subsequent electroplating. After electroplating, both the patterned metal layer and the insulating layer are removed from the upper surface of the ILD layer, and multiple surface metal contacts can be formed thereover to provide access to the multiple vertical conductive structures.
[0016] In another aspect, the present invention relates to a device structure that comprises a substrate, a metal contact pad located over a top surface of the substrate, an inter-level dielectric (ILD) layer covering both the substrate and the metal contact pad, a metal via structure extending through the ILD layer to the discrete metal contact pad, and a vertical conductive structure extends through the ILD layer onto the discrete metal contact pad.
[0017] Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
[0018] FIGS. 1 A-IC are cross-sectional views that illustrate the processing steps of a conventional superfilling process for forming deep metal vias.
[0019] FIG. 2A-2B are cross-sectional views that illustrate the processing steps of a conventional plating through mask process for forming deep metal vias. [0020] FIG. 3 is a cross-sectional view of two electronic devices, each comprising a functional unit, two auxiliary units, a metal contact pad at each end of the functional unit, and a metal via structure extending through the ILD layer to the metal contact pad that is located over a non-conductive substrate, according to one embodiment of the present invention. The metal via structures together with the metal contact pads provide access to the functional units.
[0021] FIGS. 4-10 are cross-sectional views that illustrate exemplary processing steps for forming the device structure of FIG. 3, according to one embodiment of the present invention.
DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF
[0022] In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide a thorough understanding of the present invention. However, it will be appreciated by one skilled in the art that the invention may be practiced without these specific details or by substituting certain details with known equivalents thereof, without departing from the spirit of the invention. Further, standard structures or processing steps well known to those ordinarily skilled in the art have not been described in detail in order to avoid obscuring the invention.
[0023] It will be understood that when an element as a layer, region or substrate is referred to as being "on" another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being "directly on" another element, there are no intervening elements present. It will also be understood that when an element is referred to as being "connected" or "coupled" to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being "directly connected" or "directly coupled" to another element, there are no intervening elements present. [0024] The term "vertical" as used herein refers to a structure or device that is located over a substrate surface, and the longitudinal axis of such a structure or device is perpendicular to the substrate surface.
[0025] The term "metal contact" or "metal contacts" as used herein refers to metal structures of limited lateral extension. Unlike a continuous metal layer that covers the entire substrate surface or a majority portion thereof, the metal contact or metal contacts only extend(s) over a selected region or selected regions of the substrate surface upon which subsequent device structures are to be built. The metal contact or metal contacts can be formed by patterning a continuous metal layer.
[0026] The term "discrete" as used herein refers to structures that are isolated from each other with no overlap therebetween.
[0027] The term "ferromagnetic material" as used herein refers to any material that can be magnetized by applying an external magnetic field and exhibits remnant magnetization after the external magnetic field is removed.
[0028] As mentioned hereinabove, the present invention uses discrete metal contact pads and metal via structures, which are the existing elements of an integrated circuit, to apply electroplating current during electroplating for forming vertical conductive structures. The resulting vertical conductive structures preferably, but not necessarily, contain alloys of different conductive materials (i.e., metals, conductive polymers, or doped semiconductors) or alternating layers of different conductive materials. The present invention is particularly useful for forming ferromagnetic structures that comprise alternating ferromagnetic layers of different material compositions. However, applications of the present invention are not limited to ferromagnetic structures, but extend broadly to cover any device structure that requires vertical conductive structures.
[0029] FIG. 3 shows a cross-sectional view of a device structure containing two general electronic devices with vertical conductive structures 305. Each of the electronic devices may include: (1) a vertical functional unit, i.e., the vertical conductive structure 305, (2) conductive contacts 302 and 304 at both ends of the functional unit 305, (3) a metal via 303 that extends onto the bottom conductive contact 302 to provide access to the bottom conductive contact 302, and (4) certain auxiliary elements and associated circuits 306. The auxiliary elements and circuits 306 can be either reading and writing elements or other sensing and controlling elements for the functional unit 305.
[0030] The device structure as described hereinabove is formed over a non- conductive substrate 300 with an ILD layer 301 located thereover. The non- conductive substrate 300 may comprise any suitable non-conductive material, and it preferably comprises a ceramic, dielectric, glass or polymer material, including, but not limited to: Al2O3, SiO2, Si3N4, and HfO2. Further, the non-conductive substrate 300 may comprise an un-doped or lowly doped semiconductor material, including, but not limited to: Si, SiC, SiGe, SiGeC, Ge alloys, GaAs, InAs, InP, as well as other III- V or II- VI compound semiconductors. The ILD layer 301 may be formed of any suitable photoresist or dielectric material, such as, for example, SiO2, Si3N4, HfO2, and Al2O3.
[0031] The device structure of FIG. 3 can be formed by exemplary processing steps as illustrated by FIGS. 4-10, according to one embodiment of the present invention.
[0032] FIG. 4 shows a template structure that comprises the non-conductive substrate 300, the ILD layer 301, the bottom metal contacts 302, the metal vias 303, and the auxiliary elements 306 (optional). Such a template structure can be readily formed by conventional semiconductor processing and metallization techniques, which are not described here in order to avoid obscuring the present invention.
[0033] Next, as shown in FIG. 5, a patterned metal layer 404 is deposited over a top surface of the ILD layer 301. The patterned metal layer 404 forms an electrical contact with all the metal vias 303, and through such metal vias 303, the patterned metal layer 404 forms electrical contact with all the bottom metal contacts 302. The patterned metal layer 404 can be readily formed by first depositing a blanket metal layer (not shown) over the entire top surface of the ILD layer 301, followed by patterning the blanket metal layer (not shown) to form multiple openings 405. Each of the openings 405 in the patterned metal layer 404 is vertically aligned with one of the bottom metal contacts 302, thereby defining the locations where the vertical conductive structures 305 are to be formed. Patterning of the blanket metal layer (not shown) can be readily carried out using any conventional metal patterning techniques, such as lithography, etch back, lift-off, etc.
[0034] As shown in FIG. 6, a layer of insulating material 406 is then deposited over the patterned metal layer 404 to cover the entire layer 404 except at the edge region 407. The insulating material layer 406 can be formed of the same insulating material as the ILD layer 301, but generally it is formed of a different insulating material. In the semiconductor manufacturing process, numerous devices are fabricated simultaneously on the same wafer. Therefore, the edge region 407 denotes the edge region of the wafer. In this manner, the patterned metal layer 404 can still be accessed at the edge region 407 after formation of the insulating material layer 406, which the patterned metal layer 404 in turn provides access to the bottom metal contacts 302 through the metal vias 303.
[0035] Subsequently, as shown in FIG. 7, a patterning process (preferably an etching process, such as reactive ion etching) is carried out to form vertical vias (or deep vias) 408 through the insulating layer 406 and the ILD layer 301. The dimension and shape of the vertical vias 408 define the dimension and shape of the vertical conductive structures 305 to be formed. The vertical vias 408 can be of any size or shape, depending on the requirements for the final devices and the limitations of the fabrication process. Preferably, each of the vertical vias 408 so formed has a depth ranging from about 1 micron to about 1000 microns and a cross-sectional diameter ranging from about 10 nm to about 1000 run. The vertical vias 408 can have any suitable cross-sectional shape, including, but not limited to: circular, square, rectangular, triangular, polygonal, semi-circular, elliptical, ring-shaped, etc. Depending on whether the insulating layer 406 and the ILD layer 301 comprise the same or different insulating material(s), the etching process may comprise either a single etching step or multiple etching steps.
[0036] After the etching, electroplating is carried out by first immersing the above- described structure in an electroplating solution and then applying an electroplating current to the patterned metal layer 404 by contacting the edge region 407. In this manner, the electroplating current is carried through the patterned metal layer 404 and the metal vias 303 to the discrete metal contact pads 302 at the bottom surface of the ILD layer 301, so that a conductive material 305 is deposited onto the discrete metal contact pads 302 and gradually fills the vertical vias 408 in a bottom-up manner. The electroplating solution as described hereinabove may comprise a single salt for deposition of a single elemental, or salts of different elements for deposition of an alloy. The resulted structure 305 can comprise any suitable conductive materials, such as metals, doped semiconductors, conductive polymers, and alloys thereof, but it preferentially comprises a metal or metal alloy. Electrodeposition of metals, doped semiconductors, and conductive polymers is well known in the art and is therefore not described in detail herein.
[0037] Preferably, but not necessarily, the electroplating solution comprises salts of two or more different ferromagnetic metals for deposition of a ferromagnetic metal alloy. More preferably, the electroplating can be carried out by applying a pulsed electroplating current with high and low potential pulses for deposition of alternating ferromagnetic layers of different material compositions.
[0038] The electroplating step is allowed to proceed until the vertical vias 408 are completely filled with the metal to form vertical conductive structures 305, as shown in FIG. 8. The dimension and shape of the vertical conductive structures 305 are defined by the vertical vias 408. Therefore, the vertical conductive structures 305 may also have a depth ranging from about 1 micron to about 1000 microns and a cross-sectional diameter ranging from about 10 nm to about 1000 nm, and they may also have any suitable cross-sectional shape, including, but not limited to: circular, square, rectangular, triangular, polygonal, semi-circular, elliptical, ring-shaped, etc.
[0039] After the electroplating, multiple etching and/or polishing steps can be carried out to remove the overgrown portions of the vertical conductive structures 305, the insulating layer 406, and the patterned metal layer 404, and to planarize the again- exposed upper surface of the ILD layer 301 with the metal via 303 and the newly formed vertical conductive structure 305, as shown in FIG. 9. [0040] Next, a patterned insulating layer 410 is deposited over the exposed upper surface of the ILD layer 301, as shown in FIG. 10. The patterned insulating layer 410 may comprise either the same or different material from that of the ILD layer 301, and it contains multiple openings 411, through which the vertical conductive structures 305 and the metal vias 303 are exposed. In this manner, surface metal contacts 304 can be formed in the openings 411 to provide access to the vertical conductive structures 305 and the metal vias 303, thereby forming a complete device structure as shown in FIG. 3.
[0041] Note that while FIGS. 3-10 illustratively demonstrate exemplary device structures and processing steps according to specific embodiments of the present invention, it is clear that a person ordinarily skilled in the art can readily modify such device structures and processing steps for adaptation to specific application requirements, consistent with the above descriptions. For example, although the exemplary device structures as shown in FIGS. 3-10 each contain a single ILD layer, two discrete metal contact pads and two vertical conductive structures, it is readily understood that the device structure of the present invention may comprise any numbers of ILD layers, discrete metal contact pads, and vertical conductive structures. Further, the device substrates of the present invention can be readily used for forming any semiconductor devices that require vertical conductive structures with at least one bottom metal contacts.
[0042] While the invention has been described herein with reference to specific embodiments, features and aspects, it will be recognized that the invention is not thus limited, but rather extends in utility to other modifications, variations, applications, and embodiments, and accordingly all such other modifications, variations, applications, and embodiments are to be regarded as being within the spirit and scope of the invention.

Claims

CLAIMSWhat is Claimed is:
1. A method comprising: forming a template structure comprising a substrate, a discrete metal contact pad located over a top surface of said substrate, an inter-level dielectric (ILD) layer covering both the substrate and the metal contact pad, and a metal via structure extending through the ILD layer onto the discrete metal contact pad; forming a vertical via in the template structure, wherein said vertical via extends through said ILD layer onto the discrete metal contact pad; and forming a vertical conductive structure in said vertical via by electroplating, wherein the electroplating is conducted by applying an electroplating current to the discrete metal contact pad through the metal via structure.
2. The method of claim 1 , wherein the vertical conductive structure comprises one or more ferromagnetic metals.
3. The method of claim 2, wherein the vertical conductive structure comprises alternating layers of different ferromagnetic metals.
4. The method of claim 1, wherein the template structure comprises multiple discrete metal contact pads, each of which has a metal via structure extending thereto, and wherein multiple vertical vias are formed in the ILD layer for subsequent formation of multiple vertical conductive structures by electroplating.
5. The method of claim 4, wherein the template structure further comprises a patterned metal layer that is located on an upper surface of the ILD layer and is electrically connected to all of the metal via structures, so that the electroplating current can be applied to the multiple discrete metal contact pads through the patterned metal layer and the metal via structures during the electroplating.
6. The method of claim 5, wherein before formation of the multiple vertical vias, the patterned metal layer is formed by: depositing a blanket metal layer over the upper surface of the ILD layer; and patterning said blanket metal layer to form multiple openings therein, each of which is vertically aligned with one of the multiple discrete metal contact pads.
7. The method of claim 6, further comprising forming an insulating layer over the patterned metal layer before formation of the multiple vertical vias, so that the subsequently formed vertical vias extend through both the insulating layer and the ILD layer.
8. The method of claim 7, wherein the patterned metal layer is completely covered by the insulating layer except at an edge region, and wherein during the electroplating, the electroplating current is applied through said edge region to the patterned metal layer.
9. The method of claim 7, further comprising removing both the patterned metal layer and the insulating layer from the upper surface of the ILD layer after the electroplating and removal of overgrown plated material.
10. The method of claim 9, further comprising forming multiple surface metal contacts over the upper surface of the ILD layer after removal of the patterned metal layer and the insulating layer to provide access to the multiple vertical conductive structures.
11. A device structure comprising a substrate, a discrete metal contact pad located over a top surface of said substrate, an inter-level dielectric (ILD) layer covering both the substrate and the at least one metal contact pad, a metal via structure extending through the ILD layer onto the discrete metal contact pad, and a vertical conductive structure extending through the ILD layer onto the discrete metal contact pad.
12. The device structure of claim 11, wherein the vertical conductive structure comprises one or more ferromagnetic metals.
13. The device structure of claim 12, wherein the vertical conductive structure comprises alternating layers of different ferromagnetic metals.
14. The device structure of claim 11 , comprising multiple discrete metal contact pads, each of which has a metal via structure and a vertical conductive structure extending thereto.
15. The device structure of claim 14, further comprising multiple surface metal contacts located over an upper surface of the ILD layer, wherein each of the multiple surface metal contacts is electrically connected with one of the multiple vertical conductive structures.
PCT/US2008/000003 2007-01-05 2008-01-02 Formation of vertical devices by electroplating WO2008085805A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2009544909A JP5284981B2 (en) 2007-01-05 2008-01-02 Method for forming vertical elements by electroplating
KR1020097011935A KR101054841B1 (en) 2007-01-05 2008-01-02 Formation of Vertical Device by Electroplating
EP08712915.1A EP2100319B1 (en) 2007-01-05 2008-01-02 Formation of vertical devices by electroplating
CN2008800016534A CN101652826B (en) 2007-01-05 2008-01-02 Formation of vertical devices by electroplating

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/620,497 2007-01-05
US11/620,497 US7608538B2 (en) 2007-01-05 2007-01-05 Formation of vertical devices by electroplating

Publications (1)

Publication Number Publication Date
WO2008085805A1 true WO2008085805A1 (en) 2008-07-17

Family

ID=39594671

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/000003 WO2008085805A1 (en) 2007-01-05 2008-01-02 Formation of vertical devices by electroplating

Country Status (6)

Country Link
US (2) US7608538B2 (en)
EP (1) EP2100319B1 (en)
JP (1) JP5284981B2 (en)
KR (1) KR101054841B1 (en)
CN (1) CN101652826B (en)
WO (1) WO2008085805A1 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7741218B2 (en) * 2007-02-27 2010-06-22 Freescale Semiconductor, Inc. Conductive via formation utilizing electroplating
US7768809B2 (en) * 2008-10-02 2010-08-03 International Business Machines Corporation Wall nucleation propagation for racetrack memory
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
JP2012190900A (en) * 2011-03-09 2012-10-04 Sony Corp Semiconductor device and method of manufacturing the same
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9899324B1 (en) * 2016-11-28 2018-02-20 Globalfoundries Inc. Structure and method of conductive bus bar for resistive seed substrate plating
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US20220026705A1 (en) * 2018-11-26 2022-01-27 Corning Incorporated Methods for forming patterned insulating layers on conductive layers and devices manufactured using such methods
KR102546286B1 (en) 2019-11-08 2023-06-22 씨제이제일제당 (주) Frozen packing cooked rice having texture of scorched rice for cooking in microwave oven
KR20220053293A (en) * 2020-10-22 2022-04-29 에스케이하이닉스 주식회사 Semiconductor Apparatus Including Test Dummy Pattern, Method of Manufacturing The Same and Method of Inspection Error Using The Test Dummy Pattern

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709562B1 (en) 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
US6806096B1 (en) * 2003-06-18 2004-10-19 Infineon Technologies Ag Integration scheme for avoiding plasma damage in MRAM technology
US20040251232A1 (en) 2003-06-10 2004-12-16 International Business Machines Corporation Method of fabricating a shiftable magnetic shift register
US20060022286A1 (en) * 2004-07-30 2006-02-02 Rainer Leuschner Ferromagnetic liner for conductive lines of magnetic memory cells

Family Cites Families (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3791858A (en) * 1971-12-13 1974-02-12 Ibm Method of forming multi-layer circuit panels
US3996551A (en) * 1975-10-20 1976-12-07 The United States Of America As Represented By The Secretary Of The Navy Chromium-silicon oxide thin film resistors
JPH02220464A (en) * 1989-02-22 1990-09-03 Toshiba Corp Semiconductor device and manufacture thereof
US4933743A (en) * 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
US4962058A (en) * 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
US5173442A (en) * 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US5191174A (en) * 1990-08-01 1993-03-02 International Business Machines Corporation High density circuit board and method of making same
US5254493A (en) * 1990-10-30 1993-10-19 Microelectronics And Computer Technology Corporation Method of fabricating integrated resistors in high density substrates
US5149615A (en) * 1991-01-08 1992-09-22 The Boeing Company Method for producing a planar surface on which a conductive layer can be applied
CA2059020C (en) * 1991-01-09 1998-08-18 Kohji Kimbara Polyimide multilayer wiring board and method of producing same
US5440805A (en) * 1992-03-09 1995-08-15 Rogers Corporation Method of manufacturing a multilayer circuit
US5284801A (en) * 1992-07-22 1994-02-08 Vlsi Technology, Inc. Methods of moisture protection in semiconductor devices utilizing polyimides for inter-metal dielectric
US5508938A (en) * 1992-08-13 1996-04-16 Fujitsu Limited Special interconnect layer employing offset trace layout for advanced multi-chip module packages
US5329695A (en) * 1992-09-01 1994-07-19 Rogers Corporation Method of manufacturing a multilayer circuit board
US5404044A (en) * 1992-09-29 1995-04-04 International Business Machines Corporation Parallel process interposer (PPI)
US5453402A (en) * 1992-12-15 1995-09-26 Advanced Micro Devices, Inc. Selective metal via plug growth technology for deep sub-micrometer ULSI
US5382759A (en) * 1993-09-28 1995-01-17 Trw Inc. Massive parallel interconnection attachment using flexible circuit
US5427979A (en) * 1993-10-18 1995-06-27 Vlsi Technology, Inc. Method for making multi-level antifuse structure
JPH08139194A (en) * 1994-04-28 1996-05-31 Texas Instr Inc <Ti> Manufacture of electrical connection onto semiconductor device and semiconductor device with electrical connection manufactured by said method
US5489547A (en) * 1994-05-23 1996-02-06 Texas Instruments Incorporated Method of fabricating semiconductor device having polysilicon resistor with low temperature coefficient
US5625232A (en) * 1994-07-15 1997-04-29 Texas Instruments Incorporated Reliability of metal leads in high speed LSI semiconductors using dummy vias
US5413962A (en) * 1994-07-15 1995-05-09 United Microelectronics Corporation Multi-level conductor process in VLSI fabrication utilizing an air bridge
US5550399A (en) * 1994-11-03 1996-08-27 Kabushiki Kaisha Toshiba Integrated circuit with windowed fuse element and contact pad
DE4441898C1 (en) * 1994-11-24 1996-04-04 Siemens Ag Semiconductor component with electrically conductive contacts and/or tracks
US5563762A (en) * 1994-11-28 1996-10-08 Northern Telecom Limited Capacitor for an integrated circuit and method of formation thereof, and a method of adding on-chip capacitors to an integrated circuit
US5576240A (en) * 1994-12-09 1996-11-19 Lucent Technologies Inc. Method for making a metal to metal capacitor
US5708559A (en) * 1995-10-27 1998-01-13 International Business Machines Corporation Precision analog metal-metal capacitor
US5900668A (en) * 1995-11-30 1999-05-04 Advanced Micro Devices, Inc. Low capacitance interconnection
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6057224A (en) * 1996-03-29 2000-05-02 Vlsi Technology, Inc. Methods for making semiconductor devices having air dielectric interconnect structures
US5841193A (en) * 1996-05-20 1998-11-24 Epic Technologies, Inc. Single chip modules, repairable multichip modules, and methods of fabrication thereof
US5792706A (en) * 1996-06-05 1998-08-11 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to reduce permitivity
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
JPH10303372A (en) * 1997-01-31 1998-11-13 Sanyo Electric Co Ltd Semiconductor integrated circuit and producing method therefor
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6277728B1 (en) * 1997-06-13 2001-08-21 Micron Technology, Inc. Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
JPH11195711A (en) * 1997-10-27 1999-07-21 Seiko Epson Corp Semiconductor device and manufacture thereof
EP1042793A1 (en) * 1997-12-16 2000-10-11 Infineon Technologies AG Barrier layer for copper metallizing
US6081021A (en) * 1998-01-15 2000-06-27 International Business Machines Corporation Conductor-insulator-conductor structure
US6016005A (en) * 1998-02-09 2000-01-18 Cellarosi; Mario J. Multilayer, high density micro circuit module and method of manufacturing same
US6081032A (en) * 1998-02-13 2000-06-27 Texas Instruments - Acer Incorporated Dual damascene multi-level metallization and interconnection structure
US6121073A (en) * 1998-02-17 2000-09-19 Taiwan Semiconductor Manufacturing Company Method for making a fuse structure for improved repaired yields on semiconductor memory devices
US6124198A (en) * 1998-04-22 2000-09-26 Cvc, Inc. Ultra high-speed chip interconnect using free-space dielectrics
US6239491B1 (en) * 1998-05-18 2001-05-29 Lsi Logic Corporation Integrated circuit structure with thin dielectric between at least local interconnect level and first metal interconnect level, and process for making same
US6300244B1 (en) * 1998-05-25 2001-10-09 Hitachi, Ltd. Semiconductor device and method of manufacturing the same
US6921962B1 (en) * 1998-12-18 2005-07-26 Texas Instruments Incorporated Integrated circuit having a thin film resistor located within a multilevel dielectric between an upper and lower metal interconnect layer
US6194233B1 (en) * 1998-08-21 2001-02-27 International Business Machines Corporation Integrated circuit and method of manufacture for avoiding damage by electrostatic charge
US6100155A (en) * 1998-09-10 2000-08-08 Chartered Semiconductor Manufacturing, Ltd. Metal-oxide-metal capacitor for analog devices
US6225207B1 (en) * 1998-10-01 2001-05-01 Applied Materials, Inc. Techniques for triple and quadruple damascene fabrication
US6066557A (en) * 1998-12-09 2000-05-23 Advanced Micro Devices, Inc. Method for fabricating protected copper metallization
US6268276B1 (en) * 1998-12-21 2001-07-31 Chartered Semiconductor Manufacturing Ltd. Area array air gap structure for intermetal dielectric application
US6495442B1 (en) * 2000-10-18 2002-12-17 Magic Corporation Post passivation interconnection schemes on top of the IC chips
US6303423B1 (en) * 1998-12-21 2001-10-16 Megic Corporation Method for forming high performance system-on-chip using post passivation process
TW430943B (en) * 1999-01-08 2001-04-21 Nippon Electric Co Method of forming contact or wiring in semiconductor device
US6200629B1 (en) * 1999-01-12 2001-03-13 United Microelectronics Corp. Method of manufacturing multi-layer metal capacitor
US6207553B1 (en) * 1999-01-26 2001-03-27 Advanced Micro Devices, Inc. Method of forming multiple levels of patterned metallization
US6180976B1 (en) * 1999-02-02 2001-01-30 Conexant Systems, Inc. Thin-film capacitors and methods for forming the same
US6667552B1 (en) * 1999-02-18 2003-12-23 Advanced Micro Devices, Inc. Low dielectric metal silicide lined interconnection system
US6245658B1 (en) * 1999-02-18 2001-06-12 Advanced Micro Devices, Inc. Method of forming low dielectric semiconductor device with rigid, metal silicide lined interconnection system
US6556962B1 (en) * 1999-07-02 2003-04-29 Intel Corporation Method for reducing network costs and its application to domino circuits
US6413854B1 (en) * 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
KR20010075693A (en) * 1999-09-10 2001-08-09 롤페스 요하네스 게라투스 알베르투스 Magnetoresistive sensor or memory elements with decreased magnetic switch field
US6525921B1 (en) * 1999-11-12 2003-02-25 Matsushita Electric Industrial Co., Ltd Capacitor-mounted metal foil and a method for producing the same, and a circuit board and a method for producing the same
TW512653B (en) * 1999-11-26 2002-12-01 Ibiden Co Ltd Multilayer circuit board and semiconductor device
JP3967544B2 (en) * 1999-12-14 2007-08-29 株式会社東芝 MIM capacitor
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6492257B1 (en) * 2000-02-04 2002-12-10 Advanced Micro Devices, Inc. Water vapor plasma for effective low-k dielectric resist stripping
US7335603B2 (en) * 2000-02-07 2008-02-26 Vladimir Mancevski System and method for fabricating logic devices comprising carbon nanotube transistors
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US7771630B2 (en) * 2000-02-24 2010-08-10 The Regents Of The University Of California Precise fabrication of polymer microlens arrays
DE10008573A1 (en) * 2000-02-24 2001-09-13 Infineon Technologies Ag Semiconductor device and manufacturing process
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
JP2002009248A (en) * 2000-06-26 2002-01-11 Oki Electric Ind Co Ltd Capacitor and its manufacturing method
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
JP2002050011A (en) * 2000-08-03 2002-02-15 Nec Corp Magnetoresistive effect element, magnetoresistive effect head, magnetoresistive conversion system, and magnetic recording system
US6313003B1 (en) * 2000-08-17 2001-11-06 Taiwan Semiconductor Manufacturing Company Fabrication process for metal-insulator-metal capacitor with low gate resistance
US6500724B1 (en) * 2000-08-21 2002-12-31 Motorola, Inc. Method of making semiconductor device having passive elements including forming capacitor electrode and resistor from same layer of material
US6365480B1 (en) * 2000-11-27 2002-04-02 Analog Devices, Inc. IC resistor and capacitor fabrication method
US6426268B1 (en) * 2000-11-28 2002-07-30 Analog Devices, Inc. Thin film resistor fabrication method
US6696360B2 (en) * 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US6465294B1 (en) * 2001-03-16 2002-10-15 Taiwan Semiconductor Manufacturing Company Self-aligned process for a stacked gate RF MOSFET device
US6468894B1 (en) * 2001-03-21 2002-10-22 Advanced Micro Devices, Inc. Metal interconnection structure with dummy vias
US6984892B2 (en) * 2001-03-28 2006-01-10 Lam Research Corporation Semiconductor structure implementing low-K dielectric materials and supporting stubs
US6605525B2 (en) * 2001-05-01 2003-08-12 Industrial Technologies Research Institute Method for forming a wafer level package incorporating a multiplicity of elastomeric blocks and package formed
US6674664B2 (en) * 2001-05-07 2004-01-06 Nve Corporation Circuit selected joint magnetoresistive junction tunneling-giant magnetoresistive effects memory cells
JP2002368196A (en) * 2001-05-30 2002-12-20 Internatl Business Mach Corp <Ibm> Memory cell, storage circuit block, data write method and data read method
JP4947849B2 (en) * 2001-05-30 2012-06-06 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6566171B1 (en) * 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930256B1 (en) * 2002-05-01 2005-08-16 Amkor Technology, Inc. Integrated circuit substrate having laser-embedded conductive patterns and method therefor
JP4604403B2 (en) * 2001-06-25 2011-01-05 パナソニック株式会社 Manufacturing method of solid electrolytic capacitor
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
FR2832224B1 (en) * 2001-11-15 2004-01-16 Commissariat Energie Atomique MONOLITHIC MULTILAYER ELECTRONIC DEVICE AND METHOD OF MAKING SAME
TW544882B (en) * 2001-12-31 2003-08-01 Megic Corp Chip package structure and process thereof
US6881999B2 (en) * 2002-03-21 2005-04-19 Samsung Electronics Co., Ltd. Semiconductor device with analog capacitor and method of fabricating the same
JP4047615B2 (en) * 2002-04-03 2008-02-13 株式会社ルネサステクノロジ Magnetic storage
JP2003318269A (en) * 2002-04-24 2003-11-07 Mitsubishi Electric Corp Semiconductor device and method for manufacturing the same
US7260890B2 (en) * 2002-06-26 2007-08-28 Georgia Tech Research Corporation Methods for fabricating three-dimensional all organic interconnect structures
JP2004079936A (en) * 2002-08-22 2004-03-11 Fujitsu Ltd Laminated film having ferromagnetic tunnel junction, manufacturing method thereof, magnetic sensor, magnetic recorder, and magnetic memory unit
JP3983146B2 (en) * 2002-09-17 2007-09-26 Necエレクトロニクス株式会社 Manufacturing method of multilayer wiring board
US6784478B2 (en) * 2002-09-30 2004-08-31 Agere Systems Inc. Junction capacitor structure and fabrication method therefor in a dual damascene process
US6919637B2 (en) * 2002-09-30 2005-07-19 Intel Corporation Interconnect structure for an integrated circuit and method of fabrication
US6902981B2 (en) * 2002-10-10 2005-06-07 Chartered Semiconductor Manufacturing Ltd Structure and process for a capacitor and other devices
US6998327B2 (en) * 2002-11-19 2006-02-14 International Business Machines Corporation Thin film transfer join process and multilevel thin film module
JP3851607B2 (en) * 2002-11-21 2006-11-29 ローム株式会社 Manufacturing method of semiconductor device
US6972209B2 (en) * 2002-11-27 2005-12-06 International Business Machines Corporation Stacked via-stud with improved reliability in copper metallurgy
KR100505658B1 (en) * 2002-12-11 2005-08-03 삼성전자주식회사 Semiconductor device having MIM capacitor
US6710443B1 (en) * 2002-12-20 2004-03-23 Texas Instruments Incorporated Integrated circuit providing thermally conductive structures substantially horizontally coupled to one another within one or more heat dissipation layers to dissipate heat from a heat generating structure
JP2004214459A (en) * 2003-01-06 2004-07-29 Sony Corp Nonvolatile magnetic memory and its fabricating process
FR2851373B1 (en) * 2003-02-18 2006-01-13 St Microelectronics Sa METHOD FOR MANUFACTURING AN INTEGRATED ELECTRONIC CIRCUIT INCORPORATING CAVITIES
JP4419408B2 (en) * 2003-03-14 2010-02-24 Tdk株式会社 Magnetoresistive element and magnetic memory device
US6897148B2 (en) * 2003-04-09 2005-05-24 Tru-Si Technologies, Inc. Electroplating and electroless plating of conductive materials into openings, and structures obtained thereby
FR2855323A1 (en) * 2003-05-20 2004-11-26 St Microelectronics Sa METHOD FOR PRODUCING AN INTEGRATED ELECTRONIC CIRCUIT COMPRISING A CAPACITOR
US6713835B1 (en) * 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US6964908B2 (en) * 2003-08-19 2005-11-15 International Business Machines Corporation Metal-insulator-metal capacitor and method of fabricating same
WO2005022654A2 (en) * 2003-08-28 2005-03-10 Matsushita Electric Industrial Co.,Ltd. Semiconductor light emitting device, light emitting module, lighting apparatus, display element and manufacturing method of semiconductor light emitting device
FR2859822B1 (en) * 2003-09-16 2006-05-05 Commissariat Energie Atomique INTERCONNECTION STRUCTURE WITH LOW DIELECTRIC CONSTANT
KR100545202B1 (en) * 2003-10-06 2006-01-24 동부아남반도체 주식회사 A method for manufacturing a capacitor
US7329953B2 (en) * 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005217346A (en) * 2004-02-02 2005-08-11 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing the same
US7052932B2 (en) * 2004-02-24 2006-05-30 Chartered Semiconductor Manufacturing Ltd. Oxygen doped SiC for Cu barrier and etch stop layer in dual damascene fabrication
US6955926B2 (en) * 2004-02-25 2005-10-18 International Business Machines Corporation Method of fabricating data tracks for use in a magnetic shift register memory device
US6919244B1 (en) * 2004-03-10 2005-07-19 Motorola, Inc. Method of making a semiconductor device, and semiconductor device made thereby
JP4707330B2 (en) * 2004-03-30 2011-06-22 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7148531B2 (en) * 2004-04-29 2006-12-12 Nve Corporation Magnetoresistive memory SOI cell
US7223684B2 (en) * 2004-07-14 2007-05-29 International Business Machines Corporation Dual damascene wiring and method
TWI253700B (en) * 2004-08-03 2006-04-21 Ind Tech Res Inst Image sensor module packaging structure and method thereof
US7397087B2 (en) * 2004-08-06 2008-07-08 International Business Machines Corporation FEOL/MEOL metal resistor for high end CMOS
US7092284B2 (en) * 2004-08-20 2006-08-15 Infineon Technologies Ag MRAM with magnetic via for storage of information and field sensor
JP2006128309A (en) * 2004-10-27 2006-05-18 Shinko Electric Ind Co Ltd Capacitor device and its manufacturing method
US7118925B2 (en) * 2004-12-10 2006-10-10 Texas Instruments Incorporated Fabrication of a ferromagnetic inductor core and capacitor electrode in a single photo mask step
JP4621049B2 (en) * 2005-03-25 2011-01-26 富士通株式会社 Wiring board manufacturing method
KR100684893B1 (en) * 2005-03-28 2007-02-20 삼성전자주식회사 Magnetic memory device and method of fabricating the same
FR2884645B1 (en) * 2005-04-19 2007-08-10 St Microelectronics Sa METHOD FOR PRODUCING AN INTEGRATED CIRCUIT COMPRISING A CAPACITOR
FR2884646B1 (en) * 2005-04-19 2007-09-14 St Microelectronics Sa METHOD FOR MANUFACTURING AN INTEGRATED CIRCUIT COMPRISING A THREE DIMENSIONAL CAPACITOR
US7638385B2 (en) * 2005-05-02 2009-12-29 Semiconductor Components Industries, Llc Method of forming a semiconductor device and structure therefor
US7315248B2 (en) * 2005-05-13 2008-01-01 3M Innovative Properties Company Radio frequency identification tags for use on metal or other conductive objects
US7332403B1 (en) * 2005-07-11 2008-02-19 National Semiconductor Corporation System and method for providing a buried thin film resistor having end caps defined by a dielectric mask
US7416905B2 (en) * 2005-10-17 2008-08-26 International Busniess Machines Corporation Method of fabricating a magnetic shift register
DE102005052052B4 (en) * 2005-10-31 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Electrodeposition layer for metallization layer with improved adhesion, etch selectivity and density and method for producing a dielectric layer stack
US20070121254A1 (en) * 2005-11-29 2007-05-31 Honeywell International Inc. Protective and conductive layer for giant magnetoresistance
US7687906B2 (en) * 2006-03-31 2010-03-30 Brother Kogyo Kabushiki Kaisha Connecting structure, method for forming bump, and method for producing device-mounting substrate
US7427550B2 (en) * 2006-06-29 2008-09-23 International Business Machines Corporation Methods of fabricating passive element without planarizing
US7738257B2 (en) * 2006-12-13 2010-06-15 Intel Corporation Microelectronic device including bridging interconnect to top conductive layer of passive embedded structure and method of making same
US7692274B2 (en) * 2007-01-04 2010-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Reinforced semiconductor structures
FR2914498A1 (en) * 2007-04-02 2008-10-03 St Microelectronics Sa MAKING 3-DIMENSIONAL MIM CAPACITORS IN THE LAST METAL LEVEL OF AN INTEGRATED CIRCUIT
US7652379B2 (en) * 2007-07-23 2010-01-26 National Semiconductor Corporation Bond pad stacks for ESD under pad and active under pad bonding
JP2009141237A (en) * 2007-12-10 2009-06-25 Panasonic Corp Semiconductor device and method of manufacturing the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709562B1 (en) 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
US20040251232A1 (en) 2003-06-10 2004-12-16 International Business Machines Corporation Method of fabricating a shiftable magnetic shift register
US7108797B2 (en) * 2003-06-10 2006-09-19 International Business Machines Corporation Method of fabricating a shiftable magnetic shift register
US6806096B1 (en) * 2003-06-18 2004-10-19 Infineon Technologies Ag Integration scheme for avoiding plasma damage in MRAM technology
US20060022286A1 (en) * 2004-07-30 2006-02-02 Rainer Leuschner Ferromagnetic liner for conductive lines of magnetic memory cells

Also Published As

Publication number Publication date
US8247905B2 (en) 2012-08-21
KR101054841B1 (en) 2011-08-05
JP5284981B2 (en) 2013-09-11
KR20090096453A (en) 2009-09-10
JP2010516047A (en) 2010-05-13
EP2100319A1 (en) 2009-09-16
EP2100319A4 (en) 2013-04-03
US20090294989A1 (en) 2009-12-03
US20080166874A1 (en) 2008-07-10
EP2100319B1 (en) 2014-03-19
US7608538B2 (en) 2009-10-27
CN101652826A (en) 2010-02-17
CN101652826B (en) 2013-01-02

Similar Documents

Publication Publication Date Title
EP2100319B1 (en) Formation of vertical devices by electroplating
US6972254B1 (en) Manufacturing a conformal atomic liner layer in an integrated circuit interconnect
CN100481380C (en) Method for manufacturing interconnect structure for semiconductor devices
KR100711526B1 (en) Process for the fabrication of a semiconductor device having copper interconnects
TW578195B (en) A single step electroplating process for interconnect via fill and metal line patterning
KR19990066836A (en) How to selectively fill recesses with conductive metal
US6340633B1 (en) Method for ramped current density plating of semiconductor vias and trenches
US20030080431A1 (en) Method and structure for thru-mask contact electrodeposition
US9385036B2 (en) Reliable packaging and interconnect structures
US6297157B1 (en) Time ramped method for plating of high aspect ratio semiconductor vias and channels
US9299643B2 (en) Ruthenium interconnect with high aspect ratio and method of fabrication thereof
US6642145B1 (en) Method of manufacturing an integrated circuit with a dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
CN114883199A (en) Method of making conductive traces and resulting structure
JP3393845B2 (en) Temporary oxidation of silsesquioxane dielectric for dual damascene method
US6320263B1 (en) Semiconductor metalization barrier and manufacturing method therefor
KR101055564B1 (en) Dummy structures to reduce metal recesses in the electropolishing process
RU2230391C2 (en) Process of manufacture of self-aligned built-in copper metallization of in tegrated circuits
US20070049008A1 (en) Method for forming a capping layer on a semiconductor device
US20020127849A1 (en) Method of manufacturing dual damascene structure
TW202145482A (en) Circuit
WO2011116326A1 (en) Through-silicon via fabrication with etch stop film
US6441490B1 (en) Low dielectric constant stop layer for integrated circuit interconnects
US20040196697A1 (en) Method of improving surface mobility before electroplating
KR100744239B1 (en) Method for forming metal line of semiconductor device
KR20050056387A (en) Method of forming a inductor in a semiconductor device

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200880001653.4

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08712915

Country of ref document: EP

Kind code of ref document: A1

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020097011935

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2008712915

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 2009544909

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)