WO2008064178A3 - Method and system for proximity effect and dose correction for a particle beam writing device - Google Patents

Method and system for proximity effect and dose correction for a particle beam writing device Download PDF

Info

Publication number
WO2008064178A3
WO2008064178A3 PCT/US2007/085137 US2007085137W WO2008064178A3 WO 2008064178 A3 WO2008064178 A3 WO 2008064178A3 US 2007085137 W US2007085137 W US 2007085137W WO 2008064178 A3 WO2008064178 A3 WO 2008064178A3
Authority
WO
WIPO (PCT)
Prior art keywords
particle beam
proximity effect
writing device
beam writing
dose correction
Prior art date
Application number
PCT/US2007/085137
Other languages
French (fr)
Other versions
WO2008064178A2 (en
Inventor
Daisuke Hara
Katsuo Komuro
Takashi Mitsuhashi
Original Assignee
D2S Inc
Daisuke Hara
Katsuo Komuro
Takashi Mitsuhashi
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by D2S Inc, Daisuke Hara, Katsuo Komuro, Takashi Mitsuhashi filed Critical D2S Inc
Publication of WO2008064178A2 publication Critical patent/WO2008064178A2/en
Publication of WO2008064178A3 publication Critical patent/WO2008064178A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0278Röntgenlithographic or X-ray lithographic processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Electron Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

A method of particle beam lithography includes selecting at least two cell patterns from a stencil, correcting proximity effect by dose control and by pattern modification for the at least two cell patterns, and writing the at least cell two patterns by one shot of the particle beam after proximity effect correction (PEC).
PCT/US2007/085137 2006-11-21 2007-11-19 Method and system for proximity effect and dose correction for a particle beam writing device WO2008064178A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/603,527 2006-11-21
US11/603,527 US7902528B2 (en) 2006-11-21 2006-11-21 Method and system for proximity effect and dose correction for a particle beam writing device

Publications (2)

Publication Number Publication Date
WO2008064178A2 WO2008064178A2 (en) 2008-05-29
WO2008064178A3 true WO2008064178A3 (en) 2008-07-31

Family

ID=39416004

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/085137 WO2008064178A2 (en) 2006-11-21 2007-11-19 Method and system for proximity effect and dose correction for a particle beam writing device

Country Status (3)

Country Link
US (1) US7902528B2 (en)
TW (1) TWI471744B (en)
WO (1) WO2008064178A2 (en)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007064956A1 (en) * 2005-12-01 2007-06-07 Cadence Design Systems, Inc. System and method of electron beam writing
US7897522B2 (en) * 2006-11-21 2011-03-01 Cadence Design Systems, Inc. Method and system for improving particle beam lithography
US8426832B2 (en) * 2006-11-21 2013-04-23 D2S, Inc. Cell projection charged particle beam lithography
US7772575B2 (en) * 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
US8669023B2 (en) 2008-09-01 2014-03-11 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using shaped beam lithography
WO2010025031A2 (en) * 2008-09-01 2010-03-04 D2S, Inc. Method for optical proximity correction, design and manufacturing of a reticle using character projection lithography
US8017288B2 (en) * 2008-09-01 2011-09-13 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8473875B2 (en) * 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US7981575B2 (en) * 2008-09-01 2011-07-19 DS2, Inc. Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8017286B2 (en) * 2008-09-01 2011-09-13 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
KR20110069044A (en) * 2008-09-01 2011-06-22 디2에스, 인코포레이티드 Method for optical proximity correction, design and manufacturing of a reticle using variable shaped beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US7799489B2 (en) * 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
DE102008053180B4 (en) * 2008-10-24 2012-07-12 Advanced Mask Technology Center Gmbh & Co. Kg Particle beam writing method, particle beam writing apparatus and maintenance method for the same
US8214773B2 (en) * 2009-02-11 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for E-beam direct write lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US8703389B2 (en) 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
WO2012148606A2 (en) 2011-04-26 2012-11-01 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
JP5792513B2 (en) * 2011-05-20 2015-10-14 株式会社ニューフレアテクノロジー Charged particle beam drawing apparatus and charged particle beam drawing method
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
FR2980001A1 (en) * 2011-09-13 2013-03-15 Commissariat Energie Atomique Lithographic projection of block on resined substrate, comprises decomposition of cell block design to project on substrate, forming cell design by radiant source, and extracting two cell characters from portion of cell design
JP6663163B2 (en) 2011-09-13 2020-03-11 コミシリア ア レネルジ アトミック エ オ エナジーズ オルタネティヴズ A method for correcting electronic proximity effect using deconvolution of patterns exposed by stochastic method
US20150117599A1 (en) * 2013-10-31 2015-04-30 Sigray, Inc. X-ray interferometric imaging system
JP5832867B2 (en) * 2011-11-21 2015-12-16 株式会社ニューフレアテクノロジー Charged particle beam drawing apparatus, pattern inspection apparatus, and layout display method
KR101888940B1 (en) * 2012-03-28 2018-08-17 삼성전자주식회사 Method of Designing Pattern Layouts
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
JP6234998B2 (en) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. Method and system for forming a pattern using charged particle beam lithography
KR20150001834A (en) 2012-04-18 2015-01-06 디2에스, 인코포레이티드 Method and system for critical dimension uniformity using charged particle beam lithography
WO2014071091A1 (en) * 2012-11-02 2014-05-08 D2S, Inc. Method and system for improving critical dimension uniformity using shaped beam lithography
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
DE102013016738B4 (en) 2013-10-06 2018-04-05 Vistec Electron Beam Gmbh electron beam writer
KR102255954B1 (en) * 2013-10-22 2021-05-24 어플라이드 머티어리얼스, 인코포레이티드 Pattern generators employing processors to vary delivery dose of writing beams according to photoresist thickness, and associated methods
USRE48612E1 (en) 2013-10-31 2021-06-29 Sigray, Inc. X-ray interferometric imaging system
US10401309B2 (en) * 2014-05-15 2019-09-03 Sigray, Inc. X-ray techniques using structured illumination
US10247683B2 (en) 2016-12-03 2019-04-02 Sigray, Inc. Material measurement techniques using multiple X-ray micro-beams
JP6937380B2 (en) 2017-03-22 2021-09-22 シグレイ、インコーポレイテッド Methods for performing X-ray spectroscopy and X-ray absorption spectroscopy systems
JP6867028B2 (en) * 2017-09-20 2021-04-28 株式会社ブイ・テクノロジー Pattern exposure method and pattern exposure equipment
US10578566B2 (en) 2018-04-03 2020-03-03 Sigray, Inc. X-ray emission spectrometer system
DE112019002822T5 (en) 2018-06-04 2021-02-18 Sigray, Inc. WAVELENGTH DISPERSIVE X-RAY SPECTROMETER
WO2020023408A1 (en) 2018-07-26 2020-01-30 Sigray, Inc. High brightness x-ray reflection source
US10656105B2 (en) 2018-08-06 2020-05-19 Sigray, Inc. Talbot-lau x-ray source and interferometric system
CN112638261A (en) 2018-09-04 2021-04-09 斯格瑞公司 System and method for utilizing filtered x-ray fluorescence
DE112019004478T5 (en) 2018-09-07 2021-07-08 Sigray, Inc. SYSTEM AND PROCEDURE FOR X-RAY ANALYSIS WITH SELECTABLE DEPTH
JP7167842B2 (en) * 2019-05-08 2022-11-09 株式会社ニューフレアテクノロジー Charged particle beam writing method and charged particle beam writing apparatus
US11217357B2 (en) 2020-02-10 2022-01-04 Sigray, Inc. X-ray mirror optics with multiple hyperboloidal/hyperbolic surface profiles

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5241185A (en) * 1991-01-24 1993-08-31 International Business Machines Corporation Proximity correction method for e-beam lithography
US20030054580A1 (en) * 2001-09-18 2003-03-20 Hitachi, Ltd. Semiconductor device and a manufacturing method of the same
US6646275B2 (en) * 1994-03-15 2003-11-11 Fujitsu Limited Charged particle beam exposure system and method
US20040268289A1 (en) * 1999-05-20 2004-12-30 Torbjorn Sandstrom Method for error reduction in lithography

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03174716A (en) 1989-08-07 1991-07-29 Hitachi Ltd Apparatus and system for electron beam lithography
US5051598A (en) 1990-09-12 1991-09-24 International Business Machines Corporation Method for correcting proximity effects in electron beam lithography
JP2647000B2 (en) * 1994-05-25 1997-08-27 日本電気株式会社 Electron beam exposure method
JP3469422B2 (en) 1996-02-23 2003-11-25 株式会社東芝 Charged beam writing method and writing apparatus
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JP2950280B2 (en) * 1997-03-31 1999-09-20 日本電気株式会社 Electron beam drawing method
JPH10282635A (en) * 1997-04-09 1998-10-23 Sony Corp Method for correcting pattern data, electron beam writing method, photomask and its manufacture, exposure method, semiconductor device and its production and pattern data correcting device
DE19818440C2 (en) * 1998-04-24 2002-10-24 Pdf Solutions Gmbh Method for generating data for the production of a structure defined by design data
US6720565B2 (en) 1999-06-30 2004-04-13 Applied Materials, Inc. Real-time prediction of and correction of proximity resist heating in raster scan particle beam lithography
US6373071B1 (en) 1999-06-30 2002-04-16 Applied Materials, Inc. Real-time prediction of proximity resist heating and correction of raster scan electron beam lithography
US6815693B2 (en) * 2000-02-18 2004-11-09 Nikon Corporation Charged-particle-beam microlithography apparatus and methods including proximity-effect correction
US6379851B1 (en) 2000-07-31 2002-04-30 Applied Materials, Inc. Methods to predict and correct resist heating during lithography
TW525226B (en) * 2002-03-08 2003-03-21 Nat Science Council Electron beam lithography method for semiconductor device
TW578208B (en) * 2003-01-29 2004-03-01 United Microelectronics Corp Phase shifting lithographic process
JP4463589B2 (en) * 2003-08-21 2010-05-19 富士通マイクロエレクトロニクス株式会社 Method for generating backscattering intensity based on underlying structure in charged particle beam exposure and method for manufacturing semiconductor device using the method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5241185A (en) * 1991-01-24 1993-08-31 International Business Machines Corporation Proximity correction method for e-beam lithography
US6646275B2 (en) * 1994-03-15 2003-11-11 Fujitsu Limited Charged particle beam exposure system and method
US20040268289A1 (en) * 1999-05-20 2004-12-30 Torbjorn Sandstrom Method for error reduction in lithography
US20030054580A1 (en) * 2001-09-18 2003-03-20 Hitachi, Ltd. Semiconductor device and a manufacturing method of the same

Also Published As

Publication number Publication date
US7902528B2 (en) 2011-03-08
US20080116398A1 (en) 2008-05-22
TWI471744B (en) 2015-02-01
TW200834366A (en) 2008-08-16
WO2008064178A2 (en) 2008-05-29

Similar Documents

Publication Publication Date Title
WO2008064178A3 (en) Method and system for proximity effect and dose correction for a particle beam writing device
TW200801790A (en) Pattern generation method and charged particle beam writing apparatus
GB0815434D0 (en) Aberration evaluation pattern,aberration evaluation method,aberration correction method,electron beam drawing apparatus,electron microscope,master,stamper
TW200745739A (en) Method and apparatus for performing dark field double dipole lithography (DDL)
WO2010025032A3 (en) Method for optical proximity correction, design and manufacturing of a reticle using variable shaped beam lithography
EP2092534A4 (en) Stencil design and method for cell projection particle beam lithography
GB0901265D0 (en) Electron beam control method, electron beam generating apparatus, apparatus using the same, and emitter
EP1947674A4 (en) Electron gun, electron beam exposure system and exposure method
WO2008064176A3 (en) Method and system for improving particle beam lithography
TW200617616A (en) Lithographic apparatus and device manufacturing method
WO2010025031A3 (en) Method for optical proximity correction, design and manufacturing of a reticle using character projection lithography
EP2003498A4 (en) Photomask, method for manufacturing such photomask, pattern forming method using such photomask and mask data creating method
EP2157477A4 (en) Resist composition for negative working-type development, and method for pattern formation using the resist composition
WO2008060442A3 (en) Portal for wagering games
TW200707466A (en) Conductive patterning
GB0708916D0 (en) Pattern lock system for particle-beam exposure apparatus
EP2555058A3 (en) Environmental Control Subsystem for a Variable Data Lithographic Apparatus
WO2008058671A8 (en) Projection apparatus having improved projection properties
TWI348723B (en) Radiation system, lithographic apparatus and method of aligning a discharge axis of a discharge radiation
TW200701302A (en) Methods and apparatus for enabling multiple process steps on a single substrate
EP1925020A4 (en) Systems, masks, and methods for photolithography
SG126877A1 (en) A method, program product and apparatus for performing double exposure lithography
EP2048561A3 (en) Methods and apparatus to upgrade and provide control redundancy in process plants
EP1717261A4 (en) Polymer compound, photoresist composition containing such polymer compound, and method for forming resist pattern
TW200637051A (en) Mask, mask manufacturing method, pattern forming apparatus, and pattern formation method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07845119

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07845119

Country of ref document: EP

Kind code of ref document: A2