WO2008036115A1 - Novel structure and method for metal integration - Google Patents

Novel structure and method for metal integration Download PDF

Info

Publication number
WO2008036115A1
WO2008036115A1 PCT/US2007/005414 US2007005414W WO2008036115A1 WO 2008036115 A1 WO2008036115 A1 WO 2008036115A1 US 2007005414 W US2007005414 W US 2007005414W WO 2008036115 A1 WO2008036115 A1 WO 2008036115A1
Authority
WO
WIPO (PCT)
Prior art keywords
dielectric
diffusion barrier
dielectric material
line
forming
Prior art date
Application number
PCT/US2007/005414
Other languages
French (fr)
Inventor
Chih-Chao Yang
Terry A. Spooner
Oscar Van Der Straten
Original Assignee
International Business Machines Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corporation filed Critical International Business Machines Corporation
Priority to JP2008557413A priority Critical patent/JP5430946B2/en
Priority to EP07861255.3A priority patent/EP1992012B1/en
Priority to CN2007800066774A priority patent/CN101390204B/en
Publication of WO2008036115A1 publication Critical patent/WO2008036115A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a semiconductor structure and a method of fabricating the same. More particularly, the present invention relates to an interconnect structure containing a continuous diffusion barrier within a line opening that is located above a via opening and a method of fabricating such a semiconductor structure.
  • the continuous diffusion barrier is formed after providing a gouging feature into a conductive feature that is located beneath the via opening. Because of this, no damages are introduced into the dielectric material during formation of the gouging feature.
  • semiconductor devices include a plurality of circuits which form an integrated circuit fabricated on a semiconductor substrate.
  • a complex network of signal paths will normally be routed to connect the circuit elements distributed on the surface of the substrate. Efficient routing of these signals across the device requires formation of multilevel or multilayered schemes, such as, for example, single or dual damascene wiring structures.
  • metal vias run perpendicular to the semiconductor substrate and metal lines run parallel to the semiconductor substrate.
  • the argon sputtering technique that is used to create via gouging in the prior art not only removes the deposited liner material, e.g., TaN, from the trench (i.e., line opening) bottom, but also damages the low k dielectric material. Because of the requirement of creating the gouging feature, the final interconnect structure not only has poor liner coverage at the trench bottom, but severe damage has been introduced into the low k dielectric material from the Ar sputtering process. This becomes a major yield detractor and a reliability concern for advanced chip manufacturing.
  • the deposited liner material e.g., TaN
  • FIG. IA illustrates a prior art structure that is formed after dual damascene patterning of an upper interconnect level 108 which is located atop a lower interconnect level 100.
  • the lower interconnect level 100 includes a first low k dielectric material 102 which includes a metallic, Cu, feature 104 therein.
  • the lower interconnect level 100 is separated in part from the upper interconnect level 108 by a capping layer 106.
  • the upper interconnect level 108 includes a second low k dielectric material 1 10 that includes both line 1 12 and via 114 openings located therein.
  • a surface of the metallic feature 104 of the lower interconnect level 100 that is beneath the via opening 114 is exposed as is shown in FIG. IA.
  • FIG. IB shows the prior art structure of FIG. IA after forming a diffusion barrier, e.g., TaN, 116 over all of the exposed surfaces.
  • Argon sputtering such as is shown in FIG. 1C, is then performed to clean the bottom horizontal surface within the via opening 114 and form a gouging feature (i.e., anchoring area) 118 into the metallic feature 104 of the lower interconnect level 100.
  • the gouging feature 118 is employed to enhance the interconnect strength between the various interconnect levels shown.
  • the diffusion barrier 116 is removed from the bottom of each of the line openings 112, and dielectric damages 120 (which are indicated by circles in the second low k dielectric material 110) are formed at the bottom of each of the line openings 112.
  • the dielectric damages 120 formed during the sputtering process are due to the inherent aggressive nature of prior art sputtering processes.
  • FIG. ID shows the prior art structure of FIG. 1C after forming a metal liner layer, e.g., Ta, Ru, Ir, Rh or Pt, 122 on the exposed surfaces thereof.
  • FIG. IE illustrates the prior art structure after filling the line and via openings (112 and 114, respectively) with a conductive metal, e.g., Cu, 124 and planarization.
  • the prior art structure has poor diffusion barrier 116 coverage (designated by reference numeral 126) at the bottom of the metallic filled lines and a feature-bottom roughness which is a result of the damages 120 formed into the second low k dielectric material 1 10. Both of these characteristics reduce the quality of the diffusion barrier 116 and degrade the overall wiring reliability.
  • both of the aforementioned characteristics result in the structure exhibiting a high-level of metal-to-metal leakage.
  • Porous ultra-low k dielectric materials have been developed and have been used in interconnect structures as one of the interlevel dielectrics.
  • the damage impact of argon sputtering is much higher on most ultra-low k dielectric materials tested, which makes integration of the current metallization approach (See FIGS. IA-I E, for example) with ultra-low k dielectric materials nearly impossible.
  • all of the current ultra-low k hardware has failed during barrier integrity testing.
  • FIG. 2 A scanning electron micrograph (SEM) cross sectional of a prior art interconnect structure with Cu interconnects in an ultra-low k dielectric is shown in FIG. 2.
  • the arrows included in the SEM image point to the damages formed into the ultra-low k dielectric material during Ar sputtering.
  • the present invention provides an interconnect structure including a gouging feature at the bottom of the via openings and a method of forming the same, which does not disrupt the coverage of the deposited diffusion barrier in the overlying line opening, nor does the inventive method introduce damages caused by Ar sputtering into the dielectric material that includes the via and line openings.
  • such an interconnect structure is achieved by providing the gouging feature in the bottom of the via opening prior to formation of the line opening and deposition of the diffusion barrier in said line opening.
  • the inventive interconnect structure Since diffusion barrier coverage is continuous in the line regions of the inventive interconnect structure and no damages are introduced into the interconnect dielectric material, the inventive interconnect structure has an improved wiring reliability and a lower-level of metal-to-metal leakage than the prior interconnect structure which is fabricated utilizing the processing flow shown in FIGS. IA- IE.
  • the invention provides a semiconductor structure that comprises:
  • a lower interconnect level including a first dielectric material having at least one conductive feature embedded therein;
  • a dielectric capping layer located on said first dielectric material and some, but not all, portions of the at least one conductive feature
  • an upper interconnect level including a second dielectric material having at least one conductively filled via and an overlying conductively filled line disposed therein, wherein said conductively filled via is in contact with an exposed surface of the at least one conductive feature of said first interconnect level by an anchoring area,
  • said conductively filled via is separated from said second dielectric material by a first diffusion barrier layer
  • said conductively filled line is separated from said second dielectric material by a second continuous diffusion barrier layer thereby the second dielectric material includes no damaged regions in areas adjacent to said conductively filled line.
  • the interconnect structure includes vias and lines that are filled with Cu or a Cu-containing alloy, and the first and second dielectric materials are the same or different porous dielectric materials having a dielectric constant of about 2.8 or less.
  • the present invention provides a semiconductor structure comprising:
  • a lower interconnect level including a first dielectric material having at least one conductive feature embedded therein;
  • a dielectric capping layer located on said first dielectric material and some, but not all, portions of the at least one conductive feature
  • an upper interconnect level including a second dielectric material having at least one conductively filled via and an overlying conductively filled line disposed therein, wherein said conductively filled via is in contact with said at least one conductive feature in said at least one first interconnect level by an anchoring area,
  • a metallic interfacial layer located at a surface of said anchoring area and is in contact with said conductively filled via
  • said conductively filled via is separated from said second dielectric material by a first diffusion barrier layer
  • said conductively filled line is separated from said second dielectric material by a second continuous diffusion barrier layer thereby the second dielectric material includes no damaged regions in areas adjacent to said conductively filled line.
  • the present invention also provides a method of fabricating the same.
  • the method includes:
  • an initial interconnect structure that includes a lower interconnect level comprising a first dielectric layer having at least one conductive feature embedded therein, an upper interconnect level comprising a second dielectric having at least one via opening that exposes a portion of said at least one conductive feature located atop said lower interconnect level, said lower and upper interconnect levels are separated in part by a dielectric capping layer, and a patterned hard mask on a surface of the said upper interconnect level;
  • the method of the present invention includes filling the vias and lines with Cu or a Cu-containing alloy, and using a porous dielectric material having a dielectric constant of about 2.8 or less as both the first and second dielectric.
  • the method includes the steps of:
  • an initial interconnect structure that includes a lower interconnect level comprising a first dielectric layer having at least one conductive feature embedded therein, an upper interconnect level comprising a second dielectric having at least one via opening that exposes a portion of said at least one conductive feature located atop said lower interconnect level, said lower and upper interconnect levels are separated in part by a dielectric capping layer, and a patterned hard mask on a surface of the said upper interconnect level;
  • FIGS. IA- IE are pictorial representations (through cross sectional views) illustrating the basic processing steps used in the prior art in forming an interconnect structure.
  • FIG. 2 is a SEM image (through a cross sectional view) illustrating a prior art interconnect structure with Cu interconnects in an ultra-low k dielectric.
  • FIG. 3 is a pictorial representation (through a cross sectional view) illustrating an initial structure of the present invention after forming a via contact opening (herein after via opening) with an upper interconnect level.
  • FIG. 4 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 3 after forming a first diffusion barrier at least within the via opening.
  • FIG. 5A is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 4 after sputtering to remove the first diffusion barrier from the bottom of the via contact opening and punching through into an underlying conductive feature forming a gouging feature therein;
  • FIG. 5B shows an optional embodiment of the present invention in which a metallic interfacial layer is provided to the structure shown in FIG. 5A.
  • FIG. 6 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 5 A after formation of a planarization layer, hard mask and patterned photoresist.
  • FIG. 7 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 6 after creating at least one line opening within the upper interconnect level.
  • FIG. 8 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 7 after removing remaining planarization material that protected the via opening during formation of the line opening. Possible residues are shown as being present in the via bottom.
  • FIG. 9 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 8 after removing the via bottom oxides/residues.
  • FIGS. 10A- 1OB are pictorial representations (through cross sectional views) illustrating structures of FIG. 9 that are formed after formation of a second diffusion barrier.
  • FIGS. 1 IA-I IB are pictorial representations (through cross sectional views) illustrating structures of FIGS. 1OA and 1OB, respectively that are formed after formation of an adhesion/plating seed layer.
  • FIGS. 12A-12B are pictorial representations (through cross sectional views) illustrating structures of FIGS. 1 IA and 1 IB, respectively that are formed after metallic fill and planarization.
  • the present invention which provides an interconnect structure including a gouged via feature (i.e., anchored via bottom) and a method of fabricating the same, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application.
  • the drawings of the present application which are referred to herein below in greater detail, are provided for illustrative purposes and, as such, they are not drawn to scale.
  • the process flow of the present invention begins with providing the initial interconnect structure 10 shown in FIG. 3.
  • the initial interconnect structure 10 shown in FIG. 3 comprises a multilevel interconnect including a lower interconnect level 12 and an upper interconnect level 16 that are separated in part by a dielectric capping layer 14.
  • the lower interconnect level 12 which may be located above a semiconductor substrate (not shown) including one or more semiconductor devices, comprises a first dielectric material 18 having at least one conductive feature (i.e., a conductive region) 20 that is separated from the first dielectric layer 18 by a barrier layer (not shown).
  • the upper interconnect level 16 comprises a second dielectric material 24 that has at least one via opening 26 located therein.
  • the at least one via opening 26 exposes a portion of the conductive feature 20.
  • a patterned hard mask 28 Atop the upper interconnect level 16 is a patterned hard mask 28.
  • FIG. 3 illustrates a single via opening 26, the present invention contemplates forming any number of such via openings in the second dielectric material 24 which exposes other conductive features 20 that may be present in the first dielectric material 18.
  • the initial structure 10 shown in FIG. 3 is made utilizing conventional techniques well known to those skilled in the art.
  • the initial interconnect structure can be formed by first applying the first dielectric material 18 to a surface of a substrate (not shown).
  • the substrate which is not shown, may comprise a semiconducting material, an insulating material, a conductive material or any combination thereof.
  • any semiconductor such as Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP and other III/V or IWI compound semiconductors may be used.
  • the present invention also contemplates cases in which the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
  • the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
  • the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers.
  • the substrate may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal suicide, a metal nitride or combinations thereof including multilayers.
  • the substrate comprises a semiconducting material, one or more semiconductor devices such as, for example, complementary metal oxide semiconductor (CMOS) devices can be fabricated thereon.
  • CMOS complementary metal oxide semiconductor
  • the first dielectric material 18 of the lower interconnect level 12 may comprise any interlevel or intralevel dielectric including inorganic dielectrics or organic dielectrics.
  • the first dielectric material 18 may be porous or non-porous, with porous dielectrics having a dielectric constant of about 2.8 or less being highly preferred in some embodiments of the present invention.
  • suitable dielectrics include, but are not limited to: SiO 2 , silsesquixoanes, C doped oxides (i.e., organosilicates) that include atoms of Si, C, O and H, thermosetting polyarylene ethers, or multilayers thereof.
  • polyarylene is used in this application to denote aryl moieties or inertly substituted aryl moieties which are linked together by bonds, fused rings, or inert linking groups such as, for example, oxygen, sulfur, sulfone, sulfoxide, carbonyl and the like.
  • the first dielectric material 18 typically has a dielectric constant that is about 4.0 or less, with a dielectric constant of about 2.8 or less being even more typical. These dielectrics generally have a lower parasitic crosstalk as compared with dielectric materials that have a higher dielectric constant than 4.0.
  • the thickness of the first dielectric material 18 may vary depending upon the dielectric material used as well as the exact number of dielectrics within the lower interconnect level 12. Typically, and for normal interconnect structures, the first dielectric material 18 has a thickness from about 200 to about 450 nm.
  • the lower interconnect level 12 also has at least one conductive feature 20 that is embedded in (i.e., located within) the first dielectric material 18.
  • the conductive feature 20 comprises a conductive material that is separated from the first dielectric material 18 by a barrier layer (not shown).
  • the conductive feature 20 is formed by lithography (i.e., applying a photoresist to the surface of the first dielectric material 18, exposing the photoresist to a desired pattern of radiation, and developing the exposed resist utilizing a conventional resist developer), etching (dry etching or wet etching) an opening in the first dielectric material 18 and filling the etched region with the barrier layer and then with a conductive material forming the conductive region.
  • the barrier layer which may comprise Ta, TaN, Ti, TiN, Ru, RuN, W, WN or any other material that can serve as a barrier to prevent conductive material from diffusing there through, is formed by a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • sputtering chemical solution deposition, or plating.
  • the thickness of the barrier layer may vary depending on the exact means of the deposition process as well as the material employed. Typically, the barrier layer has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being more typical.
  • the remaining region of the opening within the first dielectric material 18 is filled with a conductive material forming the conductive feature 20.
  • the conductive material used in forming the conductive feature 20 includes, for example, polySi, a conductive metal, an alloy comprising at least one conductive metal, a conductive metal suicide or combinations thereof.
  • the conductive material that is used in forming the conductive feature 20 is a conductive metal such as Cu, W or Al, with Cu or a Cu alloy (such as AlCu) being highly preferred in the present invention.
  • the conductive material is filled into the remaining opening in the first dielectric material 18 utilizing a conventional deposition process including, but not limited to: CVD, PECVD, sputtering, chemical solution deposition or plating.
  • a conventional planarization process such as, for example, chemical mechanical polishing (CMP) can be used to provide a structure in which the barrier layer and the conductive feature 20 each have an upper surface that is substantially coplanar with the upper surface of the first dielectric material 18.
  • CMP chemical mechanical polishing
  • a blanket dielectric capping layer 14 is formed on the surface of the lower interconnect level 12 utilizing a conventional deposition process such as, for example, CVD, PECVD, chemical solution deposition, or evaporation.
  • the dielectric capping layer 14 comprises any suitable dielectric capping material such as, for example, SiC, Si 4 NH 3 , SiO 2 , a carbon doped oxide, a nitrogen and hydrogen doped silicon carbide SiC(N 5 H) or multilayers thereof.
  • the thickness of the capping layer 14 may vary depending on the technique used to form the same as well as the material make-up of the layer. Typically, the capping layer 14 has a thickness from about 15 to about 55 nm, with a thickness from about 25 to about 45 nm being more typical.
  • the upper interconnect level 16 is formed by applying the second dielectric material 24 to the upper exposed surface of the capping layer 14.
  • the second dielectric material 24 may comprise the same or different, preferably the same, dielectric material as that of the first dielectric material 18 of the lower interconnect level 12.
  • the processing techniques and thickness ranges for the first dielectric material 18 are also applicable here for the second dielectric material 24.
  • the second dielectric material 24 can also comprise two different materials, i.e., deposition of one dielectric material first, followed by deposition of a different dielectric material.
  • the second dielectric material 24 comprises two different low k dielectric materials and thus the upper interconnect level 16 has a hybrid structure with the subsequently filled conductively filled line embedded in a porous dielectric material, and the subsequently filled via embedded in a dense (i.e., non porous) dielectric material.
  • the porous low k dielectric has a dielectric constant of about 2.8 or less
  • the dense porous low k dielectric has a dielectric constant of about 4.0 or less.
  • At least one via opening 26 is formed into the second dielectric material 24 by first forming a blanket hard mask material atop the upper surface of the second dielectric material 24.
  • the blanket hard mask material includes an oxide, nitride, oxynitride or any combination including multilayers thereof.
  • the hard mask material is an oxide such as SiO 2 or a nitride such as Si 3 N 4 .
  • the blanket hard mask material is formed utilizing a conventional deposition process such as, for example, CVD, PECVD, chemical solution deposition or evaporation.
  • the thickness of the as-deposited hard mask material may vary depending upon the type of hard mask material formed, the number of layers that make up the hard mask material and the deposition technique used in forming the same.
  • the as-deposited hard mask material has a thickness from about 10 to about 80 nm, with a thickness from about 20 to about 60 nm being even more typical.
  • a photoresist (not shown) is formed atop the hard mask material utilizing a conventional deposition process such as, for example, CVD, PECVD, spin-on coating, chemical solution deposition or evaporation.
  • the photoresist may be a positive-tone material, a negative-tone material or a hybrid material, each of which is well known to those skilled in the art.
  • the photoresist is then subjected to a lithographic process which includes exposing the photoresist to a pattern of radiation and developing the exposed resist utilizing a conventional resist developer.
  • the lithographic step provides a patterned photoresist atop the hard mask material that defines the width of the via opening 26.
  • the via pattern is transferred into the hard mask material and then subsequently into the second dielectric material 24 utilizing one or more etching process.
  • the patterned photoresist can be stripped immediately after the via pattern is transferred into the hard mask forming patterned hard mask 28 utilizing a conventional stripping process.
  • the patterned photoresist can be stripping after the via pattern is transferred into the second dielectric material 24.
  • the etching used in transferring the via pattern may comprise a dry etching process, a wet chemical etching process or a combination thereof.
  • dry etching is used herein to denote an etching technique such as reactive-ion etching, ion beam etching, plasma etching or laser ablation.
  • a layer of diffusion barrier material (which, for the purposes of the claimed invention, relates to a first diffusion barrier layer) 30 is then formed over all of the exposed surfaces of the initial interconnect structure providing the structure shown, for example, in FIG. 4.
  • diffusion barrier material 30 covers the exposed surfaces of the patterned hard mask 28, the sidewalls of the second dielectric material 24 within the via opening 26 as well as the exposed portion of the conductive feature 20.
  • the diffusion barrier material 30 is a thin layer whose thickness is typically within the range from about 0.5 to about 20 run, with a thickness from about 1 to about 10 ran being even more typical.
  • the layer of diffusion barrier material 30 is formed utilizing a conventional deposition process including, but not limited to: CVD, PVD, ALD or spin-on coating.
  • the diffusion barrier material 30 comprises a metal- containing material such as, for example, TaN, Ta, Ti, TiN, RuTa, RuTaN, W, Ru or Ir, an insulator such as, for example, SiO 2 , Si 3 N 4 , SiC, SiC(N 5 H) or any combination thereof.
  • the structure shown in FIG. 4 is then subjected to an Ar sputtering process which removes the diffusion barrier material 30 from the bottom of the via and punches through the underlying conductive feature 20 so as to create a gouging feature (or anchoring area) 32 within the conductive feature 20.
  • the resultant structure during the Ar sputtering process is shown, for example, in FIG. 5 A. It is observed that this sputtering process also removes diffusion barrier material 30 that is located on the horizontal surfaces of the hard mask 28. The second dielectric material 24 is not damaged during this process since it is protected by the hard mask 28.
  • the Ar sputtering process utilized in forming the gouging feature 32 comprises any conventional Ar sputtering process that is typically used in interconnect technology to form such a feature.
  • Ar sputtering can be performed utilizing the following non-limiting conditions: gas flow of 20 seem Ar, temperature of 25 0 C, bias of top electrode of 400 KHz and 750 W, table bias of 13.6 MHz and 400 W, and a process pressure of 0.6 mtorr. While Ar is shown for purpose of illustration, any other gas such as He, Ne, Xe, N 2 , H 2 , NH 3 , N 2 H 2 , or mixtures thereof, can also be used for the sputtering process.
  • FIG. 5B shows an optional embodiment of the present invention in which a metallic interfacial layer 34 is formed on all the exposed surfaces shown in FIG. 5A.
  • the metallic interfacial layer 34 is formed utilizing any conventional deposition process including, for example, CVD, PECVD, chemical solution deposition, evaporation, metalorgano deposition, ALD, sputtering, PVP or plating (electroless or electro).
  • the thickness of the metallic interfacial layer 34 may vary depending on the exact metallic interfacial material used as well as the deposition technique that was used in forming the same.
  • the metallic interfacial layer 34 has a thickness from about 0.5 to about 40 nm, with a thickness from about 1 to about 10 nm being even more typical.
  • the metallic interfacial layer 34 comprises a metallic barrier material such as, for example, Co, TaN, Ta, Ti, TiN, Ru, Ir, Au, Rh, Pt, Pd or Ag. Alloys of such materials are also contemplated.
  • a planarization layer 36 is deposited filling the via opening 26 of either the structure shown in FIGS 5A and 5B.
  • the planarization layer 36 is deposited utilizing a conventional deposition process including, for example, CVD, PECVD, spin-on coating, evaporation or chemical solution deposition.
  • the planarization material includes a conventional antireflective coating material or a spun-glass material.
  • the planarization layer 36 completes fills the via opening 26 as well as extending above the via opening 26 on either the exposed surface of the hard mask 28 (as shown in FIG. 6) or atop the metallic interfacial layer 34 (not shown).
  • the structure shown in FIG. 6 also includes a second hard mask 38 disposed on a surface of the planarization layer 36 and a patterned photoresist 40 disposed on a surface of the second hard mask 38.
  • the second hard mask 38 is formed utilizing the same processing techniques as described in forming the hard mask 28 and it is comprised of one of the hard mask materials mentioned above in connection with the hard mask 28.
  • the patterned photoresist 40 is formed by deposition and lithography and it contains openings that have the width of a line opening.
  • the structure shown in FIG. 6 is then subjecting to one or more etching processes which are capable of forming the structure shown in FIG. 7.
  • the one or more etching processes form line openings 42 in the second dielectric material 24.
  • at least one of the line openings 42 is located above and connect to the via opening 26, which is protected by the remaining planarization layer 36.
  • the one or more etching steps remove, in sequential order, exposed portions of the second hard mask 38, the underlying portions of the planarization layer 36, and exposed portions of the second dielectric material 24.
  • the patterned photoresist 40 and the patterned second hard mask 38 are typically consumed during the mentioned etching steps.
  • FIG. 8 shows the structure of FIG. 7 after the remaining planarization layer 36 has been stripped from within the via opening 26.
  • the stripping of the remaining planarization layer 36 is performed utilizing either a chemical wet etching process or a chemical ashing process that is selective in removing the planarizing material from the structure.
  • oxide or etch residue 44 may remain in the gouging feature 32.
  • the oxide or etch residue 44 can be removed from the gouging feature 32 utilizing a surface cleaning process that may include a wet chemical etching process and/or a slight Ar bombardment. No damage occurs in this instance since the Ar bombardment conditions are not as harsh as that used in the prior art in forming the gouging feature 32.
  • the process time used in the present case for only surface cleaning is less than 5 seconds compared to longer than 10 seconds for creating the gouging feature used in the prior art.
  • Ar sputtering can be performed utilizing the following non-limiting conditions: gas flow of 20 seem Ar, temperature of 25°C, bias of top electrode of 400 KHz and 400 W, table bias of 13.6 MHz and 200 W, and a process pressure of 0.6 mtorr. While Ar is shown for purpose of illustration, any other gas such as He, Ne, Xe, N 2 , H 2 , NH 3 , N 2 H 2 or mixtures thereof, can also be used for the sputtering process.
  • etching residues are removed from the at least one line opening and from the at least one via opening area.
  • plasma etching which contains at least one or combination of O 2 , H 2 , N 2 , CO, CO 2 , or NH 3 is employed.
  • the etching residues are removed by a wet clean, which contains at least one or combination of HF, HCl, H 2 SO 4 , or HNO 3 .
  • FIG. 9 shows the resultant structure after performing such a cleaning process.
  • FIGS. 1OA and 1OB shows two different structures that can be formed next. Both of the structures shown in FIGS. 1OA and 1OB include a diffusion barrier 46 (for the purposes of the claimed invention, the diffusion barrier 46 represents a second diffusion barrier). As shown in FIG. 1OA, the diffusion barrier 46 only covers the exposed surfaces within the line openings 42, while in FIG. 1OB the diffusion barrier 46 covers the exposed surfaces within both the line openings 42 and the via openings 26. The extent of the diffusion barrier 46 coverage is determined by the conditions and length of the deposition process used in forming the same. It is noted that the diffusion barrier 46 is continuously present in the line openings 42 throughout the inventive process.
  • the diffusion barrier 46 comprises Ta, TaN, Ti, TiN, Ru, RuN, RuTa, RuTaN, W, WN or any other material that can serve as a barrier to prevent a conductive material from diffusing there through. Combinations of these materials are also contemplated forming a multilayered stacked diffusion barrier.
  • the diffusion barrier 46 is formed utilizing a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • sputtering chemical solution deposition, or plating.
  • the thickness of the diffusion barrier 46 may vary depending on the number of material layers within the barrier, the technique used in forming the same as well as the material of the diffusion barrier itself. Typically, the diffusion barrier 46 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being even more typical.
  • FIGS. 1 IA and 1 IB shows two different structures that can be formed next from the structures shown in FIGS. 1OA and 1OB, respectively. Both of the structures shown in FIGS. 1 IA and 1 IB include an adhesion/plating seed layer 48.
  • the adhesion/plating seed layer 48 is comprised of a metal or metal alloy from Group VIIIA of the Periodic Table of Elements.
  • suitable Group VIIIA elements for the adhesion/plating seed layer include, but are not limited to: Ru, TaRu, Ir, Rh, Pt, Pd and alloys thereof. In some embodiments, it is preferred to use Ru, Ir or Rh as layer 48.
  • the adhesion/plating seed layer 48 is formed by a conventional deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plating, sputtering and physical vapor deposition (PVP).
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • ALD atomic layer deposition
  • PVP physical vapor deposition
  • the thickness of the adhesion/plating seed layer 48 may vary depending on number of factors including, for example, the compositional material of the adhesion/plating seed layer 48 and the technique that was used in forming the same.
  • the adhesion/plating seed layer 48 has a thickness from about 0.5 to about 10 nm, with a thickness of less than 6 nm being even more typical.
  • FIGS. 12A and 12B shows different interconnect structures that can be formed from the structures shown in FIGS. 1 IA and 1 IB, respectively.
  • Each of the illustrated structures shown in FIGS. 12A and 12B is after filling the via and line openings as well as the gouging feature 32 with an interconnect conductive material 50 and planarization.
  • the interconnect conductive material 50 may comprise the same or different, preferably the same, conductive material (with the proviso that the conductive material is not polysilicon) as that of the conductive feature 20.
  • conductive material with the proviso that the conductive material is not polysilicon
  • Cu, Al, W or alloys thereof are used, with Cu or AlCu being most preferred.
  • the conductive material 50 is formed utilizing the same deposition processing as described above in forming the conductive feature 20 and following deposition of the conductive material, the structure is subjected to planarization.
  • the planarization process removes various materials that are located atop the second low k dielectric material 24 of the upper interconnect level 16.
  • the method of the present application is applicable in forming additional interconnect levels atop the levels depicted in FIGS. 3-12B.
  • Each of the various interconnect levels would include the gouging feature described hereinabove.
  • the inventive integration process allows for continuous coverage of the diffusion barrier 46 in the metallic line regions which has a uniform thickness (i.e., a thickness variation of less than 2 nm). Since diffusion barrier 46 coverage is continuous in the line regions of the inventive interconnect structure and no damages are introduced into the interconnect dielectric material, the inventive interconnect structure has an improved wiring reliability and a lower-level of metal-metal leakage than the interconnect structure shown in FIGS. 1 A-IE. It should be also noted that diffusion barrier material 30 is only present inside the via openings 26, but is not present in the line openings 42.
  • the total diffusion barrier thickness of the first diffusion barrier layer 30 and the second continuous diffusion barrier 46 within the conductively filled via is thicker than the second continuous diffusion barrier 46 within the conductively filled line.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

An interconnect structure including a gouging feature at the bottom of one of the via openings and a method of forming the same are provided. In accordance with the present invention, the method of forming the interconnect structure does not disrupt the coverage of the deposited diffusion barrier in the overlying line opening, nor does it introduce damages caused by Ar sputtering into the dielectric material including the via and line openings. In accordance with the present invention, such an interconnect structure contains a diffusion barrier layer only within the via opening, but not in the overlying line opening. This feature enhances both mechanical strength and diffusion property around the via opening areas without decreasing volume fraction of conductor inside the line openings. In accordance with the present invention, such an interconnect structure is achieved by providing the gouging feature in the bottom of the via opening prior to formation of the line opening and deposition of the diffusion barrier in said line opening.

Description

NOVEL STRUCTURE AND METHOD FOR METAL INTEGRATION
DESCRIPTION Field of the Invention
[0001] The present invention relates to a semiconductor structure and a method of fabricating the same. More particularly, the present invention relates to an interconnect structure containing a continuous diffusion barrier within a line opening that is located above a via opening and a method of fabricating such a semiconductor structure. The continuous diffusion barrier is formed after providing a gouging feature into a conductive feature that is located beneath the via opening. Because of this, no damages are introduced into the dielectric material during formation of the gouging feature.
Background of the Invention
[0002] Generally, semiconductor devices include a plurality of circuits which form an integrated circuit fabricated on a semiconductor substrate. A complex network of signal paths will normally be routed to connect the circuit elements distributed on the surface of the substrate. Efficient routing of these signals across the device requires formation of multilevel or multilayered schemes, such as, for example, single or dual damascene wiring structures. Within a typical interconnect structure, metal vias run perpendicular to the semiconductor substrate and metal lines run parallel to the semiconductor substrate.
[0003] As millions and millions of devices and circuits are squeezed on a semiconductor chip, the wiring density and the number of metal levels are both increased generation after generation. In order to provide low RC for high signal speed, low k dielectric materials having a dielectric constant of less than silicon dioxide as well as copper-containing lines are becoming a necessity. The quality of thin metal wirings and studs formed by a conventional damascene process is extremely important to ensure yield and reliability. The major problem encountered in this area today is poor mechanical integrity of deep submicron metal studs embedded in low k dielectric materials, which can cause unsatisfied thermal cycling and stress migration resistance in interconnect structures. This problem becomes more severe when either new metallization approaches or porous low k dielectric materials are used.
[0004] To solve this weak mechanical strength issue while employing copper damascene and low k dielectric materials in an interconnect structure, a so called "via punch-through" technique has been adopted by the semiconductor industry. The via punch-thorough provides a via- gouging feature (or anchoring area) within the interconnect structure. Such a via-gouging feature is reported to achieve a reasonable contact resistance as well as an increased mechanical strength of the contact stud. These findings have been reported, for example, in M. -Si. Liang "Challenges in Cu/Low k Integration", IEEE Int. Electron Devices Meeting, 313 (2004), D. Edelstein et al. "Comprehensive Reliability Evaluation of a 90 nm CMOS Technology with Cu/PECVD Low k BEOL", IEEE Int. Reliability Physics Symp., 316 (2004), and U.S. Patent Nos. 4,184,909 to Chang et al., 5,933,753 to Simon et al., 5,985,762 to Geffken et al., 6,429,519 to Uzoh et al. and 6,784,105 to Yang et al.
[0005] However, the argon sputtering technique that is used to create via gouging in the prior art not only removes the deposited liner material, e.g., TaN, from the trench (i.e., line opening) bottom, but also damages the low k dielectric material. Because of the requirement of creating the gouging feature, the final interconnect structure not only has poor liner coverage at the trench bottom, but severe damage has been introduced into the low k dielectric material from the Ar sputtering process. This becomes a major yield detractor and a reliability concern for advanced chip manufacturing.
[0006] The detailed processing steps of the existing prior art approach for via gouging are illustrated in FIGS. IA- IE and are described herein below. Reference is first made to FIG. IA which illustrates a prior art structure that is formed after dual damascene patterning of an upper interconnect level 108 which is located atop a lower interconnect level 100. The lower interconnect level 100 includes a first low k dielectric material 102 which includes a metallic, Cu, feature 104 therein. The lower interconnect level 100 is separated in part from the upper interconnect level 108 by a capping layer 106. The upper interconnect level 108 includes a second low k dielectric material 1 10 that includes both line 1 12 and via 114 openings located therein. A surface of the metallic feature 104 of the lower interconnect level 100 that is beneath the via opening 114 is exposed as is shown in FIG. IA.
[0007] FIG. IB shows the prior art structure of FIG. IA after forming a diffusion barrier, e.g., TaN, 116 over all of the exposed surfaces. Argon sputtering, such as is shown in FIG. 1C, is then performed to clean the bottom horizontal surface within the via opening 114 and form a gouging feature (i.e., anchoring area) 118 into the metallic feature 104 of the lower interconnect level 100. The gouging feature 118 is employed to enhance the interconnect strength between the various interconnect levels shown. During the Ar sputtering process, the diffusion barrier 116 is removed from the bottom of each of the line openings 112, and dielectric damages 120 (which are indicated by circles in the second low k dielectric material 110) are formed at the bottom of each of the line openings 112. The dielectric damages 120 formed during the sputtering process are due to the inherent aggressive nature of prior art sputtering processes.
[0008] FIG. ID shows the prior art structure of FIG. 1C after forming a metal liner layer, e.g., Ta, Ru, Ir, Rh or Pt, 122 on the exposed surfaces thereof. FIG. IE illustrates the prior art structure after filling the line and via openings (112 and 114, respectively) with a conductive metal, e.g., Cu, 124 and planarization. As shown in FIG. IE, the prior art structure has poor diffusion barrier 116 coverage (designated by reference numeral 126) at the bottom of the metallic filled lines and a feature-bottom roughness which is a result of the damages 120 formed into the second low k dielectric material 1 10. Both of these characteristics reduce the quality of the diffusion barrier 116 and degrade the overall wiring reliability. Moreover, both of the aforementioned characteristics result in the structure exhibiting a high-level of metal-to-metal leakage.
[0009] Porous ultra-low k dielectric materials (having a dielectric constant of about 2.8 or less) have been developed and have been used in interconnect structures as one of the interlevel dielectrics. As compared to dense (i.e., non-porous) low k dielectrics, the damage impact of argon sputtering is much higher on most ultra-low k dielectric materials tested, which makes integration of the current metallization approach (See FIGS. IA-I E, for example) with ultra-low k dielectric materials nearly impossible. As a result, all of the current ultra-low k hardware has failed during barrier integrity testing. A scanning electron micrograph (SEM) cross sectional of a prior art interconnect structure with Cu interconnects in an ultra-low k dielectric is shown in FIG. 2. The arrows included in the SEM image point to the damages formed into the ultra-low k dielectric material during Ar sputtering.
[0010] In view of the above drawbacks with prior art interconnect structures, and particularly in those including a porous ultra-low k dielectric as one of the interlevel dielectric materials, there is a continued need for developing a new and improved integration scheme that avoids removal of the diffusion barrier from the horizontal surfaces of the line openings formed into a dielectric material (including low k and ultra-low k) and thereby not introducing damages into the dielectric material.
Summary of the Invention
[0011] The present invention provides an interconnect structure including a gouging feature at the bottom of the via openings and a method of forming the same, which does not disrupt the coverage of the deposited diffusion barrier in the overlying line opening, nor does the inventive method introduce damages caused by Ar sputtering into the dielectric material that includes the via and line openings. In accordance with the present invention, such an interconnect structure is achieved by providing the gouging feature in the bottom of the via opening prior to formation of the line opening and deposition of the diffusion barrier in said line opening.
[0012] Since diffusion barrier coverage is continuous in the line regions of the inventive interconnect structure and no damages are introduced into the interconnect dielectric material, the inventive interconnect structure has an improved wiring reliability and a lower-level of metal-to-metal leakage than the prior interconnect structure which is fabricated utilizing the processing flow shown in FIGS. IA- IE.
[0013] In one embodiment of the present invention, the invention provides a semiconductor structure that comprises:
a lower interconnect level including a first dielectric material having at least one conductive feature embedded therein;
a dielectric capping layer located on said first dielectric material and some, but not all, portions of the at least one conductive feature; and
an upper interconnect level including a second dielectric material having at least one conductively filled via and an overlying conductively filled line disposed therein, wherein said conductively filled via is in contact with an exposed surface of the at least one conductive feature of said first interconnect level by an anchoring area,
said conductively filled via is separated from said second dielectric material by a first diffusion barrier layer, and
said conductively filled line is separated from said second dielectric material by a second continuous diffusion barrier layer thereby the second dielectric material includes no damaged regions in areas adjacent to said conductively filled line.
[0014] In a preferred embodiment of the present invention, the interconnect structure includes vias and lines that are filled with Cu or a Cu-containing alloy, and the first and second dielectric materials are the same or different porous dielectric materials having a dielectric constant of about 2.8 or less. [0015] In yet another embodiment of the present invention, the present invention provides a semiconductor structure comprising:
a lower interconnect level including a first dielectric material having at least one conductive feature embedded therein;
a dielectric capping layer located on said first dielectric material and some, but not all, portions of the at least one conductive feature; and
an upper interconnect level including a second dielectric material having at least one conductively filled via and an overlying conductively filled line disposed therein, wherein said conductively filled via is in contact with said at least one conductive feature in said at least one first interconnect level by an anchoring area,
a metallic interfacial layer located at a surface of said anchoring area and is in contact with said conductively filled via,
said conductively filled via is separated from said second dielectric material by a first diffusion barrier layer, and
said conductively filled line is separated from said second dielectric material by a second continuous diffusion barrier layer thereby the second dielectric material includes no damaged regions in areas adjacent to said conductively filled line.
[0016] In addition to providing the aforementioned semiconductor structures, the present invention also provides a method of fabricating the same. In one embodiment of the present invention, the method includes:
providing an initial interconnect structure that includes a lower interconnect level comprising a first dielectric layer having at least one conductive feature embedded therein, an upper interconnect level comprising a second dielectric having at least one via opening that exposes a portion of said at least one conductive feature located atop said lower interconnect level, said lower and upper interconnect levels are separated in part by a dielectric capping layer, and a patterned hard mask on a surface of the said upper interconnect level;
forming a first barrier layer on all exposed surfaces of the initial interconnect structure;
forming a punch-through gouging feature in said at least one conductive feature that is located at the bottom of said via opening;
forming at least one line opening in said second dielectric material that extends above said at least one via opening;
forming a second continuous diffusion barrier layer at least within said at least one line opening;
forming an adhesion/plating seed layer within both said at least one line opening and said at least one via opening; and
filling said at least one line opening and at least one via opening with a conductive material.
[0017] In a preferred embodiment of the present invention, the method of the present invention includes filling the vias and lines with Cu or a Cu-containing alloy, and using a porous dielectric material having a dielectric constant of about 2.8 or less as both the first and second dielectric.
[0018] In yet another embodiment of the present invention, the method includes the steps of:
providing an initial interconnect structure that includes a lower interconnect level comprising a first dielectric layer having at least one conductive feature embedded therein, an upper interconnect level comprising a second dielectric having at least one via opening that exposes a portion of said at least one conductive feature located atop said lower interconnect level, said lower and upper interconnect levels are separated in part by a dielectric capping layer, and a patterned hard mask on a surface of the said upper interconnect level;
forming a first barrier layer on all exposed surfaces of the initial interconnect structure;
forming a punch-through gouging feature in said at least one conductive feature that is located at the bottom of said via opening;
forming a metallic interfacial layer atop said gouging feature;
forming at least one line opening in said second dielectric material that extends above said at least one via opening;
removing etching residues from said at least one line opening and from said at least one via opening;
forming a second continuous diffusion barrier layer at least within said at least one line opening;
forming an adhesion/plating seed layer within both said at least one line opening and said at least one via opening; and
filling said at least one line opening and at least one via opening with a conductive material.
Brief Description of the Drawings
[0019] FIGS. IA- IE are pictorial representations (through cross sectional views) illustrating the basic processing steps used in the prior art in forming an interconnect structure.
[0020] FIG. 2 is a SEM image (through a cross sectional view) illustrating a prior art interconnect structure with Cu interconnects in an ultra-low k dielectric. [0021] FIG. 3 is a pictorial representation (through a cross sectional view) illustrating an initial structure of the present invention after forming a via contact opening (herein after via opening) with an upper interconnect level.
[0022] FIG. 4 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 3 after forming a first diffusion barrier at least within the via opening.
[0023] FIG. 5A is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 4 after sputtering to remove the first diffusion barrier from the bottom of the via contact opening and punching through into an underlying conductive feature forming a gouging feature therein; FIG. 5B shows an optional embodiment of the present invention in which a metallic interfacial layer is provided to the structure shown in FIG. 5A.
[0024] FIG. 6 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 5 A after formation of a planarization layer, hard mask and patterned photoresist.
[0025] FIG. 7 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 6 after creating at least one line opening within the upper interconnect level.
[0026] FIG. 8 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 7 after removing remaining planarization material that protected the via opening during formation of the line opening. Possible residues are shown as being present in the via bottom.
[0027] FIG. 9 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 8 after removing the via bottom oxides/residues. [0028] FIGS. 10A- 1OB are pictorial representations (through cross sectional views) illustrating structures of FIG. 9 that are formed after formation of a second diffusion barrier.
[0029] FIGS. 1 IA-I IB are pictorial representations (through cross sectional views) illustrating structures of FIGS. 1OA and 1OB, respectively that are formed after formation of an adhesion/plating seed layer.
[0030] FIGS. 12A-12B are pictorial representations (through cross sectional views) illustrating structures of FIGS. 1 IA and 1 IB, respectively that are formed after metallic fill and planarization.
Detailed Description of the Invention
[0031] The present invention, which provides an interconnect structure including a gouged via feature (i.e., anchored via bottom) and a method of fabricating the same, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. The drawings of the present application, which are referred to herein below in greater detail, are provided for illustrative purposes and, as such, they are not drawn to scale.
[0032] The process flow of the present invention begins with providing the initial interconnect structure 10 shown in FIG. 3. Specifically, the initial interconnect structure 10 shown in FIG. 3 comprises a multilevel interconnect including a lower interconnect level 12 and an upper interconnect level 16 that are separated in part by a dielectric capping layer 14. The lower interconnect level 12, which may be located above a semiconductor substrate (not shown) including one or more semiconductor devices, comprises a first dielectric material 18 having at least one conductive feature (i.e., a conductive region) 20 that is separated from the first dielectric layer 18 by a barrier layer (not shown). The upper interconnect level 16 comprises a second dielectric material 24 that has at least one via opening 26 located therein. As is shown, the at least one via opening 26 exposes a portion of the conductive feature 20. Atop the upper interconnect level 16 is a patterned hard mask 28. Although the structure shown in FIG. 3 illustrates a single via opening 26, the present invention contemplates forming any number of such via openings in the second dielectric material 24 which exposes other conductive features 20 that may be present in the first dielectric material 18.
[0033] The initial structure 10 shown in FIG. 3 is made utilizing conventional techniques well known to those skilled in the art. For example, the initial interconnect structure can be formed by first applying the first dielectric material 18 to a surface of a substrate (not shown). The substrate, which is not shown, may comprise a semiconducting material, an insulating material, a conductive material or any combination thereof. When the substrate is comprised of a semiconducting material, any semiconductor such as Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP and other III/V or IWI compound semiconductors may be used. In addition to these listed types of semiconducting materials, the present invention also contemplates cases in which the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
[0034] When the substrate is an insulating material, the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers. When the substrate is a conducting material, the substrate may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal suicide, a metal nitride or combinations thereof including multilayers. When the substrate comprises a semiconducting material, one or more semiconductor devices such as, for example, complementary metal oxide semiconductor (CMOS) devices can be fabricated thereon.
[0035] The first dielectric material 18 of the lower interconnect level 12 may comprise any interlevel or intralevel dielectric including inorganic dielectrics or organic dielectrics. The first dielectric material 18 may be porous or non-porous, with porous dielectrics having a dielectric constant of about 2.8 or less being highly preferred in some embodiments of the present invention. Some examples of suitable dielectrics that can be used as the first dielectric material 18 include, but are not limited to: SiO2, silsesquixoanes, C doped oxides (i.e., organosilicates) that include atoms of Si, C, O and H, thermosetting polyarylene ethers, or multilayers thereof. The term "polyarylene" is used in this application to denote aryl moieties or inertly substituted aryl moieties which are linked together by bonds, fused rings, or inert linking groups such as, for example, oxygen, sulfur, sulfone, sulfoxide, carbonyl and the like.
[0036] The first dielectric material 18 typically has a dielectric constant that is about 4.0 or less, with a dielectric constant of about 2.8 or less being even more typical. These dielectrics generally have a lower parasitic crosstalk as compared with dielectric materials that have a higher dielectric constant than 4.0. The thickness of the first dielectric material 18 may vary depending upon the dielectric material used as well as the exact number of dielectrics within the lower interconnect level 12. Typically, and for normal interconnect structures, the first dielectric material 18 has a thickness from about 200 to about 450 nm.
[0037] The lower interconnect level 12 also has at least one conductive feature 20 that is embedded in (i.e., located within) the first dielectric material 18. The conductive feature 20 comprises a conductive material that is separated from the first dielectric material 18 by a barrier layer (not shown). The conductive feature 20 is formed by lithography (i.e., applying a photoresist to the surface of the first dielectric material 18, exposing the photoresist to a desired pattern of radiation, and developing the exposed resist utilizing a conventional resist developer), etching (dry etching or wet etching) an opening in the first dielectric material 18 and filling the etched region with the barrier layer and then with a conductive material forming the conductive region. The barrier layer, which may comprise Ta, TaN, Ti, TiN, Ru, RuN, W, WN or any other material that can serve as a barrier to prevent conductive material from diffusing there through, is formed by a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
[0038] The thickness of the barrier layer may vary depending on the exact means of the deposition process as well as the material employed. Typically, the barrier layer has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being more typical. [0039] Following the barrier layer formation, the remaining region of the opening within the first dielectric material 18 is filled with a conductive material forming the conductive feature 20. The conductive material used in forming the conductive feature 20 includes, for example, polySi, a conductive metal, an alloy comprising at least one conductive metal, a conductive metal suicide or combinations thereof. Preferably, the conductive material that is used in forming the conductive feature 20 is a conductive metal such as Cu, W or Al, with Cu or a Cu alloy (such as AlCu) being highly preferred in the present invention. The conductive material is filled into the remaining opening in the first dielectric material 18 utilizing a conventional deposition process including, but not limited to: CVD, PECVD, sputtering, chemical solution deposition or plating. After deposition, a conventional planarization process such as, for example, chemical mechanical polishing (CMP) can be used to provide a structure in which the barrier layer and the conductive feature 20 each have an upper surface that is substantially coplanar with the upper surface of the first dielectric material 18.
[0040] After forming the at least one conductive feature 20, a blanket dielectric capping layer 14 is formed on the surface of the lower interconnect level 12 utilizing a conventional deposition process such as, for example, CVD, PECVD, chemical solution deposition, or evaporation. The dielectric capping layer 14 comprises any suitable dielectric capping material such as, for example, SiC, Si4NH3, SiO2, a carbon doped oxide, a nitrogen and hydrogen doped silicon carbide SiC(N5H) or multilayers thereof. The thickness of the capping layer 14 may vary depending on the technique used to form the same as well as the material make-up of the layer. Typically, the capping layer 14 has a thickness from about 15 to about 55 nm, with a thickness from about 25 to about 45 nm being more typical.
[0041] Next, the upper interconnect level 16 is formed by applying the second dielectric material 24 to the upper exposed surface of the capping layer 14. The second dielectric material 24 may comprise the same or different, preferably the same, dielectric material as that of the first dielectric material 18 of the lower interconnect level 12. The processing techniques and thickness ranges for the first dielectric material 18 are also applicable here for the second dielectric material 24. The second dielectric material 24 can also comprise two different materials, i.e., deposition of one dielectric material first, followed by deposition of a different dielectric material. In one embodiment of the present invention, the second dielectric material 24 comprises two different low k dielectric materials and thus the upper interconnect level 16 has a hybrid structure with the subsequently filled conductively filled line embedded in a porous dielectric material, and the subsequently filled via embedded in a dense (i.e., non porous) dielectric material. In such an embodiment, the porous low k dielectric has a dielectric constant of about 2.8 or less, and the dense porous low k dielectric has a dielectric constant of about 4.0 or less.
[0042] Next, at least one via opening 26 is formed into the second dielectric material 24 by first forming a blanket hard mask material atop the upper surface of the second dielectric material 24. The blanket hard mask material includes an oxide, nitride, oxynitride or any combination including multilayers thereof. Typically, the hard mask material is an oxide such as SiO2 or a nitride such as Si3N4. The blanket hard mask material is formed utilizing a conventional deposition process such as, for example, CVD, PECVD, chemical solution deposition or evaporation. The thickness of the as-deposited hard mask material may vary depending upon the type of hard mask material formed, the number of layers that make up the hard mask material and the deposition technique used in forming the same. Typically, the as-deposited hard mask material has a thickness from about 10 to about 80 nm, with a thickness from about 20 to about 60 nm being even more typical.
[0043] After forming the blanket layer of hard mask material, a photoresist (not shown) is formed atop the hard mask material utilizing a conventional deposition process such as, for example, CVD, PECVD, spin-on coating, chemical solution deposition or evaporation. The photoresist may be a positive-tone material, a negative-tone material or a hybrid material, each of which is well known to those skilled in the art. The photoresist is then subjected to a lithographic process which includes exposing the photoresist to a pattern of radiation and developing the exposed resist utilizing a conventional resist developer. The lithographic step provides a patterned photoresist atop the hard mask material that defines the width of the via opening 26. [0044] After providing the patterned photoresist, the via pattern is transferred into the hard mask material and then subsequently into the second dielectric material 24 utilizing one or more etching process. The patterned photoresist can be stripped immediately after the via pattern is transferred into the hard mask forming patterned hard mask 28 utilizing a conventional stripping process. Alternatively, the patterned photoresist can be stripping after the via pattern is transferred into the second dielectric material 24. The etching used in transferring the via pattern may comprise a dry etching process, a wet chemical etching process or a combination thereof. The term "dry etching" is used herein to denote an etching technique such as reactive-ion etching, ion beam etching, plasma etching or laser ablation.
[0045] After forming the initial interconnect structure 10 shown in FIG. 3, a layer of diffusion barrier material (which, for the purposes of the claimed invention, relates to a first diffusion barrier layer) 30 is then formed over all of the exposed surfaces of the initial interconnect structure providing the structure shown, for example, in FIG. 4. As is shown, diffusion barrier material 30 covers the exposed surfaces of the patterned hard mask 28, the sidewalls of the second dielectric material 24 within the via opening 26 as well as the exposed portion of the conductive feature 20. In accordance with the present invention, the diffusion barrier material 30 is a thin layer whose thickness is typically within the range from about 0.5 to about 20 run, with a thickness from about 1 to about 10 ran being even more typical. The layer of diffusion barrier material 30 is formed utilizing a conventional deposition process including, but not limited to: CVD, PVD, ALD or spin-on coating. The diffusion barrier material 30 comprises a metal- containing material such as, for example, TaN, Ta, Ti, TiN, RuTa, RuTaN, W, Ru or Ir, an insulator such as, for example, SiO2, Si3N4, SiC, SiC(N5H) or any combination thereof.
[0046] Following the formation of the diffusion barrier material 30, the structure shown in FIG. 4 is then subjected to an Ar sputtering process which removes the diffusion barrier material 30 from the bottom of the via and punches through the underlying conductive feature 20 so as to create a gouging feature (or anchoring area) 32 within the conductive feature 20. The resultant structure during the Ar sputtering process is shown, for example, in FIG. 5 A. It is observed that this sputtering process also removes diffusion barrier material 30 that is located on the horizontal surfaces of the hard mask 28. The second dielectric material 24 is not damaged during this process since it is protected by the hard mask 28. The Ar sputtering process utilized in forming the gouging feature 32 comprises any conventional Ar sputtering process that is typically used in interconnect technology to form such a feature. By way of illustration, Ar sputtering can be performed utilizing the following non-limiting conditions: gas flow of 20 seem Ar, temperature of 250C, bias of top electrode of 400 KHz and 750 W, table bias of 13.6 MHz and 400 W, and a process pressure of 0.6 mtorr. While Ar is shown for purpose of illustration, any other gas such as He, Ne, Xe, N2, H2, NH3, N2H2, or mixtures thereof, can also be used for the sputtering process.
[0047] FIG. 5B shows an optional embodiment of the present invention in which a metallic interfacial layer 34 is formed on all the exposed surfaces shown in FIG. 5A. The metallic interfacial layer 34 is formed utilizing any conventional deposition process including, for example, CVD, PECVD, chemical solution deposition, evaporation, metalorgano deposition, ALD, sputtering, PVP or plating (electroless or electro). The thickness of the metallic interfacial layer 34 may vary depending on the exact metallic interfacial material used as well as the deposition technique that was used in forming the same. Typically, the metallic interfacial layer 34 has a thickness from about 0.5 to about 40 nm, with a thickness from about 1 to about 10 nm being even more typical. The metallic interfacial layer 34 comprises a metallic barrier material such as, for example, Co, TaN, Ta, Ti, TiN, Ru, Ir, Au, Rh, Pt, Pd or Ag. Alloys of such materials are also contemplated.
[0048] Next, a planarization layer 36 is deposited filling the via opening 26 of either the structure shown in FIGS 5A and 5B. The planarization layer 36 is deposited utilizing a conventional deposition process including, for example, CVD, PECVD, spin-on coating, evaporation or chemical solution deposition. The planarization material includes a conventional antireflective coating material or a spun-glass material. As shown in FIG. 6, the planarization layer 36 completes fills the via opening 26 as well as extending above the via opening 26 on either the exposed surface of the hard mask 28 (as shown in FIG. 6) or atop the metallic interfacial layer 34 (not shown).
[0049] In addition to the planarization layer 36, the structure shown in FIG. 6 also includes a second hard mask 38 disposed on a surface of the planarization layer 36 and a patterned photoresist 40 disposed on a surface of the second hard mask 38. The second hard mask 38 is formed utilizing the same processing techniques as described in forming the hard mask 28 and it is comprised of one of the hard mask materials mentioned above in connection with the hard mask 28. The patterned photoresist 40 is formed by deposition and lithography and it contains openings that have the width of a line opening.
[0050] The structure shown in FIG. 6 is then subjecting to one or more etching processes which are capable of forming the structure shown in FIG. 7. As shown in this figure, the one or more etching processes form line openings 42 in the second dielectric material 24. In accordance with the present invention, at least one of the line openings 42 is located above and connect to the via opening 26, which is protected by the remaining planarization layer 36. The one or more etching steps remove, in sequential order, exposed portions of the second hard mask 38, the underlying portions of the planarization layer 36, and exposed portions of the second dielectric material 24. The patterned photoresist 40 and the patterned second hard mask 38 are typically consumed during the mentioned etching steps.
[0051] FIG. 8 shows the structure of FIG. 7 after the remaining planarization layer 36 has been stripped from within the via opening 26. The stripping of the remaining planarization layer 36 is performed utilizing either a chemical wet etching process or a chemical ashing process that is selective in removing the planarizing material from the structure. In some embodiments of the present invention, oxide or etch residue 44 may remain in the gouging feature 32.
[0052] In such instances, the oxide or etch residue 44 can be removed from the gouging feature 32 utilizing a surface cleaning process that may include a wet chemical etching process and/or a slight Ar bombardment. No damage occurs in this instance since the Ar bombardment conditions are not as harsh as that used in the prior art in forming the gouging feature 32. Typically, the process time used in the present case for only surface cleaning is less than 5 seconds compared to longer than 10 seconds for creating the gouging feature used in the prior art. By way of illustration, Ar sputtering can be performed utilizing the following non-limiting conditions: gas flow of 20 seem Ar, temperature of 25°C, bias of top electrode of 400 KHz and 400 W, table bias of 13.6 MHz and 200 W, and a process pressure of 0.6 mtorr. While Ar is shown for purpose of illustration, any other gas such as He, Ne, Xe, N2, H2, NH3, N2H2 or mixtures thereof, can also be used for the sputtering process.
[0053] In some embodiments of the present invention, etching residues are removed from the at least one line opening and from the at least one via opening area. In one embodiment, plasma etching, which contains at least one or combination of O2, H2, N2, CO, CO2, or NH3 is employed. In another embodiment, the etching residues are removed by a wet clean, which contains at least one or combination of HF, HCl, H2SO4, or HNO3. FIG. 9 shows the resultant structure after performing such a cleaning process.
[0054] FIGS. 1OA and 1OB shows two different structures that can be formed next. Both of the structures shown in FIGS. 1OA and 1OB include a diffusion barrier 46 (for the purposes of the claimed invention, the diffusion barrier 46 represents a second diffusion barrier). As shown in FIG. 1OA, the diffusion barrier 46 only covers the exposed surfaces within the line openings 42, while in FIG. 1OB the diffusion barrier 46 covers the exposed surfaces within both the line openings 42 and the via openings 26. The extent of the diffusion barrier 46 coverage is determined by the conditions and length of the deposition process used in forming the same. It is noted that the diffusion barrier 46 is continuously present in the line openings 42 throughout the inventive process.
[0055] In accordance with the present invention, the diffusion barrier 46 comprises Ta, TaN, Ti, TiN, Ru, RuN, RuTa, RuTaN, W, WN or any other material that can serve as a barrier to prevent a conductive material from diffusing there through. Combinations of these materials are also contemplated forming a multilayered stacked diffusion barrier. The diffusion barrier 46 is formed utilizing a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
[0056] The thickness of the diffusion barrier 46 may vary depending on the number of material layers within the barrier, the technique used in forming the same as well as the material of the diffusion barrier itself. Typically, the diffusion barrier 46 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being even more typical.
[0057] FIGS. 1 IA and 1 IB shows two different structures that can be formed next from the structures shown in FIGS. 1OA and 1OB, respectively. Both of the structures shown in FIGS. 1 IA and 1 IB include an adhesion/plating seed layer 48.
[0058] The adhesion/plating seed layer 48 is comprised of a metal or metal alloy from Group VIIIA of the Periodic Table of Elements. Examples of suitable Group VIIIA elements for the adhesion/plating seed layer include, but are not limited to: Ru, TaRu, Ir, Rh, Pt, Pd and alloys thereof. In some embodiments, it is preferred to use Ru, Ir or Rh as layer 48.
[0059] The adhesion/plating seed layer 48 is formed by a conventional deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plating, sputtering and physical vapor deposition (PVP). The thickness of the adhesion/plating seed layer 48 may vary depending on number of factors including, for example, the compositional material of the adhesion/plating seed layer 48 and the technique that was used in forming the same. Typically, the adhesion/plating seed layer 48 has a thickness from about 0.5 to about 10 nm, with a thickness of less than 6 nm being even more typical.
[0060] FIGS. 12A and 12B shows different interconnect structures that can be formed from the structures shown in FIGS. 1 IA and 1 IB, respectively. Each of the illustrated structures shown in FIGS. 12A and 12B is after filling the via and line openings as well as the gouging feature 32 with an interconnect conductive material 50 and planarization. The interconnect conductive material 50 may comprise the same or different, preferably the same, conductive material (with the proviso that the conductive material is not polysilicon) as that of the conductive feature 20. Preferably, Cu, Al, W or alloys thereof are used, with Cu or AlCu being most preferred. The conductive material 50 is formed utilizing the same deposition processing as described above in forming the conductive feature 20 and following deposition of the conductive material, the structure is subjected to planarization. The planarization process removes various materials that are located atop the second low k dielectric material 24 of the upper interconnect level 16.
[0061] The method of the present application is applicable in forming additional interconnect levels atop the levels depicted in FIGS. 3-12B. Each of the various interconnect levels would include the gouging feature described hereinabove.
[0062] Because of the integration processing scheme described above, no damaged regions are formed into the second dielectric material 24 during the formation of the gouging feature 32. Moreover, the inventive integration process allows for continuous coverage of the diffusion barrier 46 in the metallic line regions which has a uniform thickness (i.e., a thickness variation of less than 2 nm). Since diffusion barrier 46 coverage is continuous in the line regions of the inventive interconnect structure and no damages are introduced into the interconnect dielectric material, the inventive interconnect structure has an improved wiring reliability and a lower-level of metal-metal leakage than the interconnect structure shown in FIGS. 1 A-IE. It should be also noted that diffusion barrier material 30 is only present inside the via openings 26, but is not present in the line openings 42. This feature enhances both mechanical strength and diffusion property around the via opening areas without decreasing volume fraction of conductor 50 inside the line openings 42. It is further noted that in some embodiments the total diffusion barrier thickness of the first diffusion barrier layer 30 and the second continuous diffusion barrier 46 within the conductively filled via is thicker than the second continuous diffusion barrier 46 within the conductively filled line. [0063] While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims

CLAIMSWhat is claimed is:
1. A semiconductor structure comprising:
a lower interconnect level including a first dielectric material having at least one conductive feature embedded therein;
a dielectric capping layer located on said first dielectric material and some, but not all, portions of the at least one conductive feature; and
an upper interconnect level including a second dielectric material having at least one conductively filled via and an overlying conductively filled line disposed therein, wherein said conductively filled via is in contact with an exposed surface of the at least one conductive feature of said first interconnect level by an anchoring area,
said conductively filled via is separated from said second dielectric material by a first diffusion barrier layer, and
said conductively filled line is separated from said second dielectric material by a second continuous diffusion barrier layer thereby the second dielectric material includes no damaged regions in areas adjacent to said conductively filled line.
2. The semiconductor structure of Claim 1 wherein said first and second dielectric materials comprise the same or different dense low k dielectric having a dielectric constant of about 4.0 or less.
3. The semiconductor structure of Claim 1 wherein said first and second dielectric materials comprise the same or different porous low k dielectric having a dielectric constant of about 2.8 or less.
4. The semiconductor structure of Claim 1 wherein said second dielectric material comprises two different low k dielectric materials and said upper interconnect level has a hybrid structure with said conductively filled line embedded in a porous dielectric material, and said conductively filled via embedded in a dense dielectric material.
5. The semiconductor structure of Claim 4 wherein said porous low k dielectric having a dielectric constant of about 2.8 or less, and said dense porous low k dielectric having a dielectric constant of about 4.0 or less.
6. The semiconductor structure of Claim 1 wherein said dielectric capping layer comprises one of SiC, Si4NH3, SiO2, a carbon doped oxide, a nitrogen and hydrogen doped silicon carbide SiC(N5H) or multilayers thereof.
7. The semiconductor structure of Claim 1 wherein said at least one conductive feature embedded within said first dielectric material includes Cu or a Cu-containing alloy.
8. The semiconductor structure of Claim 1 wherein said at least one conductively filled via and said at least one overlying conductively filled line comprise Cu or a Cu-containing alloy.
9. The semiconductor structure of Claim 1 wherein said first diffusion barrier layer comprises a metal-containing material such as, TaN, Ta, Ti, TiN, RuTa, RuTaN, W, WN, Ru or Ir, an insulator such as, for example, SiO2, Si3N4, SiC, SiC(N5H) or any combination thereof.
10. The semiconductor structure of Claim 1 wherein said first diffusion barrier layer only exists in the at least one conductively filled via, not in the at least one overlying conductively filled line.
11. The semiconductor structure of Claim 1 wherein said second continuous diffusion barrier layer comprises Ta, TaN, Ti, TiN, Ru, RuN, RuTa, RuTaN, W or WN.
12. The semiconductor structure of Claim 1 wherein said second continuous diffusion barrier layer is absent from said conductively filled via, yet said conductively filled via is separated from said second dielectric material by said first diffusion barrier layer.
13. The semiconductor structure of Claim 1 wherein said second continuous diffusion barrier is also present in said conductively filled via atop said first diffusion barrier layer.
14. The semiconductor structure of Claim 1 wherein the total diffusion barrier thickness of said first diffusion barrier layer and said second continuous diffusion barrier layer within said conductively filled via is thicker than said second continuous diffusion barrier layer thickness within said conductively filled line.
15. The semiconductor structure of Claim 1 further comprising an adhesion/plating seed layer located on said second continuous diffusion barrier layer in said at least one conductively filled line, and located on said first diffusion barrier layer in said at least one conductively filled via.
16. The semiconductor structure of Claim 1 further comprising an adhesion/plating seed layer located on said second continuous diffusion barrier layer in said at least one conductively filled line, and located on said second diffusion barrier layer in said at least one conductively filled via.
17. The semiconductor structure of Claim 15 wherein said adhesion/plating seed layer comprises one or combination of Ru, TaRu, Ir, Rh, Pt, Pd, Ta, Cu or alloys thereof.
18. The semiconductor structure of Claim 1 further comprising a metallic interfacial layer within said anchoring area.
19. The semiconductor structure of Claim 18 wherein said metallic interfacial layer comprises Co, TaN, Ta, Ti, TiN, Ru, Ir, Au, Rh, Pt, Pd, Ag or alloys thereof.
20. A semiconductor structure comprising:
a lower interconnect level including a first dielectric material having at least one conductive feature embedded therein;
a dielectric capping layer located on said first dielectric material and some, but not all, portions of the at least one conductive feature; and
an upper interconnect level including a second dielectric material having at least one conductively filled via and an overlying conductively filled line disposed therein, wherein said conductively filled via is in contact with said at least one conductive feature in said at least one first interconnect level by an anchoring area,
a metallic interfacial layer located at a surface of said anchoring area and is in contact with said conductively filled via,
said conductively filled via is separated from said second dielectric material by a first diffusion barrier layer, and
said conductively filled line is separated from said second dielectric material by a second continuous diffusion barrier layer thereby the second dielectric material includes no damaged regions in areas adjacent to said conductively filled line.
21. The semiconductor structure of Claim 20 wherein said metallic interfacial layer comprises one or combination of Co, TaN, Ta, Ti, TiN, Ru, Ir, Au, Rh, Pt, Pd, Ag or alloys thereof.
22. A method of fabricating a semiconductor structure comprising:
providing an initial interconnect structure that includes a lower interconnect level comprising a first dielectric layer having at least one conductive feature embedded therein, an upper interconnect level comprising a second dielectric having at least one via opening that exposes a portion of said at least one conductive feature located atop said lower interconnect level, said lower and upper interconnect levels are separated in part by a dielectric capping layer, and a patterned hard mask on a surface of the said upper interconnect level;
forming a first barrier layer on all exposed surfaces of the initial interconnect structure;
forming a punch-through gouging feature in said at least one conductive feature that is located at the bottom of said via opening;
forming at least one line opening in said second dielectric material that extends above said at least one via opening;
forming a second continuous diffusion barrier layer at least within said at least one line opening;
forming an adhesion/plating seed layer within both said at least one line opening and said at least one via opening; and
filling said at least one line opening and at least one via opening with a conductive material.
23. The method of Claim 22 wherein said providing said initial interconnect structure includes forming at least one conductive feature within said first dielectric material, forming a blanket dielectric capping layer on said first dielectric material, forming said second dielectric material on said blanket dielectric capping layer, forming a patterned hard mask having via patterns on said second dielectric material, and transferring said via patterns into said second dielectric material and said blanket dielectric capping layer.
24. The method of Claim 22 wherein said forming said first barrier layer comprising depositing a metal-containing or insulating material.
25. The method of Claim 22 wherein said forming said punch-through gouging feature comprises gaseous sputtering comprising one of Ar, He, Ne, Xe, N2, H2, NH3, N2H2 or mixtures thereof.
26. The method of Claim 22 wherein said forming said at least one line opening comprising filling said at least one via opening with a planarization material, said planarization material extending above said via opening, forming a second hard mask on said planarization material, forming a patterned photoresist having a line pattern on said second hard mask, and transferring said line pattern to said second hard mask and an upper portion of said second dielectric material.
27. The method of Claim 22 wherein said second continuous diffusion barrier layer is also present in said at least one via opening.
28. The method of Claim 22 wherein said second continuous diffusion barrier layer is formed by depositing at least one of Ta, TaN, Ti, TiN, Ru, RuN, RuTa, RuTaN, W or WN.
29. The method of Claim 22 wherein said forming said adhesion/plating seed layer comprises depositing one or combination of Ru, TaRu, Ir, Rh, Pt, Pd, Cu or alloys thereof.
30. The method of Claim 22 wherein filling said at least one line opening and said at least one via opening comprises depositing at least one of Cu, Al, W or alloys thereof.
31. The method of Claim 22 further comprising a planarization step after said filling with said conductive material, wherein said planarization step provides a conductive filled line that has an upper surface that is coplanar with an upper surface of said second dielectric material.
32. A method of fabricating a semiconductor structure comprising:
providing an initial interconnect structure that includes a lower interconnect level comprising a first dielectric layer having at least one conductive feature embedded therein, an upper interconnect level comprising a second dielectric having at least one via opening that exposes a portion of said at least one conductive feature located atop said lower interconnect level, said lower and upper interconnect levels are separated in part by a dielectric capping layer, and a patterned hard mask on a surface of the said upper interconnect level;
forming a first barrier layer on all exposed surfaces of the initial interconnect structure;
forming a punch-through gouging feature in said at least one conductive feature that is located at the bottom of said via opening;
forming a metallic interfacial layer atop said gouging feature;
forming at least one line opening in said second dielectric material that extends above said at least one via opening;
removing etching residues from said at least one line opening and from said at least one via opening;
forming a second continuous diffusion barrier layer at least within said at least one line opening;
forming an adhesion/plating seed layer within both said at least one line opening and said at least one via opening; and
filling said at least one line opening and at least one via opening with a conductive material.
33. The method of Claim 32 wherein said metallic interfacial layer is formed by depositing at least one or combination of Co, Ru, Ir, Rh, Pt, Pd, Ta or alloys thereof.
34. The method of Claim 32 wherein said metallic interfacial layer is formed by PVD, CVD, ALD, electro plating, and electroless plating.
35. The method of Claim 32 wherein said removing etching residues from said at least one line opening and from said at least one via opening area is done by plasma, which contains at least one or combination of O2, H2, N2, or NH3.
36. The method of Claim 32 wherein said removing etching residues from said at least one line opening and from said at least one via opening area is done by wet clean, which contains at least one or combination of HF, HCl, H2SO4, or HNO3.
PCT/US2007/005414 2006-03-01 2007-03-01 Novel structure and method for metal integration WO2008036115A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2008557413A JP5430946B2 (en) 2006-03-01 2007-03-01 Interconnect structure forming method
EP07861255.3A EP1992012B1 (en) 2006-03-01 2007-03-01 Novel structure and method for metal integration
CN2007800066774A CN101390204B (en) 2006-03-01 2007-03-01 Novel structure and method for metal integration

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/364,953 US7528066B2 (en) 2006-03-01 2006-03-01 Structure and method for metal integration
US11/364,953 2006-03-01

Publications (1)

Publication Number Publication Date
WO2008036115A1 true WO2008036115A1 (en) 2008-03-27

Family

ID=38470778

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/005414 WO2008036115A1 (en) 2006-03-01 2007-03-01 Novel structure and method for metal integration

Country Status (6)

Country Link
US (2) US7528066B2 (en)
EP (1) EP1992012B1 (en)
JP (1) JP5430946B2 (en)
CN (1) CN101390204B (en)
TW (1) TWI402936B (en)
WO (1) WO2008036115A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011014904A (en) * 2009-06-30 2011-01-20 Internatl Business Mach Corp <Ibm> Via gouged interconnect structure, and method of fabricating the same
WO2022186897A1 (en) * 2021-03-05 2022-09-09 Applied Materials, Inc. Subtractive metals and subtractive metal semiconductor structures

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100710201B1 (en) * 2005-07-08 2007-04-20 동부일렉트로닉스 주식회사 Method for forming metal line of semiconductor device
US7402883B2 (en) * 2006-04-25 2008-07-22 International Business Machines Corporation, Inc. Back end of the line structures with liner and noble metal layer
JP5162869B2 (en) * 2006-09-20 2013-03-13 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US20080128907A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
JP5154789B2 (en) * 2006-12-21 2013-02-27 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
US8178436B2 (en) * 2006-12-21 2012-05-15 Intel Corporation Adhesion and electromigration performance at an interface between a dielectric and metal
US7559017B2 (en) * 2006-12-22 2009-07-07 Google Inc. Annotation framework for video
US7867895B2 (en) * 2007-09-20 2011-01-11 International Business Machines Corporation Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
KR100924865B1 (en) * 2007-12-27 2009-11-02 주식회사 동부하이텍 Method for forming metal interconnection layer of seniconductor device
US20090179328A1 (en) 2008-01-14 2009-07-16 International Business Machines Corporation Barrier sequence for use in copper interconnect metallization
US7892968B2 (en) * 2008-01-21 2011-02-22 International Business Machines Corporation Via gouging methods and related semiconductor structure
US7846834B2 (en) * 2008-02-04 2010-12-07 International Business Machines Corporation Interconnect structure and method for Cu/ultra low k integration
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US8143138B2 (en) * 2008-09-29 2012-03-27 Applied Materials, Inc. Method for fabricating interconnect structures for semiconductor devices
DE102008049775B4 (en) * 2008-09-30 2018-08-09 Globalfoundries Inc. A method of fabricating a metal capping layer having improved etch resistance for copper-based metal regions in semiconductor devices
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US8021974B2 (en) * 2009-01-09 2011-09-20 Internatioanl Business Machines Corporation Structure and method for back end of the line integration
JP2010238738A (en) * 2009-03-30 2010-10-21 Toshiba Corp Semiconductor device and method for manufacturing the semiconductor device
US7955971B2 (en) * 2009-06-11 2011-06-07 International Business Machines Corporation Hybrid metallic wire and methods of fabricating same
KR20100135521A (en) * 2009-06-17 2010-12-27 주식회사 하이닉스반도체 Semiconductor device and method for manufacturing the same
US8653664B2 (en) * 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
US8232196B2 (en) * 2009-10-29 2012-07-31 International Business Machines Corporation Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8404582B2 (en) * 2010-05-04 2013-03-26 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
DE102010040069A1 (en) * 2010-08-31 2012-03-01 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Method and system for extracting samples after structuring of microstructure devices
CN102479747B (en) * 2010-11-29 2015-01-28 中芯国际集成电路制造(上海)有限公司 Method for forming dual damascene structure
TWI447851B (en) * 2011-01-19 2014-08-01 Macronix Int Co Ltd Multilayer connection structure and making method
US8633707B2 (en) 2011-03-29 2014-01-21 International Business Machines Corporation Stacked via structure for metal fuse applications
US9059169B2 (en) 2011-06-21 2015-06-16 International Business Machines Corporation E-fuse structures and methods of manufacture
CN102437144A (en) * 2011-12-06 2012-05-02 西安交通大学 Ruthenium (Ru)-ruthenium oxide(RuO)/ ruthenium(Ru)-germanium(Ge)-copper(Cu) self-formed double-layer amorphous diffusion barrier layer and preparation method thereof
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US9214424B2 (en) * 2012-04-20 2015-12-15 Infineon Technologies Austria Ag Method for producing a conductor line
US8835305B2 (en) 2012-07-31 2014-09-16 International Business Machines Corporation Method of fabricating a profile control in interconnect structures
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US10032712B2 (en) * 2013-03-15 2018-07-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structure
CN104124199B (en) * 2013-04-27 2018-02-06 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of semiconductor devices
CN104282656B (en) * 2013-07-01 2017-03-08 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and its manufacture method
US9349608B2 (en) * 2013-12-13 2016-05-24 Globalfoundries Inc. Methods of protecting a dielectric mask layer and related semiconductor devices
US20150255388A1 (en) 2014-03-09 2015-09-10 International Business Machines Corporation Enhancement of iso-via reliability
US9384980B2 (en) * 2014-07-01 2016-07-05 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
US9564359B2 (en) * 2014-07-17 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same
US9349691B2 (en) 2014-07-24 2016-05-24 International Business Machines Corporation Semiconductor device with reduced via resistance
US9659856B2 (en) 2014-10-24 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two step metallization formation
US9553044B2 (en) 2014-11-05 2017-01-24 International Business Machines Corporation Electrically conductive interconnect including via having increased contact surface area
EP3034655A1 (en) * 2014-12-19 2016-06-22 ATOTECH Deutschland GmbH Trench pattern wet chemical copper metal filling using a hard mask structure
US10170358B2 (en) * 2015-06-04 2019-01-01 International Business Machines Corporation Reducing contact resistance in vias for copper interconnects
US9786550B2 (en) 2015-06-25 2017-10-10 International Business Machines Corporation Low resistance metal contacts to interconnects
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US9530737B1 (en) * 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9837309B2 (en) * 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US10211148B2 (en) 2015-12-14 2019-02-19 International Business Machines Corporation Structural enhancement of Cu nanowires
US9449921B1 (en) 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
US10586732B2 (en) 2016-06-30 2020-03-10 International Business Machines Corporation Via cleaning to reduce resistance
US9935051B2 (en) 2016-08-18 2018-04-03 International Business Machines Corporation Multi-level metallization interconnect structure
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
JP2018107227A (en) * 2016-12-26 2018-07-05 ソニーセミコンダクタソリューションズ株式会社 Semiconductor device, method of manufacturing the same, and solid-state imaging element
CN107180748A (en) * 2017-07-07 2017-09-19 成都海威华芯科技有限公司 A kind of deep hole cleaning method of SiC wafers
US11348828B2 (en) * 2017-11-23 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming the same
US10504834B2 (en) * 2018-03-01 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure and the method of forming the same
JP2019153694A (en) * 2018-03-02 2019-09-12 東芝メモリ株式会社 Semiconductor device and manufacturing method therefor
US10727123B2 (en) 2018-06-18 2020-07-28 International Business Machines Corporation Interconnect structure with fully self-aligned via pattern formation
US11322402B2 (en) * 2019-08-14 2022-05-03 International Business Machines Corporation Self-aligned top via scheme
US11557482B2 (en) 2019-10-04 2023-01-17 International Business Machines Corporation Electrode with alloy interface
US11088076B2 (en) * 2019-12-27 2021-08-10 Sandisk Technologies Llc Bonding pads embedded in a dielectric diffusion barrier and having recessed metallic liners
US11177163B2 (en) * 2020-03-17 2021-11-16 International Business Machines Corporation Top via structure with enlarged contact area with upper metallization level
US11450602B2 (en) * 2020-04-01 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid method for forming semiconductor interconnect structure
TWI764388B (en) * 2020-04-27 2022-05-11 台灣積體電路製造股份有限公司 Integrated chip and method of forming the same
US11694926B2 (en) * 2020-04-27 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free interface between beol interconnects
US11742290B2 (en) * 2021-03-10 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming thereof

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4184909A (en) 1978-08-21 1980-01-22 International Business Machines Corporation Method of forming thin film interconnection systems
US5470790A (en) * 1994-10-17 1995-11-28 Intel Corporation Via hole profile and method of fabrication
US5933753A (en) 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US20020072223A1 (en) * 1999-12-22 2002-06-13 Gilbert Stephen R. Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US6429519B1 (en) 1997-04-03 2002-08-06 International Business Machines Corporation Wiring structures containing interconnected metal and wiring levels including a continuous, single crystalline or polycrystalline conductive material having one or more twin boundaries
US20020123219A1 (en) 2001-03-02 2002-09-05 Jerald Laverty Method of forming a via of a dual damascene with low resistance
US20040115921A1 (en) 2002-12-11 2004-06-17 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US6784105B1 (en) 2003-04-09 2004-08-31 Infineon Technologies North America Corp. Simultaneous native oxide removal and metal neutral deposition method
US20040266201A1 (en) * 2003-06-24 2004-12-30 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US20050282346A1 (en) * 2003-05-05 2005-12-22 Hans-Joachim Barth MIM capacitors
US20060019485A1 (en) * 2004-07-21 2006-01-26 Sony Corporation Multi-layer wiring structure, semiconductor apparatus having multi-layer wiring structure, and methods of manufacturing them

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4029827A (en) * 1974-07-24 1977-06-14 Xerox Corporation Mercapto functional polyorganosiloxane release agents for fusers in electrostatic copiers
US4101686A (en) * 1974-07-24 1978-07-18 Xerox Corporation Method of fusing toner images using functionalized polymeric release agents
IT1088410B (en) * 1977-03-09 1985-06-10 Steinmueller Gmbh L & C WELDING CONNECTION
US5157445A (en) * 1990-04-12 1992-10-20 Fuji Xerox Co., Ltd. Fixing device
US6478773B1 (en) * 1998-12-21 2002-11-12 Micrus Corporation Apparatus for deployment of micro-coil using a catheter
US6436814B1 (en) * 2000-11-21 2002-08-20 International Business Machines Corporation Interconnection structure and method for fabricating same
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US20030087514A1 (en) * 2001-11-02 2003-05-08 Tang Sanh Dang Hard mask damascene process used to form a semiconductor device
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
JP2004023030A (en) * 2002-06-20 2004-01-22 Matsushita Electric Ind Co Ltd Method of manufacturing semiconductor device
JP2004172337A (en) * 2002-11-20 2004-06-17 Sony Corp Semiconductor device and its manufacturing method
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
US7122462B2 (en) * 2003-11-21 2006-10-17 International Business Machines Corporation Back end interconnect with a shaped interface
JP2005340601A (en) * 2004-05-28 2005-12-08 Renesas Technology Corp Process for fabricating semiconductor device and semiconductor device
JP4832807B2 (en) * 2004-06-10 2011-12-07 ルネサスエレクトロニクス株式会社 Semiconductor device
US7119018B2 (en) * 2004-07-09 2006-10-10 International Buisness Machines Corporation Copper conductor
US7217663B2 (en) * 2005-01-18 2007-05-15 Taiwan Semiconductor Manufacturing Company Via hole and trench structures and fabrication methods thereof and dual damascene structures and fabrication methods thereof
US7727888B2 (en) * 2005-08-31 2010-06-01 International Business Machines Corporation Interconnect structure and method for forming the same
US20070202689A1 (en) * 2006-02-27 2007-08-30 Samsung Electronics Co., Ltd. Methods of forming copper vias with argon sputtering etching in dual damascene processes
US20080057433A1 (en) * 2006-08-30 2008-03-06 Xerox Corporation Adhesive primer
US7807015B2 (en) * 2006-09-18 2010-10-05 Xerox Corporation Adhesion promoter
US7754812B2 (en) * 2007-01-16 2010-07-13 Xerox Corporation Adhesion promoter
US7579394B2 (en) * 2007-01-16 2009-08-25 Xerox Corporation Adhesion promoter
US8182875B2 (en) * 2007-04-05 2012-05-22 Xerox Corporation System and method for protecting a print
US8357763B2 (en) * 2007-05-02 2013-01-22 Xerox Corporation Adhesion promoter

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4184909A (en) 1978-08-21 1980-01-22 International Business Machines Corporation Method of forming thin film interconnection systems
US5470790A (en) * 1994-10-17 1995-11-28 Intel Corporation Via hole profile and method of fabrication
US5933753A (en) 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US6429519B1 (en) 1997-04-03 2002-08-06 International Business Machines Corporation Wiring structures containing interconnected metal and wiring levels including a continuous, single crystalline or polycrystalline conductive material having one or more twin boundaries
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US20020072223A1 (en) * 1999-12-22 2002-06-13 Gilbert Stephen R. Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US20020123219A1 (en) 2001-03-02 2002-09-05 Jerald Laverty Method of forming a via of a dual damascene with low resistance
US20040115921A1 (en) 2002-12-11 2004-06-17 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US6784105B1 (en) 2003-04-09 2004-08-31 Infineon Technologies North America Corp. Simultaneous native oxide removal and metal neutral deposition method
US20050282346A1 (en) * 2003-05-05 2005-12-22 Hans-Joachim Barth MIM capacitors
US20040266201A1 (en) * 2003-06-24 2004-12-30 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US20060019485A1 (en) * 2004-07-21 2006-01-26 Sony Corporation Multi-layer wiring structure, semiconductor apparatus having multi-layer wiring structure, and methods of manufacturing them

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
D. EDELSTEIN ET AL.: "Comprehensive Reliability Evaluation of a 90 nm CMOS Technology with Cu/PECVD Low k BEOL", IEEE INT. RELIABILITY PHYSICS SYMP., 2004, pages 316, XP010711058, DOI: doi:10.1109/RELPHY.2004.1315344
M. -SI. LIANG: "Challenges in Cu/Low k Integration", IEEE INT. ELECTRON DEVICES MEETING, 2004, pages 313, XP010788772, DOI: doi:10.1109/IEDM.2004.1419143
See also references of EP1992012A4 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011014904A (en) * 2009-06-30 2011-01-20 Internatl Business Mach Corp <Ibm> Via gouged interconnect structure, and method of fabricating the same
WO2022186897A1 (en) * 2021-03-05 2022-09-09 Applied Materials, Inc. Subtractive metals and subtractive metal semiconductor structures
US11923244B2 (en) 2021-03-05 2024-03-05 Applied Materials, Inc. Subtractive metals and subtractive metal semiconductor structures

Also Published As

Publication number Publication date
US8664766B2 (en) 2014-03-04
US7528066B2 (en) 2009-05-05
US20090206485A1 (en) 2009-08-20
JP5430946B2 (en) 2014-03-05
JP2009528702A (en) 2009-08-06
CN101390204B (en) 2011-03-30
EP1992012A4 (en) 2011-08-17
EP1992012B1 (en) 2013-11-20
TWI402936B (en) 2013-07-21
EP1992012A1 (en) 2008-11-19
TW200741966A (en) 2007-11-01
US20070205482A1 (en) 2007-09-06
CN101390204A (en) 2009-03-18

Similar Documents

Publication Publication Date Title
US7528066B2 (en) Structure and method for metal integration
US7964966B2 (en) Via gouged interconnect structure and method of fabricating same
US7867895B2 (en) Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
US8405215B2 (en) Interconnect structure and method for Cu/ultra low k integration
US8034710B2 (en) Bilayer metal capping layer for interconnect applications
US8232196B2 (en) Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration
EP2139037B1 (en) Method of fabricating an interconnect structure for electromigration enhancement
EP2020027B1 (en) Structure and method for creating reliable via contacts for interconnect applications
US7348648B2 (en) Interconnect structure with a barrier-redundancy feature
US20080128907A1 (en) Semiconductor structure with liner
WO2009139962A2 (en) Efficient interconnect structure for electrical fuse applications
SG188903A1 (en) Discontinuous/non-uniform metal cap structure and process for interconnect integration
US10361119B1 (en) Enlarged contact area structure using noble metal cap and noble metal liner
US7186641B2 (en) Methods of forming metal interconnection lines in semiconductor devices
US9773735B1 (en) Geometry control in advanced interconnect structures

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07861255

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 200780006677.4

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2008557413

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2007861255

Country of ref document: EP