WO2004057378A1 - Coated optical element for corrective action obtained by producing layer thickness variations or by refraction factor variations in said coating - Google Patents

Coated optical element for corrective action obtained by producing layer thickness variations or by refraction factor variations in said coating Download PDF

Info

Publication number
WO2004057378A1
WO2004057378A1 PCT/EP2003/014543 EP0314543W WO2004057378A1 WO 2004057378 A1 WO2004057378 A1 WO 2004057378A1 EP 0314543 W EP0314543 W EP 0314543W WO 2004057378 A1 WO2004057378 A1 WO 2004057378A1
Authority
WO
WIPO (PCT)
Prior art keywords
coating
layer
optical element
intermediate layer
layer thickness
Prior art date
Application number
PCT/EP2003/014543
Other languages
German (de)
French (fr)
Inventor
Gerd Reisinger
Alexandra Pazidis
Aksel GÖHNERMEIER
Alexander Dinger
Thomas Petasch
Thure Böhm
Rainer BÖRRET
Original Assignee
Carl Zeiss Smt Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss Smt Ag filed Critical Carl Zeiss Smt Ag
Priority to AU2003290093A priority Critical patent/AU2003290093A1/en
Publication of WO2004057378A1 publication Critical patent/WO2004057378A1/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/18Coatings for keeping optical surfaces clean, e.g. hydrophobic or photo-catalytic films
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties

Definitions

  • the invention relates to coated lenses and other optical elements with an optical correction effect.
  • the invention relates in particular to those optical elements which are intended for use in microlithographic projection objectives.
  • the invention relates to a method for manufacturing and a method for surface processing of such an optical element.
  • Microlithographic projection exposure systems such as those used in the manufacture of highly integrated electrical circuits, have an illumination device which is used to generate a projection light beam.
  • the projection light beam is directed onto a reticle, which contains the structures to be imaged by the projection illumination system and is arranged in an object plane of a projection objective.
  • the projection lens reduces the structures of the reticle onto a light-sensitive layer that is located in an image plane of the projection lens and e.g. can be applied to a wafer.
  • aberrations that occur are assigned to the following two categories.
  • Manufacturing errors include, for example, so-called pass errors, which are understood to mean deviations from the area fidelity in optical surfaces.
  • Material defects on the other hand, generally do not affect the properties of the optically effective surfaces, ie those penetrated by projection light, but instead lead to inhomogeneous refractive index profiles within the optical element.
  • disturbances which are locally limited but can also extend over a larger area of the optical element in question.
  • the optical element is preferably a plane-parallel plate which is arranged between the reticle and the projection lens of the projection exposure system.
  • lenses are also suitable as carriers for correction structures.
  • the lens bodies are first inserted into a frame, built into the projection lens or another optical system, and adjusted there.
  • the shape of the correction structures on the lens body is now determined on the basis of measurements of the imaging properties of the projection objective.
  • the lens body is then removed again in order to produce the correction structure on the selected lens surface.
  • the surfaces of the lens body penetrated by projection light are provided with an anti-reflective coating.
  • Such coatings known per se, generally have n layers with different refractive indices, n being between 1 and about 12, typically between 2 and 10. The larger the angular range with which projection light strikes the coating, the greater the number n of layers in general.
  • correction structures can be damaged by the coating.
  • coatings which are applied to lens bodies provided with correction structures are generally mechanically relatively unstable and their action can easily be impaired by contamination. This applies in particular to coatings with a particularly large number of layers, such as those e.g. on high-angle lenses in high-aperture projection lenses.
  • lenses are selected as carriers of the correction structures which are less stressed at an angle and can therefore be provided with thinner coatings.
  • Another disadvantage is that it is difficult or even impossible to corrective structures by ablative methods. to generate on a very small area or with steep gradients.
  • the object of the invention is to provide an overall improved coated optical element with an optical correction effect.
  • the object of the invention is also to specify a method for producing such an optical element.
  • a method for processing the surface of an optical element is to be specified by that a surface correction that has been carried out is retained as far as possible without change.
  • this object is achieved by an optical element with an element body and a coating applied to the element body, the coating containing at least one correction structure by means of which the optical path length for light passing through is changed locally.
  • the invention is based on the knowledge that the mechanical instability and susceptibility to contamination of thick coatings which are applied to lenses with correction structures are a consequence of the production process.
  • the lens bodies must first be inserted into a holder before the correction structures are applied by removing material, since they can only be installed and adjusted in the optical system for the purpose of measurement.
  • the lens bodies inserted into the frame only allow a relatively slight heating during the subsequent coating.
  • the comparatively cold applied coating shows the undesirable properties mentioned, such as mechanical instability and susceptibility to contamination.
  • the lens body must be inserted into one
  • Lens holder only after applying several layers required. The further away the layer containing correction structures is from the element body, the more layers can be applied to the underlying layer or the element body at a higher temperature. These layers applied at a higher temperature are mechanically stable and resistant to contamination.
  • the coating in the second step can be locally irradiated with high-energy radiation of such a high intensity that the refractive index of the coating at the irradiated point is corrected for image field optimization.
  • the change in the coating can e.g. in the first-mentioned embodiment of the invention by a removal process, such as a reactive ion etching process or an ion beam ablation process.
  • the coating in a targeted manner, it can also be subjected to a doping process, wherein due to the material introduced, eg atoms, the refractive index of the coating is changed so that image errors can be corrected.
  • a doping process wherein due to the material introduced, eg atoms, the refractive index of the coating is changed so that image errors can be corrected.
  • masks, screens or similar parts can be used to cover the areas not to be processed. It is also possible to use local light beams or particle beams that are guided over the surface.
  • the refractive index of the layer and also its thickness can be changed by means of high-intensity light. For example, by local light rays change the density of the material and thus locally the refractive index. This can e.g. done by applied masks or selectively by a guided light beam, the thickness of the
  • the method according to the invention can also be used to carry out small-area structures, steep gradients and similar aspherizations that are not possible with the previously known methods.
  • a magnesium fluoride, aluminum oxide or zirconium oxide layer can be used as a coating on a silicon oxide basis as the lens material or can be integrated into the coating. Then this loading Layering can be irradiated with high-intensity i-line light (light of 365 nm wavelength), with aging of the coating and compaction occurring. This changes the layer thickness and / or the refractive index and consequently the optical path length.
  • the thickness of the coating is thicker than the maximum layer thickness to be removed in order to achieve the desired image field optimization.
  • the coating e.g. in the case of several layers, if the outermost layer is reworked to correct the yoke in order to optimize the image field, the previously known method is reversed. This avoids subsequent processing steps, as was the case with the known methods and which can lead to changes in the yoke.
  • the yoke is now corrected on the previously applied coating, for example vapor deposition, and no longer - as was previously the case - on the surface of the optical element itself.
  • the coating has an outwardly facing end layer and one or more intermediate layers arranged between the end layer and the element body, at least one of the intermediate layers containing the preferably non-rotationally symmetrical correction structure.
  • An optical element according to this configuration can be produced in the following steps:
  • such an optical element Compared to optical elements in which the final layer contains a correction structure in the form of fluctuations in thickness or refractive index, such an optical element has the advantage of a more uniform reflectivity.
  • there are intermediate layers within the coating in which such fluctuations have a significantly less effect on the reflectivity than is the case with the outermost layer.
  • not only lenses and other refractive optical elements, but also reflective optical elements come into consideration as optical elements.
  • the coating then does not serve to reduce the reflectivity, but rather to increase it. Even with such reflective coatings, there is the problem that the outermost layer is detuned by applying correction structures. If an intermediate layer is instead provided with a correction structure, the influence of this correction structure on the reflectivity is considerably less.
  • the layer thickness is constant or at least distributed rotationally symmetrically. This also applies in particular to the finishing layer, since there fluctuations in thickness have a particularly unfavorable effect on the reflective properties of the coating.
  • the intermediate layer When determining the intermediate layer that is most suitable for the application of a correction structure, it must be taken into account that the intermediate layers are generally of different thicknesses, which limits the maximum thickness fluctuations from the outset. In addition, fluctuations in thickness do not have the same effects on the reflective properties of the layer system in all intermediate layers.
  • the following steps can be carried out, for example:
  • the outermost intermediate layer adjacent to the final layer contains a correction structure. If further intermediate layers with a constant layer thickness are applied to the element body underneath, this can be provided with a frame after the application of all layers with the exception of the final layer. Only at this stage of manufacture is the optical element installed and measured in the optical system. This allows all the intermediate layers, including the intermediate layer containing the correction structure, to be applied to the element body at high temperature, which has a favorable effect on the mechanical stability and resistance to contamination of the coating. Only the final layer is applied at a lower temperature, which does not significantly affect the stability and resistance to contamination of the entire coating. If only the second outermost intermediate layer contains a correction structure, the intermediate layer arranged above it must be applied at a lower temperature. Often, however, this second outermost intermediate layer has the property of being comparatively insensitive to fluctuations in the thickness or refractive index, so that fluctuations have only a relatively minor effect on the reflective properties of the layer system.
  • the layer thicknesses of the coating can be designed, for example, such that the average layer thickness of the intermediate layer containing the correction structure is between 2 nm and 100 n, preferably between 10 nm and 50 nm.
  • the layer thickness fluctuations of the correction layer are then preferably between 0.1 nm and 50 nm and more preferably between 0.5 nm and 30 nm.
  • a locally varying layer thickness in an intermediate layer is preferably produced, as already mentioned above, by first applying the layer with a constant thickness and then removing material locally from the relevant intermediate layer.
  • the known methods for material removal already mentioned above come into consideration.
  • the element body is introduced into a coating system with an outlet opening for dispensing a coating vapor.
  • a relative movement is generated between the element body and the outlet opening.
  • the coating steam can be spatially directed over the surface to be coated.
  • a locally higher layer thickness can be produced, for example, by the corresponding area being moved past the outlet opening several times.
  • the layer thickness of the intermediate layer containing the correction structure is varied by controlling the speed of the relative movement. The faster the outlet opening moves relative to the surface to be coated, the less the layer thickness with a constant steam flow.
  • FIG. 1 shows a basic illustration of a projection exposure system for microlithography, which can be used for the exposure of structures on wafers coated with photosensitive materials;
  • FIG. 2 shows a section through a lens provided with a coating
  • Figure 3 is a plan view of a diaphragm shown in principle for targeted aspherization of the surface
  • FIG. 4 shows a meridional section through a lens provided with a coating according to another exemplary embodiment
  • FIG. 5 shows an enlarged detail from the lens shown in FIG. 4;
  • Figure 6 shows a longitudinal section through a coating system in a schematic and not to scale.
  • FIG. 1 shows a projection exposure system 1 for microlithography. This serves to define structures by exposing a substrate coated with a photosensitive material. This generally consists predominantly of silicon and is referred to as wafer 2.
  • the projection exposure system 1 can be used e.g. for the production of semiconductor components such as computer chips.
  • the projection exposure system 1 essentially consists of an illumination device 3, one
  • Device 4 for receiving and exact positioning of a mask provided with a lattice-like structure, a so-called reticle 5, by which the later structures on the wafer 2 are determined, a device 6 for holding, moving and exact positioning of the wafer 2 and an imaging device , namely a projection lens 7.
  • the structures introduced into the reticle 5 are imaged on the wafer 2, in particular with a reduction in the structures a third or less of the original size.
  • the requirements to be imposed on the projection exposure system 1, in particular on the projection objective 7, are in the range of a few nanometers.
  • the wafer 2 After exposure has taken place, the wafer 2 is moved on, so that a large number of individual fields are each exposed on the same wafer 2 with the structure specified by the reticle 5.
  • the entire surface of the wafer 2 When the entire surface of the wafer 2 is exposed, it is removed from the projection exposure apparatus 1 and subjected to a number of chemical treatment steps, including a material removal which is generally carried out by etching. If necessary, several of these exposure and treatment steps are carried out in succession until a large number of computer chips have arisen on the wafer 2. Due to the gradual feed movement of the wafer 2 in the projection exposure system 1, this is often also referred to as a "stepper".
  • the illumination device 3 provides a projection beam 8, for example light or a similar electromagnetic radiation, required for imaging the reticle 5 on the wafer 2.
  • a laser for example, can be used as the source for this radiation.
  • the radiation is shaped in the illumination device 3 via optical elements so that the projection beam 8 has the desired properties when it hits the reticle 5. Shafts, for example with regard to diameter, polarization and shape of the wavefront.
  • the projection lens 7 consists of a large number of individual refractive and / or diffractive optical elements, such as e.g. Lenses, mirrors, prisms and end plates.
  • FIG. 2 shows a section through a lens 10 provided with a coating 9, which is installed together with other lenses in the projection objective 7 via a mount 11.
  • the coating 9 can be applied to a surface 10a of the lens 10 before the lens 10 is installed in the mount or afterwards.
  • the coating 9 can e.g. be formed as a vapor-deposited anti-reflective layer.
  • the coating 9 can be designed as a highly reflective surface.
  • the projection exposure system 1 is measured for image field accuracy. If it turns out that changes are required to optimize the image field the fit of one or more optical elements in the projection lens 7 can be corrected accordingly. It is also possible to correct the sum of all deformations of one or all of the lenses 10 on a lens surface 10a in whole or in part , This can be done, for example, by a local removal process on the surface 9a of the coating. For example, an ion beam ablation process can be used for this. Reactive ion etching is also possible.
  • the thickness or thickness of the coating 9 is greater than the maximum removal.
  • the maximum thickness to be removed is shown by dashed lines in FIG. 2 and is designated by reference number 9b. As can be seen, there is still sufficient thickness in this case for the coating 9 to be effective, e.g. as an anti-reflective coating.
  • the coating process and also the correction process can also be used for standard lenses.
  • a material for the lenses 10 can, for example . , Calcium fluoride or quartz glass can be used.
  • the removal of the coating 9 can take place, for example, in a range from 1 nm to 10 nm.
  • FIG. 3 shows a mask 15 which is placed on the coating 9a to be processed. As can be seen, only two openings, namely an elliptical opening 13a and a circular opening 13b, are kept free. At these points there is a targeted removal or an order for local aspherization.
  • a change in the layer thickness and the refractive index can also be achieved by irradiation with high-intensity radiation of high intensity (e.g. i-line of a sodium vapor lamp at 365 nm). This results in a corresponding change in the optical path length and a corresponding image error correction of the projection lens.
  • high-intensity radiation of high intensity e.g. i-line of a sodium vapor lamp at 365 nm.
  • FIG. 4 Another lens suitable for installation in the projection objective 7 is shown in simplified form in FIG. 4 and is designated overall by 10 '.
  • the lens 10 ' has a plano-convex lens body 12 which is inserted into a lens frame 14.
  • the lens frame 14 has fastening elements, not shown, with which the lens 10 'can be fastened and adjusted in the projection objective 7 or in another optical system.
  • the convexly curved surface 16 of the lens body 12 carries a layer system, designated overall by 18, which forms an anti-reflective coating.
  • a similar layer system 19 is also applied to the opposite surface 17 of the lens body 12.
  • FIG. 5 shows an excerpt from the lens 10 ′, designated by 20 in FIG. 4, in the region of the convex surface 16 of the lens body 12 in an enlarged representation.
  • the layer system 18 comprises a total of six layers 181 to 186 with different refractive indices.
  • the five lower layers 181 to 185 are referred to below as intermediate layers and the outermost layer 186 as an end layer. Since such layer systems are known per se in the prior art, further details are not shown.
  • the layer thicknesses of the layers 181 to 186 to be measured perpendicular to the convex surface 16 are determined in such a way that the light incident on the coating 18 is reflected only to a very small extent. This applies not only to parallel light rays close to the axis, but also to those light rays that have greater incidence angle strike the lens 10 '. Such light rays are indicated at 21 in FIG. Large angles of incidence occur, for example, with lenses in high-aperture projection objectives of microlithographic projection exposure systems.
  • the four lower intermediate layers 181 to 184 have different, but constant layer thicknesses within one layer.
  • the same also applies to the end layer 186.
  • the different layer thicknesses within the area 22 are generated by a location-dependent material removal of the thickness ⁇ from the intermediate layer 185, which otherwise has the layer thickness d 0 .
  • the thickness fluctuations within the area 22 represent a correction structure 23 with which imaging errors that are not rotationally symmetrical can also be compensated.
  • the layer thickness of the end layer 186 is constant over the entire extent of the end layer 186 and thus also in the vicinity of the area 22, a recess 28 is formed above the area 22 on an outside 26 of the coating 18, the waviness of which is the material removal in the outermost region Intermediate layer 185 corresponds.
  • the coating 18 prevents significant amounts of light from being reflected when entering the optically denser lens body 12 and from being lost to the optical system in which the lens 10 'is installed.
  • the lens body 12 is manufactured and polished in a manner known per se. Then the five lower intermediate layers 181 to 185 are applied in succession, each with a constant layer thickness, the lens body 12 being heated to a temperature of approximately 200 ° C. Because of this comparatively high temperature, these five lower intermediate layers 181 to 185 are mechanically very stable and resistant to contamination.
  • the lens body 12 with the five intermediate layers 181 to 185 applied thereon is inserted into the lens mount 14 and inserted and adjusted in the optical system, the lens 10 'of which is to be a component.
  • the imaging errors of the optical system then become known in a manner known per se determined and a need for correction determined. This results in the shape and arrangement of the correction structure 23.
  • the final layer 186 is finally applied to the outermost intermediate layer 185 in a further step.
  • the lens body 12 with the intermediate layers 181 to 185 carried by it is only heated up to a temperature of below 60 ° C. since the lens body 12 is already accommodated in the lens frame 14. At a significantly higher temperature there would be a risk that the lens body 12 would permanently deform due to its greater thermal expansion than the lens frame 14.
  • the different layer thicknesses of the outermost intermediate layer 185 can also be produced by a locally varying amount of material being applied to the intermediate layer during the coating process.
  • layer 184 is applied.
  • the need for correction is then to be determined beforehand, for which purpose the lens 10 ′ is to be inserted into the lens frame 14 and measured. In this case, therefore, not only the end layer 186 but also the correction layer 185 must be applied even at a comparatively low temperature.
  • FIG. 6 shows a highly simplified and not to scale sectional view of a coating system 30 with a vacuum chamber 32, in which an outlet 34 for a coating steam is arranged.
  • the lens body 12 already encased in the lens frame 14 is arranged on an X-Y displacement table 26 on which the lens body 12 can be moved in the X and Y directions.
  • Layer thickness can be varied locally by changing the travel speeds in the X and Y directions with the help of a control program. This leads to different lengths of stay, which individual areas of the surface to be coated do
  • a further possibility for generating a correction structure consists in a fluctuation in the refractive index in the instead of a local layer thickness fluctuation Insert intermediate layer 185.
  • the intermediate layer 185 can be exposed to locally high-energy electromagnetic radiation or particle radiation before the application of the closing layer 186. This leads to a local change in the material structure and thus the refractive index of the intermediate layer 185.

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

The inventive optical element (10, 10') comprises a body (12) and a coating (8, 18) applied thereto and is used for modifying reflectivity. Corrective structures (23) for correcting representation errors, for example by a local material removal or by a local modification of a refraction index are formed in the coating. When said coating (18) comprises an outward directed upper layer (186) and one or several interlayers (181 to 185) which are disposed between the upper layer (186) and the body (12) the corrective structures preferably are not arranged in the upper layer (186) but in one of the interlayers. Said optical element (10, 10') is embodied in such a way that it is mechanically stable and better resistant to contamination than the optical elements in which the material removal for a corrective action is carried out directly on the element body

Description

BESCHICHTETES OPTISCHES ELEMENT MIT KORREKTUR DURCH ERZEUGUNG EINER SCHICHTDICKENVARIATION ODER BRECHZAHLVARIATION IN DER BESCHICHTUNG COATED OPTICAL ELEMENT WITH CORRECTION BY PRODUCING A LAYER THICKNESS VARIATION OR REFRIGERATION VARIATION IN THE COATING
Die Erfindung betrifft beschichtete Linsen und andere optische Elemente mit einer optischen Korrekturwirkung. Die Erfindung betrifft insbesondere solche optischen Elemente, die für die Verwendung in mikrolithographischen Pro- jektionsobjektiven vorgesehen sind. Daneben betrifft die Erfindung noch ein Verfahren zur Herstellung und ein Verfahren zur Oberflächenbearbeitung eines solchen optischen Elements .The invention relates to coated lenses and other optical elements with an optical correction effect. The invention relates in particular to those optical elements which are intended for use in microlithographic projection objectives. In addition, the invention relates to a method for manufacturing and a method for surface processing of such an optical element.
Mikrolithographische Projektionsbelichtungsanlagen, wie sie etwa bei der Herstellung hochintegrierter elektrischer Schaltkreise verwendet werden, weisen eine Beleuchtungseinrichtung auf, die der Erzeugung eines Projektionslichtbündels dient. Das Projektionslichtbündel wird auf ein Retikel gerichtet, das die von der Projektionsbe- lichtungsanlage abzubildenden Strukturen enthält und in einer Objektebene eines Projektionsobjektivs angeordnet ist. Das Projektionsobjektiv bildet die Strukturen des Retikels verkleinert auf eine lichtempfindliche Schicht ab, die sich in einer Bildebene des Projektionsobjektivs befindet und z.B. auf einen Wafer aufgebracht sein kann.Microlithographic projection exposure systems, such as those used in the manufacture of highly integrated electrical circuits, have an illumination device which is used to generate a projection light beam. The projection light beam is directed onto a reticle, which contains the structures to be imaged by the projection illumination system and is arranged in an object plane of a projection objective. The projection lens reduces the structures of the reticle onto a light-sensitive layer that is located in an image plane of the projection lens and e.g. can be applied to a wafer.
Aufgrund der geringen Größe der abzubildenden Strukturen werden an die Abbildungseigenschaften des Projektionsobjektivs hohe Anforderungen gestellt. Abbildungsfehler können deswegen nur in sehr geringem Umfang toleriert werden.Due to the small size of the structures to be imaged, high demands are placed on the imaging properties of the projection objective. aberrations can therefore only be tolerated to a very limited extent.
Im allgemeinen werden auftretende Abbildungsfehler den beiden folgenden Kategorien zugeordnet. Zum einen gibt es solche Abbildungsfehler, die aus dem Design des Projektionsobjektivs resultieren, d.h. insbesondere der Vorgabe der Abmessungen, Materialien und Abstände der in dem Projektionsobjektiv enthaltenen optischen Elemente. Diese Designfehler sollen im folgenden außer Betracht gelassen werden.In general, aberrations that occur are assigned to the following two categories. First, there are such aberrations that result from the design of the projection lens, i.e. in particular the specification of the dimensions, materials and distances of the optical elements contained in the projection lens. These design errors are to be disregarded in the following.
Zum anderen gibt es Abbildungsfehler, die auf Herstel- lungs- oder Materialfehler zurückgehen und sich im allgemeinen nur noch am fertigen Objektiv sinnvoll korrigieren lassen. Zu den Herstellungsfehlern zählen beispielsweise sog. Passefehler, worunter Abweichungen von der Flächentreue bei optischen Flächen verstanden werden. Materialfehler hingegen wirken sich im allgemeinen nicht auf die Beschaffenheit der optisch wirksamen, d.h. von Projektionslicht durchtretenen, Oberflächen aus, sondern führen zu inhomogenen Brechzahlverläufen innerhalb des optischen Elements . Im folgenden werden die möglichen Ursachen derartiger herstellungs- oder materialbedingter Abbildungsfehler ganz allgemein als Störungen bezeichnet, die lokal eng begrenzt sein, sich aber auch über einen größeren Be- reich des betreffenden optischen Elements hinweg erstrek- ken können. Um derartige Störungen zu kompensieren und auf diese Weise die von den Störungen hervorgerufenen Abbildungsfehler zu verringern, ist es bekannt, auf geeigneten optischen Flächen des Projektionsobjektivs im Wege einer im allge- meinen materialabtragenden Nachbearbeitung Korrekturstrukturen anzubringen. Ausführlich beschrieben werden derartige Nachbearbeitungsverfahren z.B. in einem Aufsatz von C. Hofmann et al. mit dem Titel "Nanometer-Asphären: Wie herstellen und wofür?", Feinwerktechnik und Meßtech- nik 99 (1991), 10, S. 437 bis 440.On the other hand, there are imaging errors that can be traced back to manufacturing or material defects and can generally only be meaningfully corrected on the finished lens. Manufacturing errors include, for example, so-called pass errors, which are understood to mean deviations from the area fidelity in optical surfaces. Material defects, on the other hand, generally do not affect the properties of the optically effective surfaces, ie those penetrated by projection light, but instead lead to inhomogeneous refractive index profiles within the optical element. In the following, the possible causes of such manufacturing or material-related imaging errors are generally referred to as disturbances, which are locally limited but can also extend over a larger area of the optical element in question. In order to compensate for such interferences and in this way to reduce the imaging errors caused by the interferences, it is known to apply correction structures to suitable optical surfaces of the projection objective by way of a generally material-removing post-processing. Such post-processing methods are described in detail, for example, in an article by C. Hofmann et al. with the title "Nanometer Aspheres: How to Manufacture and For What?", Feinwerktechnik und Meßtechnechnik 99 (1991), 10, pp. 437 to 440.
Wie man von im allgemeinen meßtechnisch erfaßbaren Abbildungsfehlern, die durch derartige Störungen hervorgerufen sind, auf die zur Kompensation erforderlichen Korrekturstrukturen zurückschließen kann, ist ausführlich in der US 6 268 903 Bl beschrieben. Bei dem dort beschriebenen Verfahren handelt es sich bei dem optischen Element, dessen Fläche zur Störungskompensation nachbearbeitet ist, vorzugsweise um eine planparallele Platte, die zwischen dem Retikel und dem Projektionsobjektiv der Projektions- belichtungsanlage angeordnet ist.US 6 268 903 B1 describes in detail how one can draw conclusions from imaging errors, which can generally be detected by measurement technology and which are caused by such disturbances, to the correction structures required for the compensation. In the method described there, the optical element, the surface of which is reworked for interference compensation, is preferably a plane-parallel plate which is arranged between the reticle and the projection lens of the projection exposure system.
Als Träger für Korrekturstrukturen sind jedoch auch Linsen geeignet. Die Linsenkörper werden hierzu zunächst in eine Fassung eingesetzt, in das Projektionsobjektiv oder ein sonstiges optisches System eingebaut und dort ju- stiert. Auf der Grundlage von Messungen der Abbildungseigenschaften des Projektionsobjektivs wird nun die Form der Korrekturstrukturen auf dem Linsenkörper ermittelt. Der Linsenkörper wird dann wieder ausgebaut, um die Korrekturstruktur auf der ausgewählten Linsenfläche zu erzeugen. Abschließend werden die von Projektionslicht durchsetzten Oberflächen des Linsenkörpers mit einer An- tireflex-Beschichtung versehen. Derartige an sich bekannte Beschichtungen weisen im allgemeinen n Schichten mit verschiedenen Brechungsindizes auf, wobei n zwischen 1 und etwa 12, typischerweise zwischen 2 und 10 liegt. Je größer der Winkelbereich ist, mit dem Projektionslicht auf die Beschichtung auftrifft, desto größer ist im allgemeinen die Zahl n der Schichten.However, lenses are also suitable as carriers for correction structures. For this purpose, the lens bodies are first inserted into a frame, built into the projection lens or another optical system, and adjusted there. The shape of the correction structures on the lens body is now determined on the basis of measurements of the imaging properties of the projection objective. The lens body is then removed again in order to produce the correction structure on the selected lens surface. Finally, the surfaces of the lens body penetrated by projection light are provided with an anti-reflective coating. Such coatings, known per se, generally have n layers with different refractive indices, n being between 1 and about 12, typically between 2 and 10. The larger the angular range with which projection light strikes the coating, the greater the number n of layers in general.
Es hat sich allerdings gezeigt, daß durch das Beschichten die vorher erzeugten Korrekturstrukturen beschädigt werden können. Außerdem sind Beschichtungen, die auf mit Korrekturstrukturen versehenen Linsenkörpern aufgebracht sind, im allgemeinen mechanisch relativ instabil und können leicht durch Kontaminationen in ihrer Wirkung beeinträchtigt werden. Dies trifft insbesondere für Beschichtungen mit besonders vielen Schichten zu, wie sie z.B. auf stark winkelbelasteten Linsen in hochaperturigen Projektionsobjektiven eingesetzt werden. Um diesem Problem abzuhelfen, wählt man als Träger der Korrekturstrukturen Linsen aus, die weniger stark winkelbelastet sind und deswegen mit dünneren Beschichtungen versehen werden kön- nen.However, it has been shown that the previously generated correction structures can be damaged by the coating. In addition, coatings which are applied to lens bodies provided with correction structures are generally mechanically relatively unstable and their action can easily be impaired by contamination. This applies in particular to coatings with a particularly large number of layers, such as those e.g. on high-angle lenses in high-aperture projection lenses. In order to remedy this problem, lenses are selected as carriers of the correction structures which are less stressed at an angle and can therefore be provided with thinner coatings.
Nachteilig ist weiterhin, daß es schwierig oder sogar unmöglich ist, durch abtragende Verfahren Korrekturstruktu- ren auf sehr kleiner Fläche oder mit steilen Gradienten zu erzeugen.Another disadvantage is that it is difficult or even impossible to corrective structures by ablative methods. to generate on a very small area or with steep gradients.
Aus der US 5 757 017 und der EP 0 824 721 Bl ist es bereits bekannt, auf ein reflektierendes optisches Element, nämlich einen Spiegel, eine Korrekturschicht aufzubringen, um Phasenverschiebungen einer UV-Strahlung zu kompensieren, die aufgrund von Abweichungen in der Form der reflektierten Oberflächen auftreten. Anstelle der Aufbringung einer Korrekturschicht kann dabei auch vorgese- hen sein, die gewünschte Genauigkeit durch lokale Ablagerungen von Schichtelementen oder durch Entfernen eines Schichtteils zu erreichen. Mit der Erzeugung von Korrekturwirkungen in einer Beschichtung befaßt sich auch die WO 02/077 692 AI.It is already known from US Pat. No. 5,757,017 and EP 0 824 721 B1 to apply a correction layer to a reflecting optical element, namely a mirror, in order to compensate for phase shifts in UV radiation which reflect due to deviations in the shape of the Surfaces occur. Instead of applying a correction layer, provision can also be made to achieve the desired accuracy by local deposition of layer elements or by removing a layer part. WO 02/077 692 AI also deals with the generation of correction effects in a coating.
Aufgabe der Erfindung ist es, ein insgesamt verbessertes beschichtetes optisches Element mit einer optischen Korrekturwirkung anzugeben.The object of the invention is to provide an overall improved coated optical element with an optical correction effect.
Insbesondere ist es Aufgabe der Erfindung, ein optisches Element mit einer mechanisch stabilen und gegen Kontami- nationen widerstandsfähigen Beschichtung anzugeben, deren Reflexionsvermögen möglichst gleichmäßig ist.In particular, it is an object of the invention to provide an optical element with a mechanically stable and resistant to contamination coating, the reflectivity of which is as uniform as possible.
Aufgabe der Erfindung ist es ferner, ein Verfahren zur Herstellung eines solchen optischen Elements anzugeben. Insbesondere soll ein Verfahren zum Bearbeiten der Ober- fläche eines optischen Elements angegeben werden, durch das eine vorgenommene Oberflächenkorrektur möglichst ohne Veränderung beibehalten bleibt.The object of the invention is also to specify a method for producing such an optical element. In particular, a method for processing the surface of an optical element is to be specified by that a surface correction that has been carried out is retained as far as possible without change.
Hinsichtlich des optischen Elements wird diese Aufgabe durch ein optisches Element mit einem Elementkörper und einer auf dem Elementkörper aufgebrachten Beschichtung gelöst, wobei die Beschichtung mindestens eine Korrekturstruktur enthält, durch welche die optische Weglänge für hindurchtretendes Licht lokal verändert wird.With regard to the optical element, this object is achieved by an optical element with an element body and a coating applied to the element body, the coating containing at least one correction structure by means of which the optical path length for light passing through is changed locally.
Die Erfindung beruht auf der Erkenntnis, daß die mechani- sehe Instabilität und Anfälligkeit für Kontaminationen dicker Beschichtungen, die auf Linsen mit Korrekturstrukturen aufgebracht sind, eine Folge des Herstellungsverfahrens sind. Wie bereits eingangs erwähnt wurde, müssen die Linsenkörper nämlich vor dem Anbringen der Korrektur- Strukturen durch Materialabtrag zunächst in eine Fassung eingesetzt werden, da sie nur dann zum Zwecke der Vermessung in das optische System eingebaut und justiert werden können. Die in die Fassung eingesetzten Linsenkörper erlauben jedoch nur noch eine relativ geringfügige Erwär- mung bei der nachfolgenden Beschichtung. Die vergleichsweise kalt aufgebrachte Beschichtung zeigt die erwähnten unerwünschten Eigenschaften wie mechanische Instabilität und Kontaminationsanfälligkeit.The invention is based on the knowledge that the mechanical instability and susceptibility to contamination of thick coatings which are applied to lenses with correction structures are a consequence of the production process. As already mentioned at the outset, the lens bodies must first be inserted into a holder before the correction structures are applied by removing material, since they can only be installed and adjusted in the optical system for the purpose of measurement. However, the lens bodies inserted into the frame only allow a relatively slight heating during the subsequent coating. The comparatively cold applied coating shows the undesirable properties mentioned, such as mechanical instability and susceptibility to contamination.
Trägt jedoch die Beschichtung selbst die Korrekturstruk- turen, so ist ein Einsetzen des Linsenkörpers in eineHowever, if the coating itself carries the correction structures, the lens body must be inserted into one
Linsenfassung erst nach dem Aufbringen mehrerer Schichten erforderlich. Je weiter die Korrekturstrukturen enthaltende Schicht von dem Elementkörper entfernt ist, desto mehr Schichten können bei höherer Temperatur auf die jeweils darunter liegende Schicht oder den Elementkörper aufgebracht werden. Diese bei höherer Temperatur aufgebrachten Schichten sind mechanisch stabil und widerstandsfähig gegen Kontaminationen.Lens holder only after applying several layers required. The further away the layer containing correction structures is from the element body, the more layers can be applied to the underlying layer or the element body at a higher temperature. These layers applied at a higher temperature are mechanically stable and resistant to contamination.
Zur Herstellung eines solchen optischen Elements wird vorgeschlagen, daß in einem ersten Schritt die Beschich- tung auf die wenigstens eine Oberfläche aufgebracht wird, und daß in einem zweiten Schritt die Beschichtung in ihrem Aufbau oder in ihrer Zusammensetzung derart geändert wird, daß die Passe des optischen Elements zur Bildfeldoptimierung geändert wird.For the production of such an optical element, it is proposed that in a first step the coating is applied to the at least one surface and that in a second step the structure or composition of the coating is changed such that the fit of the optical element Element for image field optimization is changed.
Alternativ hierzu kann in dem zweiten Schritt die Beschichtung mit einer energiereichen Strahlung derart hoher Intensität lokal bestrahlt werden, daß die Brechzahl der Beschichtung an der bestrahlten Stelle zur Bildfeldoptimierung korrigiert wird.As an alternative to this, in the second step the coating can be locally irradiated with high-energy radiation of such a high intensity that the refractive index of the coating at the irradiated point is corrected for image field optimization.
Die Änderung in der Beschichtung kann z.B. bei der erstgenannten Ausgestaltung der Erfindung durch ein Abtragsverfahren, wie z.B. einem reaktiven Ionenätzverfahren oder einem Ionenstrahlabtragsverfahren erfolgen.The change in the coating can e.g. in the first-mentioned embodiment of the invention by a removal process, such as a reactive ion etching process or an ion beam ablation process.
Zur gezielten Bearbeitung der Beschichtung kann diese auch einem Dotierverfahren unterworfen werden, wobei durch das dabei eingebrachte Material, .z.B. Atome, der Brechwert der Beschichtung so verändert wird, daß sich Bildfehler korrigieren lassen.To process the coating in a targeted manner, it can also be subjected to a doping process, wherein due to the material introduced, eg atoms, the refractive index of the coating is changed so that image errors can be corrected.
Zur gezielten Bearbeitung der Beschichtung und damit zur gezielten Asphärisierung können Masken, Blenden oder ähnliche Teile verwendet werden, mit denen die nicht zu bearbeitenden Stellen abgedeckt werden. Ebenso ist die Verwendung von lokalen Lichtstrahlen oder auch Teilchenstrahlen möglich, die über die Oberfläche geführt werden. Durch Licht hoher Intensität lassen sich die Brechzahlen der Schicht und auch deren Dicke ändern. So kann sich z.B. durch lokale Lichtstrahlen die Dichte des Materials und damit auch lokal die Brechzahl ändern. Dies kann z.B. durch aufgelegte Masken erfolgen oder auch punktuell durch einen geführten Lichtstrahl, wobei die Dicke derFor targeted processing of the coating and thus for targeted aspherization, masks, screens or similar parts can be used to cover the areas not to be processed. It is also possible to use local light beams or particle beams that are guided over the surface. The refractive index of the layer and also its thickness can be changed by means of high-intensity light. For example, by local light rays change the density of the material and thus locally the refractive index. This can e.g. done by applied masks or selectively by a guided light beam, the thickness of the
Schicht selbst nicht verändert wird, sondern sich nur lokal die Brechzahl aufgrund der hohen Strahlungsintensität verändert .Layer itself is not changed, but only locally changes the refractive index due to the high radiation intensity.
Mit dem erfindungsgemäßen Verfahren lassen sich auch kleinflächige Strukturen, steile Gradienten und ähnliche Asphärisierungen durchführen, die mit den bisher bekannten Verfahren nicht möglich sind.The method according to the invention can also be used to carry out small-area structures, steep gradients and similar aspherizations that are not possible with the previously known methods.
So kann z.B. eine Magnesiumflourid-, Aluminiumoxid- oder Zirkonoxidschicht als Beschichtung auf einer Silizium- oxidbasis als Linsenmaterial verwenden oder in die Beschichtung integriert werden. Anschließend kann diese Be- Schichtung durch i-Linien-Licht (Licht von 365 nm Wellenlänge) hoher Intensität bestrahlt werden, wobei eine Alterung der Beschichtung und Kompaktierung auftritt. Damit ändert sich die Schichtdicke und/oder die Brechzahl und folglich die optische Weglänge.For example, a magnesium fluoride, aluminum oxide or zirconium oxide layer can be used as a coating on a silicon oxide basis as the lens material or can be integrated into the coating. Then this loading Layering can be irradiated with high-intensity i-line light (light of 365 nm wavelength), with aging of the coating and compaction occurring. This changes the layer thickness and / or the refractive index and consequently the optical path length.
Selbstverständlich ist Voraussetzung, daß im Falle einer Abtragung zur Änderung der Passe die Dicke der Beschichtung stärker ist als die maximal abzutragende Schichtstärke, um die gewünschte Bildfeldoptimierung zu errei- chen.Of course, it is a prerequisite that in the event of ablation to change the yoke, the thickness of the coating is thicker than the maximum layer thickness to be removed in order to achieve the desired image field optimization.
Indem also zuerst eine oder mehrere gewünschte Schichten, z.B. durch Aufdampfen einer Antireflexbeschichtung, aufgebracht werden und erst dann in einem zweiten Schritt die Beschichtung, z.B. bei mehreren Schichten die äußer- ste Schicht zur Korrektur der Passe nachbearbeitet wird, um Bildfeldoptimierungen zu erreichen, kommt es zu einer Umkehr des bisher bekannten Verfahrens. Dadurch werden nachfolgende Bearbeitungsschritte vermieden, wie dies bei den bekannten Verfahren der Fall war und die zu Änderun- gen der Passe führen können.So by first one or more layers, e.g. by vapor deposition of an anti-reflective coating, and only then, in a second step, the coating, e.g. in the case of several layers, if the outermost layer is reworked to correct the yoke in order to optimize the image field, the previously known method is reversed. This avoids subsequent processing steps, as was the case with the known methods and which can lead to changes in the yoke.
Die Korrektur der Passe erfolgt also nunmehr auf der vorher aufgebrachten Beschichtung, z.B. einer Bedampfung, und nicht mehr - wie bisher üblich - auf der Oberfläche des optischen Elements selbst. Bei einer besonders bevorzugten Ausgestaltung der Erfindung weist die Beschichtung eine nach außen weisende Abschlußschicht sowie eine oder mehrere zwischen der Abschlußschicht und dem Elementkörper angeordnete Zwischen- schichten auf, wobei mindestens eine der Zwischenschichten die vorzugsweise nicht rotationssymmetrische Korrekturstruktur enthält.The yoke is now corrected on the previously applied coating, for example vapor deposition, and no longer - as was previously the case - on the surface of the optical element itself. In a particularly preferred embodiment of the invention, the coating has an outwardly facing end layer and one or more intermediate layers arranged between the end layer and the element body, at least one of the intermediate layers containing the preferably non-rotationally symmetrical correction structure.
Ein optisches Element gemäß dieser Ausgestaltung läßt sich mit folgenden Schritten herstellen:An optical element according to this configuration can be produced in the following steps:
a) Bereitstellen des Elementkörpers;a) providing the element body;
b) Erzeugen mindestens einer ausgewählten Zwischenschicht, die eine Korrekturstruktur aufweist, durch welche die optische Weglänge für hindurchtretendes Licht lokal verändert wird;b) generating at least one selected intermediate layer which has a correction structure by means of which the optical path length for light passing through is changed locally;
c) Aufbringen der Abschlußschicht.c) application of the final layer.
Gegenüber optischen Elementen, bei denen die Abschlußschicht eine Korrekturstruktur in Form von Dicken- oder Brechzahlschwankungen enthält, hat ein solches optisches Element den Vorteil eines gleichmäßigeren Reflexionsver- mögens. Im allgemeinen gibt es nämlich innerhalb der Beschichtung Zwischenschichten, bei denen sich derartige Schwankungen erheblich weniger auf das Reflexionsvermögen auswirken, als dies bei der äußersten Schicht der Fall ist. Als optische Elemente kommen hier im übrigen nicht nur Linsen und andere refraktive optische Elemente, sondern auch reflektive optische Elemente in Betracht. Die Beschichtung dient dann nicht der Herabsetzung des Reflexi- onsvermögens, sondern deren Erhöhung. Auch bei solchen Reflexbeschichtungen besteht das Problem, daß die äußerste Schicht durch Anbringen von Korrekturstrukturen verstimmt wird. Wird statt dessen eine Zwischenschicht mit einer Korrekturstruktur versehen, so ist der Einfluß die- ser Korrekturstruktur auf das Reflexionsvermögen erheblich geringer.Compared to optical elements in which the final layer contains a correction structure in the form of fluctuations in thickness or refractive index, such an optical element has the advantage of a more uniform reflectivity. In general, there are intermediate layers within the coating, in which such fluctuations have a significantly less effect on the reflectivity than is the case with the outermost layer. In addition, not only lenses and other refractive optical elements, but also reflective optical elements come into consideration as optical elements. The coating then does not serve to reduce the reflectivity, but rather to increase it. Even with such reflective coatings, there is the problem that the outermost layer is detuned by applying correction structures. If an intermediate layer is instead provided with a correction structure, the influence of this correction structure on the reflectivity is considerably less.
Da es im allgemeinen eine Zwischenschicht gibt, bei der sich Dicken- oder Brechzahlschwankungen am wenigsten auf das Reflexionsvermögen der gesamten Beschichtung auswir- ken, ist es bevorzugt, wenn bei mindestens einer, möglichst sogar bei allen anderen Schichten der Beschichtung, die keine Korrekturstruktur enthalten, die Schichtdicke konstant oder zumindest rotationssymmetrisch verteilt ist. Dies gilt insbesondere auch für die Abschluß- Schicht, da sich dort Dickenschwankungen besonders ungünstig auf die Reflexionseigenschaften der Beschichtung auswirken.Since there is generally an intermediate layer in which fluctuations in thickness or refractive index have the least effect on the reflectivity of the entire coating, it is preferred if at least one, if possible even all, of the other layers of the coating which do not contain a correction structure, the layer thickness is constant or at least distributed rotationally symmetrically. This also applies in particular to the finishing layer, since there fluctuations in thickness have a particularly unfavorable effect on the reflective properties of the coating.
Grundsätzlich ist es möglich, innerhalb der Beschichtung mehrere Korrekturschichten mit lokal variierender Schichtdicke vorzusehen. Dies kann beispielsweise dann zweckmäßig sein, wenn die zur Korrektur herstellungsbedingter Abbildungsfehler erforderlichen Schichtdicken- Schwankungen zu groß sind, als daß sie sich innerhalb einer einzigen Zwischenschicht realisieren lassen. Im allgemeinen ist es jedoch bevorzugt, wenn genau eine Zwischenschicht eine Korrekturstruktur enthält.In principle, it is possible to provide several correction layers with locally varying layer thicknesses within the coating. This can be expedient, for example, if the layer thicknesses required to correct imaging errors caused by production. Fluctuations are too large to be realized within a single intermediate layer. In general, however, it is preferred if exactly one intermediate layer contains a correction structure.
Bei der Festlegung der für die Anbringung einer Korrekturstruktur am besten geeigneten Zwischenschicht ist zu berücksichtigen, daß die Zwischenschichten im allgemeinen unterschiedlich dick sind, wodurch sich von vornherein eine Begrenzung der maximalen Dickenschwankungen ergeben. Außerdem haben Dickenschwankungen nicht in allen Zwischenschichten die gleichen Auswirkungen auf die Reflexionseigenschaften des Schichtsystems. Um die zur Anbringung der Korrekturstrukturen am besten geeignete Zwischenschicht zu ermitteln, können beispielsweise die fol- genden Schritte durchgeführt werden:When determining the intermediate layer that is most suitable for the application of a correction structure, it must be taken into account that the intermediate layers are generally of different thicknesses, which limits the maximum thickness fluctuations from the outset. In addition, fluctuations in thickness do not have the same effects on the reflective properties of the layer system in all intermediate layers. In order to determine the most suitable intermediate layer for applying the correction structures, the following steps can be carried out, for example:
a) Rechnergestützte Ermittlung einer optimalen Beschichtung;a) Computer-aided determination of an optimal coating;
b) Sukzessives Verringern der Schichtdicken der Zwischenschichten um einen vorgegebenen Betrag und Er- mittlung der jeweiligen Auswirkung auf die Reflexionseigenschaften der Beschichtung durch Simulation;b) successively reducing the layer thicknesses of the intermediate layers by a predetermined amount and determining the respective effect on the reflection properties of the coating by simulation;
c) Bestimmung der Zwischenschichten, bei denen sich eine Verringerung der Schichtdicke am wenigsten auf die Reflexionseigenschaften auswirken . Sind die in Betracht kommenden Zwischenschichten einmal ermittelt, so ist ferner zu berücksichtigen, daß um so mehr Zwischenschichten mit höherer Temperatur aufgebracht werden können, je näher die eine Korrekturstruktur ent- haltende Zwischenschicht an der Abschlußschicht liegt. Dadurch erhöht sich nämlich die mechanische Stabilität und Kontaminationswiderstandsfähigkeit der gesamten Beschichtung.c) Determination of the intermediate layers in which a reduction in the layer thickness has the least effect on the reflection properties. Once the possible intermediate layers have been determined, it must also be taken into account that the more intermediate layers can be applied at a higher temperature, the closer the intermediate layer containing a correction structure is to the final layer. This increases the mechanical stability and resistance to contamination of the entire coating.
Deswegen ist es besonders bevorzugt, wenn nur die äußer- ste, an die Abschlußschicht angrenzende Zwischenschicht eine Korrekturstruktur enthält. Wenn darunter noch weitere Zwischenschichten mit konstanter Schichtdicke auf den Elementkörper aufgebracht sind, so kann dieser nach dem Aufbringen aller Schichten mit Ausnahme der Abschluß- schicht mit einer Fassung versehen werden. Erst in diesem Herstellungsstadium wird das optische Element in das optische System eingebaut und vermessen. Dies erlaubt es, sämtliche Zwischenschichten einschließlich der die Korrekturstruktur enthaltenden Zwischenschicht bei hoher Temperatur auf den Elementkörper aufzubringen, was sich günstig auf die mechanische Stabilität und Kontaminationsbeständigkeit der Beschichtung auswirkt. Nur die Abschlußschicht wird bei niedrigerer Temperatur aufgebracht, was die Stabilität und Kontaminationsbeständig- keit der gesamten Beschichtung nicht entscheidend beeinträchtigt. Wenn nur die zweitäußerste Zwischenschicht eine Korrekturstruktur enthält, so muß zwar die darüber angeordnete Zwischenschicht bei niedrigerer Temperatur aufgebracht werden. Häufig jedoch hat gerade diese zweitäußerste Zwi- schenschicht die Eigenschaft, vergleichsweise unsensibel gegenüber Dicken- oder Brechungsindexschwankungen zu sein, so daß sich Schwankungen nur relativ geringfügig auf die Reflexionseigenschaften des Schichtsystems auswirken.For this reason, it is particularly preferred if only the outermost intermediate layer adjacent to the final layer contains a correction structure. If further intermediate layers with a constant layer thickness are applied to the element body underneath, this can be provided with a frame after the application of all layers with the exception of the final layer. Only at this stage of manufacture is the optical element installed and measured in the optical system. This allows all the intermediate layers, including the intermediate layer containing the correction structure, to be applied to the element body at high temperature, which has a favorable effect on the mechanical stability and resistance to contamination of the coating. Only the final layer is applied at a lower temperature, which does not significantly affect the stability and resistance to contamination of the entire coating. If only the second outermost intermediate layer contains a correction structure, the intermediate layer arranged above it must be applied at a lower temperature. Often, however, this second outermost intermediate layer has the property of being comparatively insensitive to fluctuations in the thickness or refractive index, so that fluctuations have only a relatively minor effect on the reflective properties of the layer system.
Bei kurzwelligem Projektionslicht und hochaperturigen Projektionsobjektiven können die Schichtdicken der Beschichtung beispielsweise so ausgelegt werden, daß die mittlere Schichtdicke der die Korrekturstruktur enthaltenden Zwischenschicht zwischen 2 nm und 100 n , vorzugs- weise zwischen 10 nm und 50 nm, liegt.In the case of short-wave projection light and high-aperture projection objectives, the layer thicknesses of the coating can be designed, for example, such that the average layer thickness of the intermediate layer containing the correction structure is between 2 nm and 100 n, preferably between 10 nm and 50 nm.
Die Schichtdickenschwankungen der Korrekturschicht liegen dann vorzugsweise zwischen 0,1 nm und 50 nm und weiter vorzugsweise zwischen 0,5 nm und 30 nm.The layer thickness fluctuations of the correction layer are then preferably between 0.1 nm and 50 nm and more preferably between 0.5 nm and 30 nm.
Eine lokal variierende Schichtdicke in einer Zwischen- schicht wird vorzugsweise - wie vorstehend bereits erwähnt - erzeugt, indem die Schicht zunächst mit konstanter Dicke aufgebracht und anschließend Material lokal von der betreffenden Zwischenschicht abgetragen wird. In Betracht kommen hierzu beispielsweise die oben bereits er- wähnten bekannten Verfahren zum Materialabtrag. Alternativ hierzu ist es jedoch auch möglich, eine lokal variierende Schichtdicke zu erzeugen, indem die betreffende Zwischenschicht unmittelbar mit lokal variierender Schichtdicke aufgebracht wird. Anstatt also zunächst eine Schicht mit konstanter Schichtdicke aufzubringen und diese nachträglich teilweise wieder abzutragen, wird auf diese Weise unmittelbar die gewünschte Schichtdickeverteilung erzeugt. Zu berücksichtigen ist dabei allerdings, daß der Korrekturbedarf dann bereits vorher ermittelt sein muß. Das optische Element ist deswegen in diesemA locally varying layer thickness in an intermediate layer is preferably produced, as already mentioned above, by first applying the layer with a constant thickness and then removing material locally from the relevant intermediate layer. For this, for example, the known methods for material removal already mentioned above come into consideration. As an alternative to this, however, it is also possible to produce a locally varying layer thickness by applying the relevant intermediate layer directly with a locally varying layer thickness. So instead of first applying a layer with a constant layer thickness and then partially removing it again, the desired layer thickness distribution is immediately generated in this way. However, it must be taken into account that the need for correction must then have been determined beforehand. The optical element is therefore in this
Fall noch vor dem Aufbringen der Korrekturschicht in eine Fassung einzusetzen und zu vermessen. Folglich müssen bei dieser Herstellungsvariante nicht alle Schichten oberhalb der eine Korrekturstruktur enthaltenden Zwischenschicht, sondern auch diese Zwischenschicht selbst bei vergleichsweise niedriger Temperatur aufgebracht werden.Insert the case in a frame before the correction layer is applied and measure it. Consequently, in this production variant not all layers above the intermediate layer containing a correction structure, but also this intermediate layer must be applied even at a comparatively low temperature.
Besonders bevorzugt ist es dabei, wenn der Elementkörper in eine Beschichtungsanlage mit einer Auslaßöffnung zur Abgabe eines Beschichtungsdampfes eingebracht wird. Wäh- rend des Aufbringens der eine Korrekturstruktur enthaltenden Zwischenschicht wird eine Relativbewegung zwischen dem Elementkörper und der Auslaßöffnung erzeugt. Auf diese Weise kann der Beschichtungsdampf räumlich gezielt über die zu beschichtende Oberfläche geführt werden. Eine lokal höhere Schichtdicke kann beispielsweise dadurch erzeugt werden, daß der entsprechende Bereich mehrfach an der Auslaßöffnung vorbeigefahren wird. Besonders bevorzugt ist es dabei jedoch, wenn die Schichtdicke der die Korrekturstruktur enthaltenden Zwischenschicht durch Steuerung der Geschwindigkeit der Relativbewegung variiert wird. Je schneller sich die Aus- laßöffnung relativ zu der zu beschichtenden Oberfläche bewegt, desto geringer ist bei konstantem Dampfstrom die Schichtdicke.It is particularly preferred if the element body is introduced into a coating system with an outlet opening for dispensing a coating vapor. During the application of the intermediate layer containing a correction structure, a relative movement is generated between the element body and the outlet opening. In this way, the coating steam can be spatially directed over the surface to be coated. A locally higher layer thickness can be produced, for example, by the corresponding area being moved past the outlet opening several times. However, it is particularly preferred if the layer thickness of the intermediate layer containing the correction structure is varied by controlling the speed of the relative movement. The faster the outlet opening moves relative to the surface to be coated, the less the layer thickness with a constant steam flow.
Weitere Merkmale und Vorteile der Erfindung ergeben sich aus der nachfolgenden Beschreibung eines Ausführungsbei- Spiels anhand der Zeichnungen. Darin zeigen:Further features and advantages of the invention result from the following description of an exemplary embodiment with reference to the drawings. In it show:
Figur 1 eine Prinzipdarstellung einer Projektionsbelich- tungsanlage für die Mikrolithograhie, welche zur Belichtung von Strukturen auf mit photosensitiven Materialien beschichtete Wafer verwendbar ist;FIG. 1 shows a basic illustration of a projection exposure system for microlithography, which can be used for the exposure of structures on wafers coated with photosensitive materials;
Figur 2 einen Schnitt durch eine mit einer Beschichtung versehenen Linse;FIG. 2 shows a section through a lens provided with a coating;
Figur 3 eine Draufsicht auf eine prinzipmäßig dargestellte Blende zur gezielten Asphärisierung der Oberfläche;Figure 3 is a plan view of a diaphragm shown in principle for targeted aspherization of the surface;
Figur 4 einen Meridionalschnitt durch eine mit einer Beschichtung versehenen Linse gemäß einem anderen Ausführungsbeispiel; Figur 5 einen vergrößerten Ausschnitt aus der in der Figur 4 gezeigten Linse;FIG. 4 shows a meridional section through a lens provided with a coating according to another exemplary embodiment; FIG. 5 shows an enlarged detail from the lens shown in FIG. 4;
Figur 6 einen Längsschnitt durch eine Beschichtungsanla- ge in schematisierter und nicht maßstäblicher Darstellung.Figure 6 shows a longitudinal section through a coating system in a schematic and not to scale.
In der Figur 1 ist eine Projektionsbelichtungsanlage 1 für die Mikrolithographie dargestellt. Diese dient der Festlegung von Strukturen durch Belichten eines mit einem photosensitiven Material beschichteten Substrats. Dieses besteht im allgemeinen überwiegend aus Silizium und wird als Wafer 2 bezeichnet. Verwendet werden kann die Projektionsbelichtungsanlage 1 z.B. zur Herstellung von Halbleiterbauelementen wie etwa Computerchips .FIG. 1 shows a projection exposure system 1 for microlithography. This serves to define structures by exposing a substrate coated with a photosensitive material. This generally consists predominantly of silicon and is referred to as wafer 2. The projection exposure system 1 can be used e.g. for the production of semiconductor components such as computer chips.
Die Projektionsbelichtungsanlage 1 besteht dabei im we- sentlichen aus einer Beleuchtungseinrichtung 3, einerThe projection exposure system 1 essentially consists of an illumination device 3, one
Einrichtung 4 zur Aufnahme und exakten Positionierung einer mit einer gitterartigen Struktur versehenen Maske, einem sogenannten Retikel 5, durch welches die späteren Strukturen auf dem Wafer 2 bestimmt werden, einer Ein- richtung 6 zur Halterung, Bewegung und exakten Positionierung des Wafers 2 und einer Abbildungseinrichtung, nämlich einem Projektionsobjektiv 7.Device 4 for receiving and exact positioning of a mask provided with a lattice-like structure, a so-called reticle 5, by which the later structures on the wafer 2 are determined, a device 6 for holding, moving and exact positioning of the wafer 2 and an imaging device , namely a projection lens 7.
Bei der Projektion werden die in das Retikel 5 eingebrachten Strukturen auf dem Wafer 2 abgebildet, und zwar insbesondere mit einer Verkleinerung der Strukturen auf ein Drittel oder weniger der ursprünglichen Größe. Die an die Projektionsbelichtungsanlage 1, insbesondere an das Projektionsobjektiv 7, zu stellenden Anforderungen hinsichtlich der Auflösung liegen dabei im Bereich von weni- gen Nanometern.During the projection, the structures introduced into the reticle 5 are imaged on the wafer 2, in particular with a reduction in the structures a third or less of the original size. The requirements to be imposed on the projection exposure system 1, in particular on the projection objective 7, are in the range of a few nanometers.
Nach einer erfolgten Belichtung wird der Wafer 2 weiterbewegt, so daß auf demselben Wafer 2 eine Vielzahl von einzelnen Feldern jeweils mit der durch das Retikel 5 vorgegebenen Struktur belichtet wird. Wenn die gesamte Fläche des Wafers 2 belichtet ist, wird dieser der Projektionsbelichtungsanlage 1 entnommen und einer Mehrzahl chemischer Behandlungsschritte, darunter einem im allgemeinen durch Ätzen durchgeführten Materialabtrag, unterzogen. Gegebenenfalls werden mehrere dieser Belichtungs- und Behandlungsschritte nacheinander durchlaufen, bis auf dem Wafer 2 eine Vielzahl von Computerchips entstanden sind. Aufgrund der schrittweisen Vorschubbewegung des Wafers 2 in der Projektionsbelichtungsanlage 1 wird diese häufig auch als "Stepper" bezeichnet.After exposure has taken place, the wafer 2 is moved on, so that a large number of individual fields are each exposed on the same wafer 2 with the structure specified by the reticle 5. When the entire surface of the wafer 2 is exposed, it is removed from the projection exposure apparatus 1 and subjected to a number of chemical treatment steps, including a material removal which is generally carried out by etching. If necessary, several of these exposure and treatment steps are carried out in succession until a large number of computer chips have arisen on the wafer 2. Due to the gradual feed movement of the wafer 2 in the projection exposure system 1, this is often also referred to as a "stepper".
Die Beleuchtungseinrichtung 3 stellt einen für die Abbildung des Retikels 5 auf dem Wafer 2 benötigten Projektionsstrahl 8, beispielsweise Licht oder eine ähnliche elektromagnetische Strahlung, bereit. Als Quelle für diese Strahlung kann z.B. ein Laser Verwendung finden. Die Strahlung wird in der Beleuchtungseinrichtung 3 über optische Elemente so geformt, daß der Projektionsstrahl 8 beim Auftreffen auf das Retikel 5 die gewünschten Eigen- Schäften, z.B. hinsichtlich Durchmesser, Polarisation und Form der Wellenfront, aufweist.The illumination device 3 provides a projection beam 8, for example light or a similar electromagnetic radiation, required for imaging the reticle 5 on the wafer 2. A laser, for example, can be used as the source for this radiation. The radiation is shaped in the illumination device 3 via optical elements so that the projection beam 8 has the desired properties when it hits the reticle 5. Shafts, for example with regard to diameter, polarization and shape of the wavefront.
Mit Hilfe des Projektionsstrahls 8 wird ein Bild des Re- tikels 5 von dem Projektionsobjektiv 7 verkleinert auf den Wafer 2 übertragen, wie bereits vorstehend erläutert wurde. Das Projektionsobjektiv 7 besteht dabei aus einer Vielzahl von einzelnen refraktiven und/oder diffraktiven optischen Elementen, wie z.B. Linsen, Spiegeln, Prismen und Abschlußplatten.With the aid of the projection beam 8, an image of the reticle 5 is transferred from the projection objective 7 to the wafer 2 in a reduced size, as has already been explained above. The projection lens 7 consists of a large number of individual refractive and / or diffractive optical elements, such as e.g. Lenses, mirrors, prisms and end plates.
Die Figur 2 zeigt einen Schnitt durch eine mit einer Beschichtung 9 versehene Linse 10, die zusammen mit anderen Linsen in dem Projektionsobjektiv 7 über eine Fassung 11 eingebaut ist. Die Beschichtung 9 kann vor Einbau der Linse 10 in die Fassung oder auch nachher auf eine Ober- fläche 10a der Linse 10 aufgebracht werden. Die Beschichtung 9 kann z.B. als aufgedampfte Antireflexschicht ausgebildet sein.FIG. 2 shows a section through a lens 10 provided with a coating 9, which is installed together with other lenses in the projection objective 7 via a mount 11. The coating 9 can be applied to a surface 10a of the lens 10 before the lens 10 is installed in the mount or afterwards. The coating 9 can e.g. be formed as a vapor-deposited anti-reflective layer.
Wenn das optische Element ein Spiegel ist, kann die Beschichtung 9 als hochreflektierende Fläche ausgebildet sein.If the optical element is a mirror, the coating 9 can be designed as a highly reflective surface.
Nach dem Einsetzen der Linse 10 in die Fassung 11 und gegebenenfalls auch nach deren Einbau in das Projektionsobjektiv 7 erfolgt eine Messung der Projektionsbelichtungsanlage 1 auf Bildfeldgenauigkeit. Falls sich dabei her- ausstellt, daß zur Bildfeldoptimierung Änderungen erfor- derlich sind, so kann die Passe von einem oder mehreren optischen Elementen in dem Projektionsobjektiv 7 entsprechend korrigiert werden.- Dabei ist es auch möglich, z.B. die Summe aller Deformationen einer oder auch aller Lin- sen 10 an einer Linsenoberfläche 10a ganz oder teilweise zu korrigieren. Dies kann z.B. durch ein lokales Abtragsverfahren an der Oberfläche 9a der Beschichtung erfolgen. Hierzu kann z.B. ein Ionenstrahlabtragsverfahren verwendet werden. Ebenso ist ein reaktives Ionenätzen möglich.After the lens 10 has been inserted into the mount 11 and possibly also after it has been installed in the projection objective 7, the projection exposure system 1 is measured for image field accuracy. If it turns out that changes are required to optimize the image field the fit of one or more optical elements in the projection lens 7 can be corrected accordingly. It is also possible to correct the sum of all deformations of one or all of the lenses 10 on a lens surface 10a in whole or in part , This can be done, for example, by a local removal process on the surface 9a of the coating. For example, an ion beam ablation process can be used for this. Reactive ion etching is also possible.
Bei einer Bearbeitung der Oberfläche 9a der Beschichtung 9 im Abtragsverfahren ist selbstverständlich dafür zu sorgen, daß die Dicke bzw. Stärke der Beschichtung 9 größer ist als der maximale Abtrag. Die maximal abzutragende Dicke ist beispielhaft in der Figur 2 gestrichelt einge- zeichnet und mit dem Bezugszeichen 9b bezeichnet. Wie ersichtlich, verbleibt in diesem Falle noch eine genügende Dicke bzw. Stärke für eine Wirksamkeit der Beschichtung 9 in dem gewünschten Maß, z.B. als Antireflexschicht .When processing the surface 9a of the coating 9 in the removal process, it must of course be ensured that the thickness or thickness of the coating 9 is greater than the maximum removal. The maximum thickness to be removed is shown by dashed lines in FIG. 2 and is designated by reference number 9b. As can be seen, there is still sufficient thickness in this case for the coating 9 to be effective, e.g. as an anti-reflective coating.
Bei der vorstehend beschriebenen Bearbeitung einer oder beider Seiten der Linse 10 über die zuvor aufgebrachte Beschichtung 9 lassen sich auf diese Weise nach einer Passekorrektur nachträgliche negative Einflüsse auf die Bildfeldqualität vermeiden, da keine weiteren Verfahrensschritte mehr an der Linse 10 erfolgen. Das Beschich- tungsverfahren und auch das Korrekturverfahren lassen sich auch bei Standardlinsen anwenden. Als Material für die Linsen 10 kann z.B.. Kalziumfluorid oder Quarzglas verwendet werden. Der Abtrag von der Beschichtung 9 kann beispielsweise in einem Bereich von 1 nm bis 10 nm erfolgen.In the above-described processing of one or both sides of the lens 10 via the previously applied coating 9, subsequent negative influences on the image field quality can be avoided in this way after a passport correction, since no further method steps are carried out on the lens 10. The coating process and also the correction process can also be used for standard lenses. As a material for the lenses 10 can, for example . , Calcium fluoride or quartz glass can be used. The removal of the coating 9 can take place, for example, in a range from 1 nm to 10 nm.
Figur 3 zeigt eine Maske 15, welche auf die zu bearbeitende Beschichtung 9a aufgelegt wird. Wie ersichtlich, sind nur zwei Öffnungen, nämlich eine ellipsenförmige Öffnung 13a und eine kreisförmige Öffnung 13b, freigehalten. An diesen Stellen erfolgt gezielt ein Abtrag oder ein Auftrag zur lokalen Asphärisierung.FIG. 3 shows a mask 15 which is placed on the coating 9a to be processed. As can be seen, only two openings, namely an elliptical opening 13a and a circular opening 13b, are kept free. At these points there is a targeted removal or an order for local aspherization.
Man kann auch durch Bestrahlung mit energiereicher Strahlung von hoher Intensität (z.B. i-Linie einer Natrondampflampe bei 365 nm) eine Veränderung der Schichtdicke und der Brechzahl erreichen. Daraus folgt eine entspre- chende Änderung der optischen Weglänge und daraus eine entsprechende Bildfehlerkorrektur des Projektionsobjektives.A change in the layer thickness and the refractive index can also be achieved by irradiation with high-intensity radiation of high intensity (e.g. i-line of a sodium vapor lamp at 365 nm). This results in a corresponding change in the optical path length and a corresponding image error correction of the projection lens.
Im folgenden wird ein weiteres, besonders bevorzugtes Ausführungsbeispiel der Erfindung anhand der Figuren 4 bis 6 erläutert.A further, particularly preferred exemplary embodiment of the invention is explained below with reference to FIGS. 4 to 6.
In der Figur 4 ist eine andere für den Einbau in das Projektionsobjektiv 7 geeignete Linse vereinfacht dargestellt und insgesamt mit 10' bezeichnet. Die Linse 10' weist einen plan-konvexen Linsenkörper 12 auf, der in ei- ne Linsenfassung 14 eingesetzt ist. Die Linsenfassung 14 weist nicht näher dargestellte Befestigungselemente auf, mit denen die Linse 10' in dem Projektionsobjektiv 7 oder in einem anderen optischen System befestigt und justiert werden kann.Another lens suitable for installation in the projection objective 7 is shown in simplified form in FIG. 4 and is designated overall by 10 '. The lens 10 'has a plano-convex lens body 12 which is inserted into a lens frame 14. The lens frame 14 has fastening elements, not shown, with which the lens 10 'can be fastened and adjusted in the projection objective 7 or in another optical system.
Die konvex gewölbte Oberfläche 16 des Linsenkörpers 12 trägt ein insgesamt mit 18 bezeichnetes Schichtsystem, das eine Antireflexbeschichtung bildet. Ein ähnliches Schichtsystem 19 ist auch auf die gegenüberliegende Oberfläche 17 des Linsenkörpers 12 aufgebracht.The convexly curved surface 16 of the lens body 12 carries a layer system, designated overall by 18, which forms an anti-reflective coating. A similar layer system 19 is also applied to the opposite surface 17 of the lens body 12.
Die Figur 5 zeigt einen in der Figur 4 mit 20 bezeichneten Ausschnitt aus der Linse 10' im Bereich der konvexen Oberfläche 16 des Linsenkörpers 12 in vergrößerter Darstellung. Darin ist erkennbar, daß das Schichtsystem 18 insgesamt sechs Schichten 181 bis 186 mit unterschiedli- chen Brechungsindizes umfaßt. Die fünf unteren Schichten 181 bis 185 werden im folgenden als Zwischenschichten und die äußerste Schicht 186 als Abschlußschicht bezeichnet. Da derartige Schichtsysteme an sich im Stand der Technik bekannt sind, wird auf die Darstellung weiterer Einzel- heiten verzichtet.FIG. 5 shows an excerpt from the lens 10 ′, designated by 20 in FIG. 4, in the region of the convex surface 16 of the lens body 12 in an enlarged representation. This shows that the layer system 18 comprises a total of six layers 181 to 186 with different refractive indices. The five lower layers 181 to 185 are referred to below as intermediate layers and the outermost layer 186 as an end layer. Since such layer systems are known per se in the prior art, further details are not shown.
Die senkrecht zu der konvexen Oberfläche 16 zu messenden Schichtdicken der Schichten 181 bis 186 sind so bestimmt, daß auf die Beschichtung 18 auftreffendes Licht nur zu einem sehr geringen Teil reflektiert wird. Dies gilt nicht nur für achsnahe parallele Lichtstrahlen, sondern auch für solche Lichtstrahlen, die mit größeren Einfalls- winkeln auf die Linse 10' auftreffen. Solche Lichtstrahlen sind in der Figur 4 mit 21 angedeutet. Große Einfallswinkel treten beispielsweise bei Linsen in hochaperturigen Projektionsobjektiven mikrolithographischer Pro- jektionsbelichtungsanlagen auf.The layer thicknesses of the layers 181 to 186 to be measured perpendicular to the convex surface 16 are determined in such a way that the light incident on the coating 18 is reflected only to a very small extent. This applies not only to parallel light rays close to the axis, but also to those light rays that have greater incidence angle strike the lens 10 '. Such light rays are indicated at 21 in FIG. Large angles of incidence occur, for example, with lenses in high-aperture projection objectives of microlithographic projection exposure systems.
Wie in der Figur 5 zu erkennen ist, haben die vier unteren Zwischenschichten 181 bis 184 unterschiedliche, jedoch innerhalb einer Schicht konstante Schichtdicken. Das gleiche gilt auch für die Abschlußschicht 186. Lediglich die darunter liegende vorletzte Schicht 185, d.h. die am weitesten außen liegende Zwischenschicht, weist einen Bereich 22 auf, innerhalb dessen die Schichtdicke der äußersten Zwischenschicht 185 lokal variiert. Die unterschiedlichen Schichtdicken innerhalb des Bereichs 22 sind durch einen ortsabhängigen Materialabtrag der Stärke Δ von der ansonsten die Schichtdicke d0 aufweisenden Zwischenschicht 185 erzeugt. Die Dickenschwankungen innerhalb des Bereichs 22 stellen eine Korrekturstruktur 23 dar, mit der sich auch nicht rotationssymmetrische Abbil- dungsfehler kompensieren lassen.As can be seen in FIG. 5, the four lower intermediate layers 181 to 184 have different, but constant layer thicknesses within one layer. The same also applies to the end layer 186. Only the penultimate layer 185 below, ie the outermost intermediate layer, has a region 22 within which the layer thickness of the outermost intermediate layer 185 varies locally. The different layer thicknesses within the area 22 are generated by a location-dependent material removal of the thickness Δ from the intermediate layer 185, which otherwise has the layer thickness d 0 . The thickness fluctuations within the area 22 represent a correction structure 23 with which imaging errors that are not rotationally symmetrical can also be compensated.
Da die Schichtdicke der Abschlußschicht 186 über die gesamte Ausdehnung der Abschlußschicht 186 hinweg und somit auch in der Nähe des Bereichs 22 konstant ist, bildet sich oberhalb des Bereichs 22 an einer Außenseite 26 der Beschichtung 18 eine Ausnehmung 28, deren Welligkeit dem Materialabtrag in der äußersten Zwischenschicht 185 entspricht. Licht, das im Bereich dieser Ausnehmung 28 auf die Linse 10' auftrifft und die Beschichtung 18 durchtritt, erfährt aufgrund der lokal dünneren Korrekturschicht 185 eine Abbildungsfehler kompensierende Phasenänderung gegenüber Licht, das abseits der Ausnehmung 28 auf die Linse 10' trifft. Die Beschichtung 18 verhindert dabei, daß nennenswerte Lichtmengen beim Eintritt in den optisch dichteren Linsenkörper 12 reflektiert werden und dem optischen System, in dem die Linse 10' eingebaut -ist, verloren gehen.Since the layer thickness of the end layer 186 is constant over the entire extent of the end layer 186 and thus also in the vicinity of the area 22, a recess 28 is formed above the area 22 on an outside 26 of the coating 18, the waviness of which is the material removal in the outermost region Intermediate layer 185 corresponds. Light that is in the area of this recess 28 the lens 10 'strikes and the coating 18 passes through, due to the locally thinner correction layer 185, an imaging error-compensating phase change with respect to light which strikes the lens 10' away from the recess 28. The coating 18 prevents significant amounts of light from being reflected when entering the optically denser lens body 12 and from being lost to the optical system in which the lens 10 'is installed.
Im folgenden wird ein mögliches Verfahren zur Herstellung der Linse 10' beschrieben.A possible method for producing the lens 10 'is described below.
Zunächst wird der Linsenkörper 12 in an sich bekannter Weise hergestellt und poliert. Dann werden die fünf unteren Zwischenschichten 181 bis 185 nacheinander mit je- weils konstanter Schichtdicke aufgebracht, wobei der Linsenkörper 12 auf eine Temperatur von etwa 200 °C erwärmt wird. Aufgrund dieser vergleichsweise hohen Temperatur sind diese fünf unteren Zwischenschichten 181 bis 185 mechanisch sehr stabil und widerstandsfähig gegen Kontami- nationen.First, the lens body 12 is manufactured and polished in a manner known per se. Then the five lower intermediate layers 181 to 185 are applied in succession, each with a constant layer thickness, the lens body 12 being heated to a temperature of approximately 200 ° C. Because of this comparatively high temperature, these five lower intermediate layers 181 to 185 are mechanically very stable and resistant to contamination.
In einem weiteren Schritt wird der Linsenkörper 12 mit den fünf darauf aufgebrachten Zwischenschichten 181 bis 185 in die Linsenfassung 14 eingesetzt und in das optische System, dessen Bestandteil die Linse 10' werden soll, eingesetzt und justiert. In an sich bekannter Weise werden sodann die Abbildungsfehler des optischen Systems bestimmt und daraus ein Korrekturbedarf ermittelt. Hieraus ergibt sich die Form und Anordnung der Korrekturstruktur 23.In a further step, the lens body 12 with the five intermediate layers 181 to 185 applied thereon is inserted into the lens mount 14 and inserted and adjusted in the optical system, the lens 10 'of which is to be a component. The imaging errors of the optical system then become known in a manner known per se determined and a need for correction determined. This results in the shape and arrangement of the correction structure 23.
In einem weiteren Schritt wird nun in dem Bereich 22 der äußersten Zwischenschicht 185 entsprechend der auf Grund der Vermessung der Linse 10' ermittelten Vorgaben so viel Material abgetragen, daß die Korrekturstruktur 23 in Form von Dickenschwankungen entsteht. Der Materialabtrag kann dabei beispielsweise mit Hilfe an sich bekannter Verfah- ren wie etwa des Ionenstrahlätzens erfolgen.In a further step, in the area 22 of the outermost intermediate layer 185, so much material is removed in accordance with the specifications determined on the basis of the measurement of the lens 10 ′ that the correction structure 23 arises in the form of fluctuations in thickness. The material can be removed, for example, using methods known per se, such as ion beam etching.
Nach Durchführung des Materialabtrags im Bereich 22 der Zwischenschicht 185 wird schließlich in einem weiteren Schritt die Abschlußschicht 186 auf die äußerste Zwischenschicht 185 aufgetragen. Der Linsenkörper 12 mit den davon getragenen Zwischenschichten 181 bis 185 wird dabei nur bis zu einer Temperatur von unter 60 °C erwärmt, da der Linsenkörper 12 bereits in der Linsenfassung 14 aufgenommen ist. Bei deutlich höheren Temperatur bestände die Gefahr, daß der Linsenkörper 12 sich wegen seiner im Vergleich zu der Linsenfassung 14 stärkeren Wärmeausdehnung dauerhaft deformiert.After the material has been removed in the area 22 of the intermediate layer 185, the final layer 186 is finally applied to the outermost intermediate layer 185 in a further step. The lens body 12 with the intermediate layers 181 to 185 carried by it is only heated up to a temperature of below 60 ° C. since the lens body 12 is already accommodated in the lens frame 14. At a significantly higher temperature there would be a risk that the lens body 12 would permanently deform due to its greater thermal expansion than the lens frame 14.
Alternativ zu dem vorstehend erwähnten Materialabtrag können die unterschiedlichen Schichtdicken der äußersten Zwischenschicht 185 auch dadurch erzeugt werden, daß von vornherein eine lokal variierende Materialmenge während des Beschichtungsvorgangs auf die darunter liegende Zwi- schenschicht 184 aufgebracht wird. Der Korrekturbedarf ist dann allerdings vorher zu ermitteln, wozu die Linse 10' in die Linsenfassung 14 einzusetzen und zu vermessen ist. Deswegen muß in diesem Falle nicht nur die Abschluß- schicht 186, sondern auch die Korrekturschicht 185 selbst bei vergleichsweise niedriger Temperatur aufgebracht werden.As an alternative to the abovementioned removal of material, the different layer thicknesses of the outermost intermediate layer 185 can also be produced by a locally varying amount of material being applied to the intermediate layer during the coating process. layer 184 is applied. However, the need for correction is then to be determined beforehand, for which purpose the lens 10 ′ is to be inserted into the lens frame 14 and measured. In this case, therefore, not only the end layer 186 but also the correction layer 185 must be applied even at a comparatively low temperature.
Die Figur 6 zeigt in einer stark vereinfachten und nicht maßstäblichen Schnittdarstellung eine Beschichtungsanlage 30 mit einer Vakuumkammer 32, in der ein Auslaß 34 für ein Beschichtungsdampf angeordnet ist. Der bereits in die Linsenfassung 14 eingefaßte Linsenkörper 12 ist auf einem X-Y-Verschiebetisch 26 angeordnet, auf dem der Linsenkörper 12 in X-und Y-Richtung verfahren werden kann.FIG. 6 shows a highly simplified and not to scale sectional view of a coating system 30 with a vacuum chamber 32, in which an outlet 34 for a coating steam is arranged. The lens body 12 already encased in the lens frame 14 is arranged on an X-Y displacement table 26 on which the lens body 12 can be moved in the X and Y directions.
Bei konstantem Dampfstrom aus dem Auslaß 34 kann dieWith constant steam flow from the outlet 34, the
Schichtdicke lokal variiert werden, indem die Verfahrge- schwindigkeiten in X-und Y-Richtung mit Hilfe eines Steuerungsprogramms zeitabhängig verändert werden. Dies führt zu unterschiedlich langen Verweildauern, denen ein- zelne Bereiche der zu beschichtenden Oberfläche demLayer thickness can be varied locally by changing the travel speeds in the X and Y directions with the help of a control program. This leads to different lengths of stay, which individual areas of the surface to be coated do
Dampfström ausgesetzt werden. Je länger dabei eine Flächeneinheit dem Dampfstrom ausgesetzt ist, desto größer ist dort die Schichtdicke.Be exposed to steam flow. The longer a surface unit is exposed to the steam flow, the greater the layer thickness there.
Eine weitere Möglichkeit zur Erzeugung einer Korrektur- Struktur besteht darin, anstelle einer lokalen Schichtdickenschwankung eine Schwankung der Brechzahl in die Zwischenschicht 185 einzubringen. Hierzu kann die Zwischenschicht 185 vor dem Aufbringen der Abschlußschicht 186 lokal energiereicher elektromagnetischer Strahlung oder einer Teilchenstrahlung ausgesetzt werden. Dies führt zu einer lokalen Veränderung des Materialgefüges und damit der Brechzahl der Zwischenschicht 185. A further possibility for generating a correction structure consists in a fluctuation in the refractive index in the instead of a local layer thickness fluctuation Insert intermediate layer 185. For this purpose, the intermediate layer 185 can be exposed to locally high-energy electromagnetic radiation or particle radiation before the application of the closing layer 186. This leads to a local change in the material structure and thus the refractive index of the intermediate layer 185.

Claims

Patentansprüche claims
1. Optisches Element mit einem Elementkörper (12) und mit einer auf dem Elementkörper (12) aufgebrachten1. Optical element with an element body (12) and with one applied to the element body (12)
Beschichtung (9; 18),Coating (9; 18),
dadurch gekennzeichnet, daßcharacterized in that
die Beschichtung (9; 18) mindestens eine Korrekturstruktur (23) enthält, durch welche die optische Weglänge für hindurchtretendes Licht lokal verändert wird.the coating (9; 18) contains at least one correction structure (23) through which the optical path length for light passing through is changed locally.
2. Optisches Element nach Anspruch 1, dadurch gekennzeichnet, daß die Korrekturstruktur (23) durch ei- nen Bereich der Beschichtung gebildet wird, in dem die Beschichtung (9; 18) vorgegebene Schwankungen der Beschichtungsdicke aufweist.2. Optical element according to claim 1, characterized in that the correction structure (23) is formed by a region of the coating in which the coating (9; 18) has predetermined fluctuations in the coating thickness.
3. Optisches Element nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß die Korrekturstruktur durch ei- nen Bereich der Beschichtung (9; 18) gebildet wird, in dem die Beschichtung vorgegebene Schwankungen der Brechzahl aufweist.3. Optical element according to claim 1 or 2, characterized in that the correction structure is formed by a region of the coating (9; 18) in which the coating has predetermined fluctuations in the refractive index.
4. Optisches Element nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Beschich- tung eine nach außen weisende Abschlußschicht (186) sowie eine oder mehrere zwischen der Abschlußschicht (186) und dem Elementkörper (12) angeordnete Zwischenschichten (181 bis 185) aufweist, und daß mindestens eine der Zwischenschichten (185) die Korrekturstruktur (23) enthält.4. Optical element according to one of the preceding claims, characterized in that the coating has an outwardly facing closure layer (186) and one or more between the closure layer (186) and has intermediate layers (181 to 185) arranged on the element body (12), and that at least one of the intermediate layers (185) contains the correction structure (23).
5. Optisches Element nach Anspruch 4, dadurch gekenn- zeichnet, daß die Korrekturstruktur (23) nicht rotationssymmetrisch ist.5. Optical element according to claim 4, characterized in that the correction structure (23) is not rotationally symmetrical.
6. Optisches Element nach Anspruch 4 oder 5, dadurch gekennzeichnet, daß bei allen Schichten (181 bis6. Optical element according to claim 4 or 5, characterized in that in all layers (181 to
184) der Beschichtung (18), die keine Korrekturstruktur (23) enthalten, die Schichtdicke konstant oder rotationssymmetrisch verteilt ist.184) of the coating (18), which do not contain a correction structure (23), the layer thickness is distributed constantly or rotationally symmetrically.
7. Optisches Element nach einem der Ansprüche 4 bis 6, dadurch gekennzeichnet, daß nur die äußerste, an die Abschlußschicht (186) angrenzende Zwischenschicht (185) eine Korrekturstruktur (23) enthält.7. Optical element according to one of claims 4 to 6, characterized in that only the outermost intermediate layer (185) adjacent to the end layer (186) contains a correction structure (23).
8. Optisches Element nach einem der Ansprüche 4 bis 6, dadurch gekennzeichnet, daß nur die zweitäußerste8. Optical element according to one of claims 4 to 6, characterized in that only the second extreme
Zwischenschicht (184) eine Korrekturstruktur (23) enthält.Intermediate layer (184) contains a correction structure (23).
9. Optisches Element nach einem der Ansprüche 4 bis 8, dadurch gekennzeichnet, daß die mittlere Schichtdicke der Zwischenschicht (185) , welche die Korrekturstruktur (23) enthält, zwischen 2 nm und 100 nm, vorzugsweise zwischen 10 nm und 50 nm, liegt. 9. Optical element according to one of claims 4 to 8, characterized in that the average layer thickness of the intermediate layer (185), which contains the correction structure (23), is between 2 nm and 100 nm, preferably between 10 nm and 50 nm.
10. Optisches Element nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Zwischenschicht (185) , welche die Korrekturstruktur (23) enthält, lokale Schichtdickenschwankungen aufweist, die vorzugs- weise zwischen 0,1 nm und 50 nm, weiter vorzugsweise zwischen 0,5 nm und 30 nm, liegen.10. Optical element according to one of the preceding claims, characterized in that the intermediate layer (185), which contains the correction structure (23), has local fluctuations in layer thickness, preferably between 0.1 nm and 50 nm, more preferably between 0, 5 nm and 30 nm.
11. Optisches Element nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Beschichtung (18) eine Antireflexbeschichtung ist.11. Optical element according to one of the preceding claims, characterized in that the coating (18) is an anti-reflective coating.
12. Optisches Element nach einem der Ansprüche 1 bis 10, dadurch gekennzeichnet, daß die Beschichtung eine Reflexbeschichtung ist.12. Optical element according to one of claims 1 to 10, characterized in that the coating is a reflective coating.
13. Optisches Element nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß das optische Element eine Linse (10; 10') eines Projektionsobjektivs13. Optical element according to one of the preceding claims, characterized in that the optical element is a lens (10; 10 ') of a projection lens
(7) einer mikrolithographischen Projektionsbelichtungsanlage (1) ist.(7) a microlithographic projection exposure system (1).
14. Verfahren zum Bearbeiten der Oberflächen eines optischen Elements (10; 10'), wobei wenigstens eine Oberfläche (10a; 16) des optischen Elements (10; 10') mit einer Beschichtung (9; 18) versehen wird,14. A method for processing the surfaces of an optical element (10; 10 '), at least one surface (10a; 16) of the optical element (10; 10') being provided with a coating (9; 18),
dadurch gekennzeichnet, daßcharacterized in that
in einem ersten Schritt die Beschichtung (9; 18) auf die wenigstens eine Oberfläche (10a; 16) aufgebracht wird, und daß in einem zweiten Schritt die Beschichtung (9; 18) in ihrem Aufbau oder in ihrer Zusammensetzung derart geändert wird, daß die Passe des optischen Elements (10; 10') zur Bildfeldoptimierung geändert wird.in a first step the coating (9; 18) on the at least one surface (10a; 16) is applied, and that in a second step the coating (9; 18) is changed in its structure or in its composition such that the fit of the optical element (10; 10 ') is changed to optimize the image field becomes.
15. Verfahren nach Anspruch 14, dadurch gekennzeichnet, daß zur Veränderung des Aufbaus die Beschichtung15. The method according to claim 14, characterized in that to change the structure of the coating
(9; 18) im zweiten Schritt in einem Abtragsverfahren bearbeitet wird, und daß in dem ersten Schritt die Be- Schichtung (9; 18) in einer Stärke aufgebracht wird, die größer ist als der maximal bei der nachfolgenden Bearbeitung auftretende Abtrag.(9; 18) is processed in a removal process in the second step, and that in the first step the coating (9; 18) is applied in a thickness which is greater than the maximum removal occurring in the subsequent processing.
16. Verfahren zum Bearbeiten der Oberflächen eines optischen Elements (10; 10'), wobei wenigstens eine Oberfläche (10a; 16) des optischen Elements (10; 10') mit einer Beschichtung (9; 18) versehen wird,16. Method for processing the surfaces of an optical element (10; 10 '), at least one surface (10a; 16) of the optical element (10; 10') being provided with a coating (9; 18),
dadurch gekennzeichnet, daßcharacterized in that
in einem ersten Schritt die Beschichtung (9; 18) auf die wenigstens eine Oberfläche (10a; 16) aufgebracht wird, und daß in einem zweiten Schritt die Beschichtung (9; 18) mit einer energiereichen Strahlung derart hoher Intensität lokal bestrahlt wird, daß die Brechzahl der Beschich- tung (9; 18) an der bestrahlten Stelle zur Bildfeldoptimierung korrigiert wird. in a first step the coating (9; 18) is applied to the at least one surface (10a; 16), and in a second step the coating (9; 18) is locally irradiated with high-energy radiation of such a high intensity that the Refractive index of the coating (9; 18) at the irradiated point is corrected for image field optimization.
17. Verfahren nach einem der Ansprüche 14 bis 16, dadurch gekennzeichnet, daß zur Bearbeitung der Beschichtung (9; 18) Teilchenstrahlen über die Oberfläche (10a; 16) der zu bearbeitenden Beschichtung (9; 18) ge- führt werden.17. The method according to any one of claims 14 to 16, characterized in that for processing the coating (9; 18) particle beams are guided over the surface (10a; 16) of the coating (9; 18) to be processed.
18. Verfahren nach Anspruch 17, dadurch gekennzeichnet, daß die Bearbeitung der Beschichtung (9; 18) im Wege eines reaktiven lonenätzverfahrens durchgeführt wird.18. The method according to claim 17, characterized in that the processing of the coating (9; 18) is carried out by means of a reactive ion etching process.
19. Verfahren nach Anspruch 17, dadurch gekennzeichnet, daß die Bearbeitung der Beschichtung (9; 18) im Wege eines Ionenstrahlabtrags-Verfahren (IBF-Verfahren) durchgeführt wird.19. The method according to claim 17, characterized in that the processing of the coating (9; 18) is carried out by means of an ion beam ablation process (IBF process).
20. Verfahren nach Anspruch 16, dadurch gekennzeichnet, daß die Beschichtung (9; 18) in dem zweiten Schritt einem Dotierverfahren unterworfen wird.20. The method according to claim 16, characterized in that the coating (9; 18) is subjected to a doping process in the second step.
21. Verfahren nach Anspruch 16, dadurch gekennzeichnet, daß die Beschichtung (9; 18) mit i-Linien-Licht hoher Intensität bestrahlt und kompaktiert wird.21. The method according to claim 16, characterized in that the coating (9; 18) is irradiated with i-line light of high intensity and compacted.
22. Verfahren nach Anspruch 21, dadurch gekennzeichnet, daß die Beschichtung (9; 18) mit einer Magnesium- flourid-, Aluminiumoxid- oder Zirkonoxidschicht versehen wird. 22. The method according to claim 21, characterized in that the coating (9; 18) is provided with a magnesium fluoride, aluminum oxide or zirconium oxide layer.
23. Verfahren nach einem der Ansprüche 14 bis 22, dadurch gekennzeichnet, daß die mit der Beschichtung23. The method according to any one of claims 14 to 22, characterized in that with the coating
(9; 18) versehene Oberfläche des optischen Elements (10; 10') zur gezielten Bearbeitung mit Masken oder Blenden (15) abgedeckt wird.(9; 18) provided surface of the optical element (10; 10 ') for targeted processing with masks or screens (15) is covered.
24. Verfahren nach einem der Ansprüche 14 bis 23, dadurch gekennzeichnet, daß das optische Element (10;24. The method according to any one of claims 14 to 23, characterized in that the optical element (10;
10') eine Linse eines Projektionsobjektivs (7) einer mikrolithographischen Projektionsbelichtungsanlage (1) ist.10 ') is a lens of a projection objective (7) of a microlithographic projection exposure system (1).
25. Verfahren zur Herstellung eines optischen Elements (10') mit einer auf einem Elementkörper (12) aufgebrachten Beschichtung (18) , die eine nach außen weisende Abschlußschicht (186) sowie eine oder mehrere zwischen der Abschlußschicht (186) und dem Elementkörper (12) an- geordnete Zwischenschichten (185) aufweist,25. A method for producing an optical element (10 ') with a coating (18) applied to an element body (12), which has an outwardly facing end layer (186) and one or more between the end layer (186) and the element body (12 ) has arranged intermediate layers (185),
gekennzeichnet durch folgende Schritte:characterized by the following steps:
a) Bereitstellen des Elementkörpers (12) ;a) providing the element body (12);
b) Erzeugen mindestens einer ausgewählten Zwischen- Schicht (185) , die eine Korrekturstruktur aufweist, durch welche die optische Weglänge für hindurchtretendes Licht lokal verändert wird;b) generating at least one selected intermediate layer (185) which has a correction structure by means of which the optical path length for light passing through is changed locally;
c) Aufbringen der Abschlußschicht (186) . c) applying the final layer (186).
26. Verfahren nach Anspruch 25, dadurch gekennzeichnet, daß die mindestens eine ausgewählte Zwischenschicht26. The method according to claim 25, characterized in that the at least one selected intermediate layer
(185) eine lokal variierende Schichtdicke aufweist.(185) has a locally varying layer thickness.
27. Verfahren nach Anspruch 26, dadurch gekennzeichnet, daß zwischen den Schritten a) und b) weitere Zwischenschichten (181 bis 184) mit konstanter oder rotationssymmetrisch verteilter Schichtdicke auf den Elementkörper (12) aufgebracht werden.27. The method according to claim 26, characterized in that between the steps a) and b) further intermediate layers (181 to 184) with a constant or rotationally symmetrically distributed layer thickness are applied to the element body (12).
28. Verfahren nach Anspruch 26 oder 27, dadurch gekenn- zeichnet, daß die lokal variierende Schichtdicke der mindestens einen ausgewählten Zwischenschicht (185) erzeugt wird, indem die mindestens eine ausgewählte Zwischenschicht (185) zunächst mit konstanter Schichtdicke aufgebracht und anschließend Material lokal von der min- destens einen ausgewählten Zwischenschicht (185) abgetragen wird.28. The method according to claim 26 or 27, characterized in that the locally varying layer thickness of the at least one selected intermediate layer (185) is produced by the at least one selected intermediate layer (185) initially applied with a constant layer thickness and then locally from the material at least one selected intermediate layer (185) is removed.
29. Verfahren nach Anspruch 28 bei Rückbezug auf Anspruch 27, dadurch gekennzeichnet, daß zwischen dem29. The method according to claim 28 when referring back to claim 27, characterized in that between the
Aufbringen der mindestens einen ausgewählten Zwischen- schicht (185) und dem Erzeugen der lokal variierenden Schichtdicke der Elementkörper (12) mit einer Fassung (14) versehen wird.Applying the at least one selected intermediate layer (185) and producing the locally varying layer thickness of the element body (12) is provided with a holder (14).
30. Verfahren nach Anspruch 29, dadurch gekennzeichnet, daß das optische Element (10') zwischen dem Verse- hen mit der Fassung (14) und dem Erzeugen der lokal variierenden Schichtdicke vermessen wird.30. The method according to claim 29, characterized in that the optical element (10 ') between the verse hen is measured with the frame (14) and the generation of the locally varying layer thickness.
31. Verfahren nach Anspruch 27 und nach einem der Ansprüche 28 bis 30, dadurch gekennzeichnet, daß die Temperatur des Elementkörpers (12) beim Aufbringen der weiteren Zwischenschichten (181 bis 184) und der mindestens einen ausgewählten Zwischenschicht (185) höher ist als die Temperatur des Elementkörp /ers (12) beim Aufbrin- gen jeder über der mindestens einen ausgewählten Zwi- schenschicht (185) angeordneten Schicht (186) .31. The method according to claim 27 and according to one of claims 28 to 30, characterized in that the temperature of the element body (12) when applying the further intermediate layers (181 to 184) and the at least one selected intermediate layer (185) is higher than the temperature of the element body (12) when each layer (186) arranged above the at least one selected intermediate layer (185) is applied.
32. Verfahren nach einem der Ansprüche 25 bis 27, dadurch gekennzeichnet, daß die mindestens eine ausgewählte Zwischenschicht (185) mit lokal variierender Schichtdicke aufgebracht wird.32. The method according to any one of claims 25 to 27, characterized in that the at least one selected intermediate layer (185) is applied with a locally varying layer thickness.
33. Verfahren nach Anspruch 32, dadurch gekennzeichnet, daß der Elementkörper (12) in eine Beschichtungsanlage (30) mit einer Auslaßöffnung (34) zur Abgabe eines Beschichtungsdampfes eingebracht wird, und daß während des Aufbringens der mindestens einen ausgewählten Zwi- schenschicht (185) eine Relativbewegung zwischen dem Elementkörper (12) und der Auslaßöffnung (34) erzeugt wird.33. The method according to claim 32, characterized in that the element body (12) is introduced into a coating system (30) with an outlet opening (34) for dispensing a coating vapor, and that during the application of the at least one selected intermediate layer (185) a relative movement between the element body (12) and the outlet opening (34) is generated.
34. Verfahren nach Anspruch 33, dadurch gekennzeichnet, daß die Schichtdicke der mindestens einen ausgewählten Zwischenschicht (185) durch Steuerung der Ge- schwindigkeit der Relativbewegung variiert wird. 34. The method according to claim 33, characterized in that the layer thickness of the at least one selected intermediate layer (185) is varied by controlling the speed of the relative movement.
35. Verfahren nach einem der Ansprüche 25 bis 34, dadurch gekennzeichnet, daß die Abschlußschicht (186) unmittelbar auf die mindestens eine ausgewählte Zwischenschicht (185) aufgebracht wird.35. The method according to any one of claims 25 to 34, characterized in that the final layer (186) is applied directly to the at least one selected intermediate layer (185).
36. Verfahren nach einem der Ansprüche 25 bis 34, dadurch gekennzeichnet, daß vor dem Aufbringen der Abschlußschicht (186) mindestens eine weitere Zwischenschicht auf die mindestens eine ausgewählte Zwischenschicht (185) aufgebracht wird.36. The method according to any one of claims 25 to 34, characterized in that at least one further intermediate layer is applied to the at least one selected intermediate layer (185) before the application of the final layer (186).
37. Verfahren nach einem der Ansprüche 25 bis 36, gekennzeichnet durch folgende Schritte:37. The method according to any one of claims 25 to 36, characterized by the following steps:
a) rechnergestützte Ermittlung einer optimalen Beschichtung (18);a) computer-assisted determination of an optimal coating (18);
b) Sukzessives Verringern der Schichtdicken der Zwi- schenschichten (181 bis 185) um einen vorgegebenenb) Successively reducing the layer thicknesses of the intermediate layers (181 to 185) by a predetermined one
Betrag und Ermittlung der jeweiligen Auswirkung auf die Reflexionseigenschaften der Beschichtung (18) durch Simulation;Amount and determination of the respective effect on the reflection properties of the coating (18) by simulation;
c) Bestimmung der Zwischenschichten, bei denen sich eine Verringerung der Schichtdicke am wenigsten auf die Reflexionseigenschaften auswirken; d) Auswahl derjenigen in Schritt c) ermittelten Zwischenschicht (185), die der Abschlußschicht (186) am nächsten ist.c) determination of the intermediate layers in which a reduction in the layer thickness has the least effect on the reflection properties; d) Selection of the intermediate layer (185) determined in step c) that is closest to the final layer (186).
38. Projektionsobjektiv für eine mikrolithographische Projektionsbelichtungsanlage (1) mit mindestens einem optischen Element nach Anspruch 13.38. Projection objective for a microlithographic projection exposure system (1) with at least one optical element according to claim 13.
39. Mikrolithographische Projektionsbelichtungsanlage mit mindestens einem Projektionsobjektiv (7) nach39. Microlithographic projection exposure system with at least one projection objective (7)
Anspruch 38. Claim 38.
PCT/EP2003/014543 2002-12-19 2003-12-18 Coated optical element for corrective action obtained by producing layer thickness variations or by refraction factor variations in said coating WO2004057378A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003290093A AU2003290093A1 (en) 2002-12-19 2003-12-18 Coated optical element for corrective action obtained by producing layer thickness variations or by refraction factor variations in said coating

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10259536 2002-12-19
DE10259536.4 2002-12-19

Publications (1)

Publication Number Publication Date
WO2004057378A1 true WO2004057378A1 (en) 2004-07-08

Family

ID=32667512

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2003/014543 WO2004057378A1 (en) 2002-12-19 2003-12-18 Coated optical element for corrective action obtained by producing layer thickness variations or by refraction factor variations in said coating

Country Status (2)

Country Link
AU (1) AU2003290093A1 (en)
WO (1) WO2004057378A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1906253A1 (en) * 2006-09-28 2008-04-02 Carl Zeiss SMT AG Projection objective of a microlithographic projection exposure apparatus
DE102008041144A1 (en) 2007-08-21 2009-03-05 Carl Zeiss Smt Ag Optical arrangement for e.g. projection lens, has structure for optimizing arrangement with respect to angle of incident angle-dependent polarization division in phase and amplitude, and another structure compensating change of wave front
US7800849B2 (en) 2004-12-28 2010-09-21 Carl Zeiss Smt Ag Apparatus for mounting two or more elements and method for processing the surface of an optical element
DE102015207153A1 (en) * 2015-04-20 2016-10-20 Carl Zeiss Smt Gmbh Wavefront correction element for use in an optical system
DE102005040324B4 (en) * 2004-08-31 2020-03-19 Corning Incorporated Improved surface treatment of metal fluoride excimer optics devices
US10809630B2 (en) 2017-02-28 2020-10-20 Carl Zeiss Smt Gmbh Method for correcting a reflective optical element for the wavelength range between 5 nm and 20 nm

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0824721B1 (en) * 1996-03-07 2000-07-26 Koninklijke Philips Electronics N.V. Imaging system and apparatus for ultraviolet lithography
US6147809A (en) * 1996-05-21 2000-11-14 Angenieux S.A. Method for correcting a lens optical aberration
EP1150139A2 (en) * 2000-03-31 2001-10-31 Carl Zeiss Multilayer system with protecting layer system and production method
US20020135778A1 (en) * 2001-03-21 2002-09-26 The Regents Of The University Of California Fabrication of precision optics using an imbedded reference surface
WO2002077692A1 (en) * 2001-03-27 2002-10-03 Nikon Corporation Optical system manufacturing method and exposure device having an optical system manufactured by the manufacturing method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0824721B1 (en) * 1996-03-07 2000-07-26 Koninklijke Philips Electronics N.V. Imaging system and apparatus for ultraviolet lithography
US6147809A (en) * 1996-05-21 2000-11-14 Angenieux S.A. Method for correcting a lens optical aberration
EP1150139A2 (en) * 2000-03-31 2001-10-31 Carl Zeiss Multilayer system with protecting layer system and production method
US20020135778A1 (en) * 2001-03-21 2002-09-26 The Regents Of The University Of California Fabrication of precision optics using an imbedded reference surface
WO2002077692A1 (en) * 2001-03-27 2002-10-03 Nikon Corporation Optical system manufacturing method and exposure device having an optical system manufactured by the manufacturing method

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005040324B4 (en) * 2004-08-31 2020-03-19 Corning Incorporated Improved surface treatment of metal fluoride excimer optics devices
US7800849B2 (en) 2004-12-28 2010-09-21 Carl Zeiss Smt Ag Apparatus for mounting two or more elements and method for processing the surface of an optical element
EP1906253A1 (en) * 2006-09-28 2008-04-02 Carl Zeiss SMT AG Projection objective of a microlithographic projection exposure apparatus
DE102008041144A1 (en) 2007-08-21 2009-03-05 Carl Zeiss Smt Ag Optical arrangement for e.g. projection lens, has structure for optimizing arrangement with respect to angle of incident angle-dependent polarization division in phase and amplitude, and another structure compensating change of wave front
DE102015207153A1 (en) * 2015-04-20 2016-10-20 Carl Zeiss Smt Gmbh Wavefront correction element for use in an optical system
US10151922B2 (en) 2015-04-20 2018-12-11 Carl Zeiss Smt Gmbh Wavefront correction element for use in an optical system
US10809630B2 (en) 2017-02-28 2020-10-20 Carl Zeiss Smt Gmbh Method for correcting a reflective optical element for the wavelength range between 5 nm and 20 nm

Also Published As

Publication number Publication date
AU2003290093A1 (en) 2004-07-14

Similar Documents

Publication Publication Date Title
WO2002093209A2 (en) Lens system consisting of fluoride crystal lenses
EP1282011A2 (en) Reflective projection lens for EUV photolithography
DE69933918T2 (en) Lithographic projection apparatus
DE102009029471A1 (en) Mirror for use in a microlithography projection exposure apparatus
DE60130348T2 (en) Lithographic apparatus and method for producing an integrated circuit arrangement
DE102017208340A1 (en) Projection exposure method and projection objective with adjustment of the pupil transmission
DE102009049640B4 (en) Projection objective for a microlithographic EUV projection exposure machine
DE102012212757A1 (en) METHOD FOR OPERATING A MICROLITHOGRAPHIC PROJECTION EXPOSURE PLANT
EP1664933A1 (en) Euv projection lens with mirrors made from materials with differing signs for the rise in temperature dependence of the thermal expansion coefficient around the zero transition temperature
DE10360414A1 (en) EUV projection lens and method for its production
DE102016205619A1 (en) Attenuation filter for projection objective, projection objective with attenuation filter for projection exposure apparatus and projection exposure apparatus with projection objective
DE102020207748A1 (en) Optical system, especially in a microlithographic projection exposure system
WO2003092256A2 (en) Projection method and projection system comprising an optical filtering process
WO2004057378A1 (en) Coated optical element for corrective action obtained by producing layer thickness variations or by refraction factor variations in said coating
DE60218412T2 (en) Lithographic apparatus, method of making an article and computer program therefor
DE102018204626A1 (en) Illumination mask and method for its production
WO2007025783A2 (en) Microlithographic projection lighting system
DE102006021334B3 (en) Polarization-influencing optical elements manufacturing method, involves assembling two components, and non-plane surface of component is provided with defined elevator profile is assembled to plane surface of other component
DE102010030913A1 (en) Method for manufacturing substrate for extreme-UV mirror of projection system of extreme-UV lithography system, involves processing substrate in spatially-resolved manner at operating temperature based on measurement of surface shape
DE102013212462A1 (en) Surface correction of mirrors with decoupling coating
WO2004092843A2 (en) Projection lens, microlithographic projection exposure system and method for producing a semiconductor circuit
EP4212962A1 (en) Method and device for determining the heating state of an optical element in an optical system for microlithography
DE102021200790A1 (en) Method for operating an optical system, as well as mirrors and optical system
DE102019204345A1 (en) METHOD FOR PRODUCING AN OPTICAL ELEMENT
DE10321680B4 (en) Method for determining the quality of a pellicle

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP