WO2004003968A2 - Method and system for arc suppression in a plasma processing system - Google Patents

Method and system for arc suppression in a plasma processing system Download PDF

Info

Publication number
WO2004003968A2
WO2004003968A2 PCT/US2003/016243 US0316243W WO2004003968A2 WO 2004003968 A2 WO2004003968 A2 WO 2004003968A2 US 0316243 W US0316243 W US 0316243W WO 2004003968 A2 WO2004003968 A2 WO 2004003968A2
Authority
WO
WIPO (PCT)
Prior art keywords
signal
recited
plasma processing
processing system
plasma
Prior art date
Application number
PCT/US2003/016243
Other languages
French (fr)
Other versions
WO2004003968A3 (en
Inventor
Paul Moroz
Eric Strang
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US10/512,862 priority Critical patent/US7199327B2/en
Priority to AU2003280398A priority patent/AU2003280398A1/en
Priority to JP2004517580A priority patent/JP2006507662A/en
Publication of WO2004003968A2 publication Critical patent/WO2004003968A2/en
Publication of WO2004003968A3 publication Critical patent/WO2004003968A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges

Definitions

  • FIG. 7B shows a top view of a substrate holder incorporating an arc suppression system according to another embodiment of the present invention
  • FIG. 7C shows a top view of a substrate holder incorporating an arc suppression system according to another embodiment of the present invention
  • FIG. 7D shows a top view of a substrate holder incorporating an arc suppression system according to another embodiment of the present invention
  • FIG. 8 A shows an exploded view of an antenna electrode and antenna lead according to an embodiment of the present invention
  • FIG. 8B shows an exploded view of an antenna electrode and antenna lead according to another embodiment of the present invention.
  • FIG. 10 shows an exemplary signal utilized by the arc suppression system to determine a state of the processing plasma and control the plasma processing system to avoid an arcing event according to an embodiment of the present invention
  • temperature control of the substrate can be useful at temperatures in excess of the steady-state temperature achieved due to a balance of the heat flux delivered to the substrate 25 from the plasma and the heat flux removed from substrate 25 by conduction to the substrate holder 20.
  • heating elements such as resistive heating elements, or thermo-electric heaters/coolers can be included.
  • the at least one antenna electrode 52 can be elliptical. In an alternate embodiment, as shown in FIG. 7C, the at least one antenna electrode 52 can be "kidney-shaped.” In an alternate embodiment, the at least one electrode 52 can be rectangular. Alternate embodiments, such as shown in FIG. 7D, can vary the arrangement of the antenna electrodes 52 to place them in various locations. However, it should be appreciated that any arrangement of antennas and any number of antennas can be used according to the present invention.
  • the at least one antenna electrode 52 and the conductive element(s) of the at least one antenna lead 54 can be fabricated from copper or a like conducting material.
  • the at least one antenna lead 54 is shielded using an outer conductive shield and insulated from the clamp electrode 132 and RF biasable electrode 126.
  • the consumable electrode 570 is coplanar with the upper surface of the focus ring 560, and in FIG. 8D the consumable electrode 570 is not coplanar with the upper surface of the focus ring 560.
  • the focus ring 560 is designed for repeatable replacement on the RF biasable electrode 126 and, therefore, consumable electrode 570 can be consistently coupled to antenna electrode 52.
  • the focus ring 128 or 560 can, for example, comprise at least one of silicon, silicon carbide, alumina, or quartz.
  • consumable electrode 570 can, for example, comprise doped silicon or an embedded, conductive material such as tungsten.

Abstract

An arc suppression system for plasma processing comprising at least one sensor coupled to the plasma processing system, and a controller coupled to the at least one sensor. The controller provides at least one algorithm for determining a state of plasma in contact with a substrate using at least one signal generated from the at least one sensor and controlling a plasma processing system in order to suppress an arcing event. When voltage differences between sensors exceed a target difference, the plasma processing system is determined to be susceptible to arcing. During this condidtion, an operator is notified, and decision can be made to either continue processing, modify processing, or discontinue processing.

Description

TITLE OF THE INVENTION
METHOD AND SYSTEM FOR ARC SUPPRESSION LN A PLASMA PROCESSING SYSTEM
CROSS-REFERENCE TO RELATED APPLICATIONS [0001] This application claims priority to U.S. Application Serial No. 60/391 ,950, filed June 28, 2002; and this application is related to U.S. Patent No. 6,332,961, entitled "Device and method for detecting and preventing arcing in RF plasma systems," the entire contents of which are incorporated herein by reference.
BACKGROND OF THE INVENTION FIELD OF THE INVENTION
[0002] The present invention relates to plasma processing and more particularly to an arc suppression system for plasma processing and a method of using thereof.
DISCUSSION OF THE BACKGROUND
[0003] The fabrication of integrated circuits (IC) in the semiconductor industry typically employs plasma to create and assist surface chemistry within a plasma reactor necessary to remove material from and deposit material to a substrate. In general, plasma is formed within the plasma reactor under vacuum conditions by heating electrons to energies sufficient to sustain ionizing collisions with a supplied process gas. Moreover, the heated electrons can have energy sufficient to sustain dissociative collisions and, therefore, a specific set of gases under predetermined conditions (e.g., chamber pressure, gas flow rate, etc.) are chosen to produce a population of charged species and chemically reactive species suitable to the particular process being performed within the chamber (e.g., etching processes where materials are removed from the substrate or deposition processes where materials are added to the substrate). Typically, during plasma processing such as etch applications, it is likely that electric charge will accumulate across the substrate surface. However, it is possible that the substrate charging can be spatially non-homogeneous across the substrate surface. The non- homogeneous charging of the substrate surface has been observed to arise due to a spatially non-homogeneous plasma overlying and in contact with the substrate surface. The plasma non-homogeneity can be attributed to, for example, non-uniform plasma generation or loss resulting in a non-uniform plasma density, or a non-uniform plasma sheath overlying the substrate surface associated with the plasma reactor structure surrounding the substrate resulting in a non-uniform ion energy (for ions striking the substrate surface). As a consequence of these non-homogeneities, the risk of lateral arcing across the substrate is greatly enhanced. Substrate arcing must be avoided entirely in order to preserve acceptable device yield.
SUMMARY OF THE INVENTION [0004] The present invention provides an arc suppression system for a plasma processing system comprising at least one sensor capable of being coupled to the plasma processing system, and a controller coupled to the at least one sensor, wherein the controller provides at least one algorithm for determining a state of the plasma processing system using at least one signal generated from the at least one sensor and controlling a plasma processing system in order to suppress an arcing event.
[0005] The present invention further provides a method for suppressing arcing in the plasma processing system utilizing the arc suppression system comprising the steps: measuring at least one signal related to the plasma processing system using at least one sensor; determining at least one difference signal between the at least one signal and ground potential; comparing the at least one difference signal to a target difference; and determining a state of the plasma processing system from the comparing.
[0006] The present invention further provides another method for suppressing arcing in the plasma processing system utilizing the arc suppression system comprising the steps: measuring a first signal related to the plasma processing system using a first sensor; measuring a second signal related to the plasma processing system using a second sensor; determining a difference signal between the first signal and the second signal; comparing the difference signal to a target difference; and determining a state of the plasma processing system from the comparing.
[0007] It is another object of the present invention to provide a method for suppressing arcing in the plasma processing system utilizing the arc suppression system further comprising the step: controlling the plasma processing system according to the state of the plasma processing system in order to suppress an arcing event BRIEF DESCRIPTION OF THE DRAWINGS [0008] These and other advantages of the invention will become more apparent and more readily appreciated from the following detailed description of the exemplary embodiments of the invention taken in conjunction with the accompanying drawings, where: [0009] FIG. 1 shows an arc suppression system for plasma processing according to a preferred embodiment of the present invention;
[0010] FIG. 2 shows an arc suppression system for plasma processing according to an alternate embodiment of the present invention;
[0011] FIG. 3 shows an arc suppression system for plasma processing according to another embodiment of the present invention;
[0012] FIG. 4 shows an arc suppression system for plasma processing according to another embodiment of the present invention;
[0013] FIG. 5 shows an arc suppression system for plasma processing according to an additional embodiment of the present invention;
[0014] FIG. 6 shows an exploded view of a substrate holder incorporating an arc suppression system according to an embodiment of the present invention; [0015] FIG. 7A shows a top view of a substrate holder incorporating an arc suppression system according to an embodiment of the present invention;
[0016] FIG. 7B shows a top view of a substrate holder incorporating an arc suppression system according to another embodiment of the present invention; [0017] FIG. 7C shows a top view of a substrate holder incorporating an arc suppression system according to another embodiment of the present invention; [0018] FIG. 7D shows a top view of a substrate holder incorporating an arc suppression system according to another embodiment of the present invention; [0019] FIG. 8 A shows an exploded view of an antenna electrode and antenna lead according to an embodiment of the present invention;
[0020] FIG. 8B shows an exploded view of an antenna electrode and antenna lead according to another embodiment of the present invention;
[0021] FIG. 8C shows an exploded view of an antenna electrode and antenna lead according to another embodiment of the present invention;
[0022] FIG. 8D shows an exploded view of an antenna electrode and antenna lead according to another embodiment of the present invention; [0023] FIG. 9 presents a flow diagram for an arc suppression procedure according to an embodiment of the present invention;
[0024] FIG. 10 shows an exemplary signal utilized by the arc suppression system to determine a state of the processing plasma and control the plasma processing system to avoid an arcing event according to an embodiment of the present invention; and
[0025] FIG. 11 presents a flow diagram for an arc suppression procedure according to another embodiment of the present invention.
DETAILED DESCRIPTION OF AN EMBODIMENT [0026] According to an embodiment of the present invention, a plasma processing system 1 is depicted in FIG. 1 comprising a process reactor 10, a substrate holder 20 to support a substrate 25, and an arc suppression system 100, wherein the arc suppression system 100 comprises at least one sensor 50 coupled to the process reactor 10, and a controller 55 coupled to the at least one sensor 50. Moreover, the controller 55 is capable of executing at least one algorithm for determining a state of the plasma processing system 1 using at least one signal generated from the at least one sensor 50 and controlling the plasma processing system 1 in order to suppress an arcing event.
[0027] In one embodiment of the present invention, the at least one sensor 50 can comprise at least one antenna, and an electrical measurement device 56 coupled to each antenna. In an alternate embodiment, the at least one antenna comprises at least one antenna electrode 52, and an antenna lead 54 coupled to the at least one antenna electrode 52. [0028] In the illustrated embodiment, plasma processing system 1 , depicted in FIG. 1 , utilizes a plasma for material processing. Desirably, plasma processing system 1 comprises an etch chamber. Alternately, plasma processing system 1 can comprise a deposition chamber such as a chemical vapor deposition (CVD) system or a physical vapor deposition (PVD) system.
[0029] According to the illustrated embodiment of the present invention depicted in FIG. 2, plasma processing system 1 can comprise process reactor 10, substrate holder 20, upon which a substrate 25 to be processed is affixed, gas injection system 40, and vacuum pumping system 58. Substrate 25 can be, for example, a semiconductor substrate, a wafer, or a liquid crystal display (LCD). Process reactor 10 can be, for example, configured to facilitate the generation of plasma in processing region 45 adjacent a surface of substrate 25, wherein plasma is formed via collisions between heated electrons and an ionizable gas. For example, an ionizable gas or mixture of gases can be introduced via gas injection system 40, and the process pressure can be adjusted using vacuum pumping system 58. Desirably, plasma is utilized to create materials specific to a pre-determined materials process, and to aid either the deposition of material to substrate 25 or the removal of material from the exposed surfaces of substrate 25.
[0030] For example, the substrate 25 can be affixed to the substrate holder 20 via an electrostatic clamping system 28. Furthermore, substrate holder 20 can further include a cooling system including a re-circulating coolant flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system. Moreover, gas can be delivered to the back-side of the substrate via a backside gas system 26 to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, temperature control of the substrate can be useful at temperatures in excess of the steady-state temperature achieved due to a balance of the heat flux delivered to the substrate 25 from the plasma and the heat flux removed from substrate 25 by conduction to the substrate holder 20. In other embodiments, heating elements, such as resistive heating elements, or thermo-electric heaters/coolers can be included.
[0031] In the exemplary embodiment shown in FIG. 2, substrate holder 20 can further serve as an electrode through which RF power is coupled to plasma in processing region 45. For example, substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from RF generator 30 through impedance match network 32 to substrate holder 20. The RF bias can serve to heat electrons and, thereby, form and maintain plasma. In this configuration, the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and upper gas injection electrode serve as ground surfaces. A typical frequency for the RF bias can range from 1 MHz to 100 MHz and is preferably 13.56 MHz. RF systems for plasma processing are well known to those skilled in the art. [0032] Alternately, RF power can be applied to the substrate holder electrode at multiple frequencies. Furthermore, impedance match network 32 can serve to maximize the transfer of RF power to plasma in process reactor 10 by minimizing the reflected power. Match network topologies (e.g. L-type, 7r-type, T-type, etc.) and automatic control methods are well known to those skilled in the art. [0033] With continuing reference to FIG. 2, process gas can be introduced to processing region 45 through gas injection system 40. Process gas can, for example, comprise a mixture of gases such as Argon, CF4 and O2, or Argon, C4F8 and O2 for oxide etch applications, or other chemistries such as O2/CO/Ar/C4F8, O2/CO/AR/C5F8, O2/CO/Ar/C4F6, O2/Ar/C4F6, N2/H2. Gas injection system 40 can comprise a showerhead, wherein process gas is supplied from a gas delivery system (not shown) to the processing region 45 through a gas injection plenum (not shown), a series of baffle plates (not shown) and a multi-orifice showerhead gas injection plate (not shown). Gas injection systems are well known to those of skill in the art. [0034] Vacuum pump system 58 can, for example, include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure. In conventional plasma processing devices utilized for dry plasma etching, a 1000 to 3000 liter per second TMP is generally employed. TMPs are useful for low pressure processing, typically less than 50 mTorr. At higher pressures, the TMP pumping speed falls off dramatically. For high pressure processing (i.e. greater than 100 mTorr), a mechanical booster pump and dry roughing pump can be used. Furthermore, a device for monitoring chamber pressure (not shown) is coupled to the process reactor 10. The pressure measuring device can be, for example, a Type 628B Baratron absolute capacitance manometer commercially available from MKS Instruments, Inc. (Andover, MA). [0035] For example, controller 55 can comprise a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to plasma processing system 1 as well as monitor outputs from plasma processing system 1. Moreover, controller 55 is further coupled to and exchanges information with RF generator 30, impedance match network 32, gas injection system 40, vacuum pump system 58, backside gas delivery system 26, electrostatic clamping system 28, and sensor 50. A program stored in the memory is utilized to activate the inputs to the aforementioned components of a plasma processing system 1 according to a stored process recipe. One example of controller 55 is a DELL PRECISION WORKSTATION 610™ , available from Dell Corporation, Dallas, Texas. Alternately, controller 55 can comprise a Digital Signal Processor (DSP). [0036] In the exemplary embodiment shown in FIG. 3, the plasma processing system 1 can further comprise a magnetic field system 60. For example, magnetic system 60 can comprise a stationary or either a mechanically or electrically rotating DC magnetic field system, in order to potentially increase plasma density and/or improve plasma processing uniformity. Moreover, controller 55 can be coupled to a rotating magnetic field system in order to regulate the speed of rotation and field strength. The design and implementation of a rotating magnetic field is well known to those skilled in the art.
[0037] In the exemplary embodiment shown in FIG. 4, the plasma processing system 1 of FIGs. 1 and 2 can further comprise an upper electrode 70 to which RF power can be coupled from RF generator 72 through impedance match network 74. A typical frequency for the application of RF power to the upper electrode can range from 10 MHz to 200 MHz and is preferably 60 MHz. Additionally, a typical frequency for the application of power to the lower electrode can range from 0.1 MHz to 30 MHz and is preferably 2 MHz. Moreover, controller 55 is coupled to RF generator 72 and impedance match network 74 in order to control the application of RF power to upper electrode 70. The design and implementation of an upper electrode is well known to those skilled in the art.
[0038] In the exemplary embodiment shown in FIG. 5, the plasma processing system of FIGs. 1 and 2 can, for example, further comprise an inductive coil 80 to which RF power is coupled via RF generator 82 through impedance match network 84. RF power is inductively coupled from inductive coil 80 through dielectric window (not shown) to plasma processing region 45. A typical frequency for the application of RF power to the inductive coil 80 can range from 10 MHz to 100 MHz and is preferably 13.56 MHz. Similarly, atypical frequency for the application of power to the chuck electrode can range from 0.1 MHz to 30 MHz and is preferably 13.56 MHz. In addition, a slotted Faraday shield (not shown) can be employed to reduce capacitive coupling between the inductive coil 80 and plasma. Moreover, controller 55 is coupled to RF generator 82 through impedance match network 84 in order to control the application of power to inductive coil 80. In an alternate embodiment, inductive coil 80 can be a "spiral" coil or "pancake" coil in communication with the plasma processing region from above as in a transformer coupled plasma (TCP) reactor. The design and implementation of an inductively coupled plasma (ICP) source and/or transformer coupled plasma (TCP) source is well known to those skilled in the art.
[0039] Alternately, the plasma can be formed using electron cyclotron resonance (ECR). In yet another embodiment, the plasma is formed from the launching of a Helicon wave. In yet another embodiment, the plasma is formed from a propagating surface wave. Each plasma source described above is well known to those skilled in the art.
[0040] As discussed above, arc suppression system 100 comprises at least one sensor 50 coupled to the process reactor 10, and controller 55 coupled to the at least one sensor 50, wherein controller 55 is capable of executing at least one algorithm for determining a state of plasma processing system 1 using at least one signal generated from the at least one sensor 50 and controlling a plasma processing system 1 in order to suppress an arcing event. In the following discussion, the arc suppression system 100 is discussed in greater detail. [0041] Referring now to FIG. 6, an exploded view of a cross-section of substrate holder 20 is presented. In general, the substrate holder 20 can comprise an outer shield 122, an isolation ring 124, a RF biasable electrode 126 underlying substrate 25 and a focus ring 128 surrounding the substrate 25. The outer shield 122 can be, for example, an electrically grounded conductive element comprising a material such as aluminum with or without surface anodization and/or a surface coating (e.g. Y2O3). The isolation ring 124 provides electrical insulation between the RF biasable electrode 126 and the outer shield 122, and it can, for example, comprise a dielectric material such as, for example, alumina, quartz, etc. The RF biasable electrode 126 can be biased with RF energy from a RF generator such as the RF generator depicted in FIGs. 2 through 5. Alternately, RF biasable electrode 126 can be grounded. The RF biasable electrode 126 can comprise a conductive material such as aluminum. The focus ring 128 generally serves to affect the etch or deposition processes occurring at the periphery of substrate 25 in a manner that permits uniform processing of substrate 25 over the entirety of its surface. The focus ring 128 can comprise a material such as silicon, carbon, silicon carbide, etc.
[0042] In addition to the above identified features, an electrostatic clamping device 130 can be formed within the upper surface of the RF biasable electrode 126. The electrostatic clamping device 130 comprises a clamp electrode 132 embedded within an insulation layer 134, wherein the clamp electrode 132 is biased with a DC voltage supplied from a high voltage DC voltage source (identified as part of electrostatic clamping system 28 in FIGs. 2 through 5). In conventional electrostatic clamping devices, the clamp electrode 132 is fabricated from a material such as copper, nickel, chromium, aluminum, iron, tungsten and alloys thereof, and the insulation layer 134 is fabricated from a ceramic, glass or high temperature polymer material such as alumina Al2O3, quartz SiO2, aluminum nitride A1N, Si3N4, ZrO2, silicon carbide SiC, boron nitride BN, glass ceramic, and polyimide materials. Methods for fabricating an electrostatic clamping device 130 comprising a clamp electrode 133 and ceramic layer 134 and the means by which a high voltage, DC signal is coupled to clamp electrode 132 are well known to those skilled in the art of electrostatic chucks. [0043] As depicted in FIG. 6 (cross-sectional, side view) as well as FIG. 7A (top view), at least one sensor 50 is coupled to substrate holder 20. For example, the at least one sensor 50 can comprise at least one antenna electrode 52, at least one antenna lead 54, and at least one electrical measurement device 56. The at least one antenna electrode 52 can be embedded within the ceramic layer 134 proximate an upper surface thereof, wherein at least one antenna lead 54 extends through openings 136 in clamp electrode 132 and couples to the at least one antenna electrode 52. The at least one antenna lead 54, that is coupled to the at least one antenna electrode 52, can be further coupled to at least one electrical measurement device 56. The at least one sensor 50 measures at least one electrical signal that is, in turn, coupled to controller 55. In an alternate embodiment, as shown in FIG. 7B, the at least one antenna electrode 52 can be elliptical. In an alternate embodiment, as shown in FIG. 7C, the at least one antenna electrode 52 can be "kidney-shaped." In an alternate embodiment, the at least one electrode 52 can be rectangular. Alternate embodiments, such as shown in FIG. 7D, can vary the arrangement of the antenna electrodes 52 to place them in various locations. However, it should be appreciated that any arrangement of antennas and any number of antennas can be used according to the present invention.
[0044] In reference to clamp electrode 132, the at least one antenna electrode 52 and the conductive element(s) of the at least one antenna lead 54 can be fabricated from copper or a like conducting material. In a preferred embodiment, the at least one antenna lead 54 is shielded using an outer conductive shield and insulated from the clamp electrode 132 and RF biasable electrode 126.
[0045] FIG. 8 A provides an exploded view of an antenna electrode 52 and antenna lead 54 embedded within an electrostatic clamping device 130 and RF biasable electrode 126. The antenna lead 54 comprises an inner conductive element 542, an inner dielectric element 544, an outer conductive element 546, and an outer dielectric element 548. The inner dielectric element 544 surrounds the inner conductive element 542 and insulates the inner conductive element 542 from an outer conductive element 546. The outer conductive element 546 surrounds the inner dielectric element 544 and shields the inner conductive element 542. The outer dielectric element 548 surrounds the outer conductive element 546 and insulates the outer conductive element 546 from the RF biasable electrode 126. Desirably, the outer conductive element 546 is coupled to electrical ground. In an alternate embodiment, as shown in FIG. 8B, the upper surface of antenna electrode 52 is not coplanar with the upper surface of ceramic layer 134.
[0046] The electrostatic clamping device 130, comprises clamp electrode 132, insulation layer 134, and at least one embedded antenna electrodes 52 with at least one antenna leads 54. Such an electrostatic clamping device 130 can be fabricated using sintering techniques, casting techniques and/or thin film forming techniques (such as, for example, chemical vapor deposition (CVD)), which have become standards in the industry and are now well known to those skilled in the art of electrostatic chuck fabrication. Exemplary techniques are disclosed in U.S. Patent Nos. 5539179, 5625526 and 5701228 (all three are assigned to Tokyo Electron Limited); each of which is incorporated herein by reference in their entirety. [0047] As shown in FIG. 6, at least one electrical measurement device 56 is connected to the at least one antenna lead to perform, for example, a RF voltage measurement. Each electrical measurement device 56 can be, for example, a (high impedance) Tektronix P6245 1.5 GHz 10X Active Probe manufactured by Tektronix. The signal produced by the at least one sensor 50 can be input to the controller 55 such as, for example, a digital signal processor (DSP) using, for example, an analog-to-digital (A/D) converter.
[0048] Although antenna electrodes 52 and antenna leads 54 have been shown, in FIGs. 6 and 8A-8D, to be fabricated within the electrostatic clamping device 130 and RF biasable electrode 126, the antenna electrodes 52 and antenna leads 54 can be fabricated within other structures such as, for example, the focus ring 128, the dielectric ring 124, the outer shield 122, a shield ring, a chamber wall, a chamber liner, etc. For example, FIGs. 8C and 8D present a consumable electrode 570 embedded within a focus ring 560 resting atop RF biasable electrode 126, wherein the consumable electrode 570 can comprise a convex surface 580 for electrically coupling the consumable electrode 570 to the antenna electrode 52. In FIG. 8C, the consumable electrode 570 is coplanar with the upper surface of the focus ring 560, and in FIG. 8D the consumable electrode 570 is not coplanar with the upper surface of the focus ring 560. In conventional systems, the focus ring 560 is designed for repeatable replacement on the RF biasable electrode 126 and, therefore, consumable electrode 570 can be consistently coupled to antenna electrode 52. The focus ring 128 or 560 can, for example, comprise at least one of silicon, silicon carbide, alumina, or quartz. In addition, consumable electrode 570 can, for example, comprise doped silicon or an embedded, conductive material such as tungsten.
[0049] Methods of using an arc suppression system are now discussed. FIG. 9 presents a flow diagram for an arc suppression procedure according to an embodiment of the present invention. Procedure 600 begins with 610 wherein at least one signal is measured using the at least one sensor. For example, the at least one sensor can comprise at least one antenna electrode coupled to at least one antenna lead coupled to at least one electrical measurement device. The signal can be, for example, a time varying voltage signal or a time varying voltage amplitude measured using, for example, a voltage probe. In an alternate embodiment, the signal measured by the sensor can be filtered using a low-pass, high-pass, and/or bandpass filter. The filtered signal can be, for example, a filtered time varying voltage signal or a filtered time varying voltage amplitude. In 620, the measured signal and/or filtered signal is compared to a reference to determine a difference signal. For example, a ground potential or another potential point in the system can be used as the reference. The difference signal can be, for example, the difference between the instantaneous value of the signal measured at an instant in time and electrical ground, the amplitude of the measured signal, the difference between the instantaneous value of the filtered signal measured at an instant in time and electrical ground, or the amplitude of the filtered signal. For example, the difference signal can be determined from the operation of subtraction.
[0050] In 630, the difference signal is compared to a target difference as shown in FIG. 10. FIG. 10 presents an exemplary difference signal 634 plotted in time, with a target difference 632 (indicated by. the dashed line) overlaid. By inspection, the time 636 in which the measured difference signal exceeds the target difference is indicated by the arrow. In 640, a state of the plasma processing system is determined using the comparison in 630. For example, if the difference signal exceeds the target difference, then the probability for an arcing event is relatively high; and if the at least one difference signal does not exceed the target difference, then the probability for an arcing event is relatively low. Based on the determination of 640, a decision for presenting an arc alarm is made in 650. For example, if the probability for an arcing event is relatively high, then an operator is notified in 660, and if the probability for an arcing event is relatively low, then processing continues in 670. [0051] Furthermore, in the event of an arc alarm, the process can be controlled following notification of an operator in 660. In 680, a decision is made to control the process including continuing the process in 670, discontinuing the process in 690, and modifying the process in 695. In an alternate embodiment, the decision to control the process in 680 is performed concurrently with the notification of an operator in 660 by the controller. In an alternate embodiment, the decision to control the process in 680 is performed concurrently with simply a logging of the probability for an arcing event in 660. In an alternate embodiment, the decision to control the process in 680 is performed with no notification of an operator in 660. In 695, the process can be modified by adjusting a process parameter. For example, the process parameter can include the process pressure, substrate holder RF bias, electrostatic clamp electrode bias, backside gas pressure, process gas flow rate(s), etc. [0052] FIG. 11 presents a flow diagram for an arc suppression procedure according to an alternate embodiment of the present invention. Procedure 700 begins with 710 wherein a first signal related to the plasma processing system is measured using a first sensor. For example, the first sensor can comprise a first antenna electrode coupled to a first antenna lead coupled to a first electrical measurement device. The first signal can be, for example, derived from a first region of the substrate. The first signal can be, for example, a time varying voltage signal or a time varying voltage amplitude measured using, for example, a voltage probe. In an alternate embodiment, the first signal measured by the first sensor can be filtered using a low-pass, high-pass, and/or band-pass filter. The filtered signal can be, for example, a filtered time varying voltage signal or a filtered time varying voltage amplitude. [0053] In 720, a second signal related to the plasma processing system is measured using a second sensor. For example, the second sensor can comprise a second antenna electrode coupled to a second antenna lead coupled to a second electrical measurement device. The second signal can be, for example, derived from a second region of the substrate. The second signal can be, for example, a time varying voltage signal or a time varying voltage amplitude measured using, for example, a voltage probe. In an alternate embodiment, the second signal measured by the second electrical sensor can be filtered using a low-pass, high-pass, and/or band-pass filter. The filtered signal can be, for example, a filtered time varying voltage signal or a filtered time varying voltage amplitude. Preferably, the acquisition of the first signal and the second signal is performed at the same instant in time.
[0054] In one embodiment, the first signal corresponds to a location proximate the substrate center and the second signal corresponds to a location proximate the substrate edge. In another embodiment, the first signal corresponds to a location proximate the substrate edge and the second signal corresponds to a location proximate the focus ring. [0055] In another embodiment, the first signal corresponds to a first location and a first time for measurement, and the second signal corresponds to the first location and a second time for the measurement. The measurement of a first signal and a second signal at the same location; however, different time, can permit checking the rate of change of the measurement. [0056] In 730, a difference signal is determined by comparing the first signal and the second signal at each instant of time. The difference signal can be, for example, the difference between the instantaneous value of the first signal and the instantaneous value of the second signal, the amplitude of the first signal and the amplitude of the second signal, the difference between the instantaneous value of the filtered first signal and the instantaneous value of the filtered second signal, or the amplitude of the filtered first-signal and the amplitude of the filtered second signal.
[0057] In 740, the difference signal is compared to a target difference as shown in FIG. 10. FIG. 10 presents an exemplary difference signal 634 plotted in time, with a target difference 632 (indicated by the dashed line) overlaid. By inspection, the time 636 in which the measured difference signal exceeds the target difference is indicated by the arrow. In 750, a state of the plasma processing system is determined using the comparison in 740. For example, if the difference signal exceeds the target difference, then the probability for an arcing event is relatively high; and if the difference signal does not exceed the target difference, then the probability for an arcing event is relatively low. Based on the determination of 750, a decision for presenting an arc alarm is made in 760. For example, if the probability for an arcing event is relatively high, then an operator is notified in 770, and if the probability for an arcing event is relatively low, then processing continues in 780. [0058] When two or more signals are utilized, additional information can be presented. For example, the location for the highest probability for arcing can be determined by monitoring those regions where the magnitude of the difference signal is greatest. [0059] Furthermore, in the event of an arc alarm, the process can be controlled following notification of an operator in 770. In 790, a decision is made to control the process including continuing the process in 780, discontinuing the process in 800 and modifying the process in 810. In an alternate embodiment, the decision to control the process in 790 is performed concurrently with the notification of an operator in 770 by the controller, hi an alternate embodiment, the decision to control the process in 790 is performed concurrently with simply a logging of the probability for an arcing event in 770. In an alternate embodiment, the decision to control the process in 790 is performed with no notification of an operator in 770. In 810, the process can be modified by adjusting a process parameter. For example, the process parameter can include the process pressure, substrate holder RF bias, electrostatic clamp electrode bias, backside gas pressure, process gas flow rate(s), etc. [0060] Although only certain exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims

WHAT IS CLAIMED IS:
1. A plasma processing system, comprising: a process reactor configured to facilitate formation of plasma; and an arc suppression system coupled to said process reactor, said arc suppression system comprising at least one sensor configured to produce at least one signal related to said plasma; and a controller coupled to said at least one sensor, wherein said controller is configured to perform at least one of determining a state of said plasma processing system using said at least one signal and controlling said plasma processing system according to said state to suppress an arcing event.
2. The plasma processing system as recited in claim 1, wherein said at least one sensor comprises at least one antenna embedded within at least one of a substrate holder, a chamber wall and a chamber liner.
3. The plasma processing system as recited in claim 2, wherein said at least one antenna comprises at least one antenna electrode and an antenna lead coupled to each antenna electrode of the at least one antenna electrode.
4. The plasma processing system as recited in claim 3, wherein said antenna lead comprises an inner conductive element and an inner dielectric element.
5. The plasma processing system as recited in claim 4, wherein said antenna lead further comprises an outer conductive element and an outer dielectric element.
6. The plasma processing system as recited in claim 2, further comprising an electrical measurement device configured to connect to said at least one antenna.
7. The plasma processing system as recited in claim 2, said at least one antenna being embedded within said substrate holder which comprises at least one of a RF biasable electrode, an electrostatic clamping device, an outer shield, an isolation ring, a focus ring, a dielectric ring, and a shield ring.
8. The plasma processing system as recited in claim 2, wherein said substrate holder comprises at least one of an electrostatic clamping system and a backside gas system.
9. The plasma processing system as recited in claim 6, wherein the electrical measurement device comprises a voltage probe.
10. The plasma processing system as recited in claim 1, wherein said at least one signal related to said plasma is at least one of a time varying voltage signal and a time varying voltage amplitude.
11. The plasma processing system as recited in claim 1 , wherein said at least one signal related to said plasma comprises a filtered signal.
12. The plasma processing system as recited in claim 11, wherein said filtered signal is derived from said at least one signal using at least one of a low-pass filter, a high-pass filter, and a band-pass filter.
13. The plasma processing system as recited in claim 1, wherein said determining a state of said plasma using said at least one signal related to said plasma comprises determining a probability of occurrence of arcing in said plasma processing system.
14. The plasma processing system as recited in claim 1, wherein said controlling said plasma processing system according to said state of said plasma in order to suppress the arcing event comprises performing at least one of alerting an operator, continuing a process, modifying a process and discontinuing a process.
15. An arc suppression system comprising: at least one sensor configured to couple to a plasma processing system; and a controller configured to execute at least one algorithm for performing at least one of determining a state of said plasma processing system using said at least one signal related to said plasma processing system and controlling said plasma processing system according to said state of said plasma processing system in order to suppress an arcing event.
16. The arc suppression system as recited in claim 15, wherein said at least one sensor comprises at least one antenna embedded within at least one of a substrate holder, a chamber wall and a chamber liner.
17. The plasma processing system as recited in claim 16, wherein said at least one antenna comprises at least one antenna electrode and an antenna lead coupled to each antenna electrode of the at least one antenna electrode.
18. The arc suppression system as recited in claim 17, wherein said antenna lead comprises an inner conductive element and an inner dielectric element.
19. The arc suppression system as recited in claim 18, wherein said antenna lead further comprises an outer conductive element and an outer dielectric element.
20. The arc suppression system as recited in claim 16, further comprising an electrical measurement device configured to connect to said at least one antenna.
21. The arc suppression system as recited in claim 15, wherein said at least one antenna embedded with said substrate holder comprises at least one antenna embedded in at least one of a RF biasable electrode, an electrostatic clamping device, an outer shield, an isolation ring, a focus ring a dielectric ring, and a shield ring.
22. The arc suppression system as recited in claim 16, wherein said substrate holder comprises at least one of an electrostatic clamping system and a backside gas system.
23. The arc suppression system as recited in claim 20, wherein said electrical measurement device comprises a voltage probe.
24. The arc suppression system as recited in claim 15, wherein said at least one signal related to said plasma is at least one of a time varying voltage signal and a time varying voltage amplitude.
25. The arc suppression system as recited in claim 15, wherein said at least one signal related to said plasma comprises a filtered signal.
26. The arc suppression system as recited in claim 25, further comprises at least one of a low-pass filter, a high-pass filter, and a band-pass filter configured to derive said filtered signal from said at least one signal.
27. The arc suppression system as recited in claim 15, wherein said determining a state of said plasma using said at least one signal related to said plasma comprises determining a probability of occurrence of arcing in said plasma processing system.
28. The arc suppression system as recited in claim 15, wherein said controlling said plasma processing system according to said state of said plasma in order to suppress an arcing event comprises performing at least one of alerting an operator, continuing a process, modifying a process and discontinuing a process.
29. A method for suppressing arcing in a plasma processing system utilizing an arc suppression system comprising at least one sensor coupled to said plasma processing system, and a controller coupled to said at least one sensor, the method comprising: measuring at least one signal related to said plasma processing system using said at least one sensor; determining at least one difference signal between said at least one signal and a reference signal; comparing said at least one difference signal to a target difference; and determining a state of said plasma processing system from said comparing; wherein said at least one signal is measured using at least one sensor that comprises at least one antenna embedded within at least one of a substrate holder, a chamber wall and a chamber liner.
30. The method as recited in claim 29, wherein said measuring further comprises filtering said at least one signal.
31. The method as recited in claim 30, wherein said filtering comprises using at least one of a low-pass filter, a high-pass filter, and a band-pass filter.
32. The method as recited in claim 30, wherein said filtering provides at least one of a filtered time varying signal and a filtered time varying amplitude.
33. The method as recited in claim 29, wherein said determining at least one difference signal between said at least one signal and said reference signal comprises subtracting said reference signal from said at least one signal.
34. The method as recited in claim 29, wherein said at least one difference signal comprises at least one of a difference between an instantaneous value of said at least one signal measured at an instant in time and said reference signal, an amplitude of said at least one signal and said reference signal, an instantaneous value of a filtered said at least one signal measured at an instant in time and said reference signal, and amplitude of a filtered said at least one signal and said reference signal.
35. The method as recited in claim 29, wherein said determining a state of said plasma processing system from said comparing comprises determining a probability of an occurrence of arcing in said plasma processing system.
36. The method as recited in claim 35, wherein said probability for the occurrence of arcing in said plasma processing system comprises at least one of a high probability and a low probability.
37. The method as recited in claim 29, wherein said method further comprises controlling said plasma processing system according to said state of said plasma processing system in order to suppress an arcing event.
38. The method as recited in claim 33, wherein said controlling composes at least one of notifying an operator, continuing a process, discontinuing a process, and modifying a process.
39. The method as recited in claim 38, wherein said modifying a process comprises adjusting at least one of a process pressure, a substrate holder RF bias, an electrostatic clamp electrode bias, a backside gas pressure, and a process gas flow rate.
40. The method as recited in claim 29, wherein said reference signal comprises a ground potential.
41. A method for suppressing arcing in a plasma processing system utilizing an arc suppression system composing at least one sensor coupled to said plasma processing system, and a controller coupled to said at least one sensor, the method comprising: measuring a first signal related to said plasma processing system using a first sensor; measuring a second signal related to said plasma processing system using a second sensor; determining a difference signal between said first signal and said second signal; comparing said difference signal to a target difference; and determining a state of said plasma processing system based on said comparing.
42. The method as recited in claim 41, wherein said first signal is measured using at least one sensor that comprises at least one antenna embedded within at least one of a substrate holder, a chamber wall and a chamber liner.
43. The method as recited in claim 41, wherein said measuring the first signal further comprises filtering said first signal.
44. The method as recited in claim 43, wherein said filtering comprises using at least one of a low-pass filter, a high-pass filter, and a band-pass filter.
45. The method as recited in claim 43, wherein said filtering provides at least one of a first filtered time varying signal and a first filtered time varying amplitude.
46. The method as recited in claim 41, wherein said second signal is measured using at least one sensor that comprises at least one antenna embedded within at least one of a substrate holder, a chamber wall and a chamber liner.
47. The method as recited in claim 41, wherein said measuring the second signal further comprises filtering said second signal.
48. The method as recited in claim 47, wherein said filtering comprises using at least one of a low-pass filter, a high-pass filter and a band-pass filter.
49. The method as recited in claim 47, wherein said filtering provides at least one of a second filtered time varying signal and a second filtered time varying amplitude.
50. The method as recited in claim 41, wherein said determining a difference signal between said first signal and said second signal comprises subtracting said first signal from said second signal.
51. The method as recited in claim 41, wherein said determining a state of said plasma processing system from said comparing comprises determining the probability for the occurrence of arcing in said plasma processing system.
52. The method as recited in claim 51 , wherein said probability for the occurrence of arcing in said plasma processing system comprises at least one of a high probability and a low probability.
53. The method as recited in claim 43, wherein said method further comprises controlling said plasma processing system according to said state of said plasma processing system in order to suppress an arcing event.
54. The method as recited in claim 53, wherein said controlling comprises at least one of notifying an operator, continuing a process, discontinuing a process, and modifying a process.
55. The method as recited in claim 54, wherein said modifying a process comprises adjusting at least one of a process pressure, a substrate holder RF bias, an electrostatic clamp electrode bias, a backside gas pressure, and a process gas flow rate.
56. The method as recited in claim 41, wherein said measuring a first signal and said measuring a second signal are performed at substantially the same time.
57. The method as recited in claim 41, wherein said measuring a first signal and said measuring a second signal are performed at substantially different times.
58. The method as recited in claim 41, wherein said measuring a first signal corresponds to a first location and said measuring a second signal corresponds to a second location.
59. The method as recited in claim 58, wherein said first location comprises at least one of a substrate center, a substrate edge, and a focus ring; and said second location comprises at least one of a substrate center, a substrate edge, and a focus ring.
60. A plasma processing system, comprising: a plasma reactor configured to facilitate formation of plasma; and means for arc suppression coupled to plasma reactor, said means for arc suppression comprising means for producing at least one signal related to said plasma; and means for controlling coupled to said at least one sensor, wherein said means for controlling performs at least one of determining a state of said plasma processing system using said at least one signal and controlling said plasma processing system according to said state to suppress an arcing event.
61. The plasma processing system as recited in claim 60, wherein said means for producing at least one signal comprises at least one antenna embedded within at least one of a substrate holder, a chamber wall and a chamber liner.
62. The plasma processing system as recited in claim 60, further comprising an electrical measurement device.
63. The plasma processing system as recited in claim 62, wherein the electrical measurement device comprises a voltage probe.
64. The plasma processing system as recited in claim 60, further comprising housing means for protecting the means for producing the at least one signal from the plasma of the plasma reactor.
PCT/US2003/016243 2002-06-28 2003-06-27 Method and system for arc suppression in a plasma processing system WO2004003968A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/512,862 US7199327B2 (en) 2002-06-28 2003-06-27 Method and system for arc suppression in a plasma processing system
AU2003280398A AU2003280398A1 (en) 2002-06-28 2003-06-27 Method and system for arc suppression in a plasma processing system
JP2004517580A JP2006507662A (en) 2002-06-28 2003-06-27 Arc suppression method and system in plasma processing system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39195002P 2002-06-28 2002-06-28
US60/391,950 2002-06-28

Publications (2)

Publication Number Publication Date
WO2004003968A2 true WO2004003968A2 (en) 2004-01-08
WO2004003968A3 WO2004003968A3 (en) 2004-09-10

Family

ID=30000781

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/016243 WO2004003968A2 (en) 2002-06-28 2003-06-27 Method and system for arc suppression in a plasma processing system

Country Status (5)

Country Link
US (1) US7199327B2 (en)
JP (1) JP2006507662A (en)
CN (1) CN100360704C (en)
AU (1) AU2003280398A1 (en)
WO (1) WO2004003968A2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006196453A (en) * 2004-12-24 2006-07-27 Huettinger Elektronik Gmbh & Co Kg Plasma excitation device and plasma coating system
WO2006116445A2 (en) 2005-04-22 2006-11-02 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
WO2008034092A2 (en) * 2006-09-15 2008-03-20 Schneider Automation Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
WO2008049463A1 (en) * 2006-10-27 2008-05-02 Oerlikon Trading Ag, Trübbach Method and apparatus for manufacturing cleaned substrates or clean substrates which are further processed
JP2009505441A (en) * 2005-08-22 2009-02-05 アプライド マテリアルズ インコーポレイテッド Non-intrusive plasma monitoring system for detecting and preventing arcs in blanket CVD films
JP2009510699A (en) * 2005-09-30 2009-03-12 ケーエルエー・テンコール コーポレイション Method and apparatus for measuring electrical parameters of plasma processing
US7981257B2 (en) 2002-04-12 2011-07-19 Schneider Electric USA, Inc. Current-based method and apparatus for detecting and classifying arcs
US7988833B2 (en) 2002-04-12 2011-08-02 Schneider Electric USA, Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
JP2011527506A (en) * 2008-07-07 2011-10-27 ラム リサーチ コーポレーション Passive capacitively coupled electrostatic (CCE) probe configuration for detecting in-situ arc discharge events in a plasma processing chamber
US8502689B2 (en) 2010-09-23 2013-08-06 Applied Materials, Inc. System and method for voltage-based plasma excursion detection
US8941037B2 (en) * 2006-12-25 2015-01-27 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
US9051219B2 (en) 2007-04-27 2015-06-09 Applied Materials, Inc. Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3748559B2 (en) * 2003-06-30 2006-02-22 キヤノン株式会社 Stage apparatus, exposure apparatus, charged beam drawing apparatus, device manufacturing method, substrate potential measuring method, and electrostatic chuck
US7795817B2 (en) * 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
TWI695822B (en) * 2007-04-27 2020-06-11 美商應用材料股份有限公司 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7750644B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. System with multi-location arc threshold comparators and communication channels for carrying arc detection flags and threshold updating
US7768269B2 (en) * 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US7750645B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. Method of wafer level transient sensing, threshold comparison and arc flag generation/deactivation
US7733095B2 (en) * 2007-08-15 2010-06-08 Applied Materials, Inc. Apparatus for wafer level arc detection at an RF bias impedance match to the pedestal electrode
US7737702B2 (en) * 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
TWI492671B (en) * 2007-12-13 2015-07-11 Lam Res Corp Plasma unconfinement sensor and methods thereof
US8264237B2 (en) * 2008-02-14 2012-09-11 Mks Instruments, Inc. Application of wideband sampling for arc detection with a probabilistic model for quantitatively measuring arc events
US8334700B2 (en) * 2008-02-14 2012-12-18 Mks Instruments, Inc. Arc detection
US8289029B2 (en) * 2008-02-14 2012-10-16 Mks Instruments, Inc. Application of wideband sampling for arc detection with a probabilistic model for quantitatively measuring arc events
TW200946714A (en) * 2008-02-18 2009-11-16 Mitsui Engineering & Shipbuilding Co Ltd Atomic layer deposition apparatus and atomic layer deposition method
US8022718B2 (en) * 2008-02-29 2011-09-20 Lam Research Corporation Method for inspecting electrostatic chucks with Kelvin probe analysis
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
JP5012701B2 (en) * 2008-07-02 2012-08-29 パナソニック株式会社 Plasma processing apparatus and discharge state monitoring method in plasma processing apparatus
CN102473660B (en) * 2009-06-30 2015-03-18 朗姆研究公司 Automatic fault detection and classification in a plasma processing system and methods thereof
US8501631B2 (en) * 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8587321B2 (en) * 2010-09-24 2013-11-19 Applied Materials, Inc. System and method for current-based plasma excursion detection
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
KR101971312B1 (en) * 2011-11-23 2019-04-22 램 리써치 코포레이션 Multi zone gas injection upper electrode system
CN104011838B (en) 2011-11-24 2016-10-05 朗姆研究公司 The RF with flexible symmetry returns the plasma processing chamber of band
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9673069B2 (en) 2012-07-20 2017-06-06 Applied Materials, Inc. High frequency filter for improved RF bias signal stability
CN104730372B (en) * 2013-12-13 2018-08-10 朗姆研究公司 Fault detect based on RF impedance models
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10522330B2 (en) 2015-06-12 2019-12-31 Varian Semiconductor Equipment Associates, Inc. In-situ plasma cleaning of process chamber components
US20170092473A1 (en) * 2015-09-28 2017-03-30 Varian Semiconductor Equipment Associates, Inc. In-situ plasma cleaning of process chamber electrostatic elements having varied geometries
GB201615114D0 (en) * 2016-09-06 2016-10-19 Spts Technologies Ltd A Method and system of monitoring and controlling deformation of a wafer substrate
JP6967944B2 (en) * 2017-11-17 2021-11-17 東京エレクトロン株式会社 Plasma processing equipment
US20200048770A1 (en) * 2018-08-07 2020-02-13 Lam Research Corporation Chemical vapor deposition tool for preventing or suppressing arcing
CN109854483B (en) * 2019-02-22 2020-05-05 深圳市圆梦精密技术研究院 Vacuum device
KR102352650B1 (en) * 2019-11-26 2022-01-20 (주)제니스월드 Wireless charging electrostatic chuck and substrate processing system using the same
US11521832B2 (en) * 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
KR102274530B1 (en) * 2021-01-11 2021-07-07 티오에스주식회사 Device for detecting plasma of ultra fast with multi channel
KR20230006725A (en) * 2021-07-02 2023-01-11 삼성전자주식회사 System of semiconductor process and control method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5192894A (en) * 1991-08-20 1993-03-09 Leybold Aktiengesellschaft Device for the suppression of arcs
US5611899A (en) * 1994-11-19 1997-03-18 Leybold Aktiengesellschaft Device for suppressing flashovers in cathode sputtering installations
US5993615A (en) * 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
US6332961B1 (en) * 1997-09-17 2001-12-25 Tokyo Electron Limited Device and method for detecting and preventing arcing in RF plasma systems

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5241152A (en) * 1990-03-23 1993-08-31 Anderson Glen L Circuit for detecting and diverting an electrical arc in a glow discharge apparatus
DE4202425C2 (en) * 1992-01-29 1997-07-17 Leybold Ag Method and device for coating a substrate, in particular with electrically non-conductive layers
JPH07169702A (en) * 1993-12-13 1995-07-04 Kokusai Electric Co Ltd Temperature detector for semiconductor manufacturing device
US5584972A (en) * 1995-02-01 1996-12-17 Sony Corporation Plasma noise and arcing suppressor apparatus and method for sputter deposition
JP3208044B2 (en) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US6356097B1 (en) * 1997-06-20 2002-03-12 Applied Materials, Inc. Capacitive probe for in situ measurement of wafer DC bias voltage
JP2000269108A (en) * 1999-03-15 2000-09-29 Sharp Corp Management system of semiconductor manufacturing apparatus
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5192894A (en) * 1991-08-20 1993-03-09 Leybold Aktiengesellschaft Device for the suppression of arcs
US5611899A (en) * 1994-11-19 1997-03-18 Leybold Aktiengesellschaft Device for suppressing flashovers in cathode sputtering installations
US5993615A (en) * 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
US6332961B1 (en) * 1997-09-17 2001-12-25 Tokyo Electron Limited Device and method for detecting and preventing arcing in RF plasma systems

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7988833B2 (en) 2002-04-12 2011-08-02 Schneider Electric USA, Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
US7981257B2 (en) 2002-04-12 2011-07-19 Schneider Electric USA, Inc. Current-based method and apparatus for detecting and classifying arcs
JP2006196453A (en) * 2004-12-24 2006-07-27 Huettinger Elektronik Gmbh & Co Kg Plasma excitation device and plasma coating system
EP1872295A4 (en) * 2005-04-22 2010-03-10 Advanced Energy Ind Inc Arc detection and handling in radio frequency power applications
WO2006116445A2 (en) 2005-04-22 2006-11-02 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
EP1872295A2 (en) * 2005-04-22 2008-01-02 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP2009505441A (en) * 2005-08-22 2009-02-05 アプライド マテリアルズ インコーポレイテッド Non-intrusive plasma monitoring system for detecting and preventing arcs in blanket CVD films
JP2009510699A (en) * 2005-09-30 2009-03-12 ケーエルエー・テンコール コーポレイション Method and apparatus for measuring electrical parameters of plasma processing
WO2008034092A3 (en) * 2006-09-15 2008-05-08 Schneider Automation System and method for detecting non-cathode arcing in a plasma generation apparatus
WO2008034092A2 (en) * 2006-09-15 2008-03-20 Schneider Automation Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
WO2008049463A1 (en) * 2006-10-27 2008-05-02 Oerlikon Trading Ag, Trübbach Method and apparatus for manufacturing cleaned substrates or clean substrates which are further processed
EP2158977A1 (en) * 2006-10-27 2010-03-03 Oerlikon Trading AG, Trübbach Method and apparatus for manufacturing cleaned substrates or clean substrates which are further processed
US10418230B2 (en) 2006-10-27 2019-09-17 Oerlikon Trading Ag, Truebbach Method and apparatus for manufacturing cleaned substrates or clean substrates which are further processed
US8941037B2 (en) * 2006-12-25 2015-01-27 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US9051219B2 (en) 2007-04-27 2015-06-09 Applied Materials, Inc. Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10840112B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US10840113B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US10847386B2 (en) 2007-04-27 2020-11-24 Applied Materials, Inc. Method of forming a bulk article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US11373882B2 (en) 2007-04-27 2022-06-28 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
JP2011527506A (en) * 2008-07-07 2011-10-27 ラム リサーチ コーポレーション Passive capacitively coupled electrostatic (CCE) probe configuration for detecting in-situ arc discharge events in a plasma processing chamber
US8502689B2 (en) 2010-09-23 2013-08-06 Applied Materials, Inc. System and method for voltage-based plasma excursion detection

Also Published As

Publication number Publication date
WO2004003968A3 (en) 2004-09-10
JP2006507662A (en) 2006-03-02
AU2003280398A1 (en) 2004-01-19
CN100360704C (en) 2008-01-09
US20060081564A1 (en) 2006-04-20
CN1665955A (en) 2005-09-07
US7199327B2 (en) 2007-04-03
AU2003280398A8 (en) 2004-01-19

Similar Documents

Publication Publication Date Title
US7199327B2 (en) Method and system for arc suppression in a plasma processing system
US9142391B2 (en) Method of manufacturing semiconductor device
EP3133635B1 (en) Edge ring assembly for improving feature profile tilting at extreme edge of wafer
EP1840937B1 (en) Plasma processing apparatus and plasma processing method
US7430496B2 (en) Method and apparatus for using a pressure control system to monitor a plasma processing system
US7658816B2 (en) Focus ring and plasma processing apparatus
JP4913603B2 (en) Temperature controlled hot edge ring assembly for reducing etch rate drift in plasma reactors
US20190006155A1 (en) Plasma reactor having a function of tuning low frequency rf power distribution
US4131533A (en) RF sputtering apparatus having floating anode shield
US8513563B2 (en) Plasma processing apparatus and plasma processing method
TW201931428A (en) Plasma reactor having a function of tuning low frequency RF power distribution
US20110297082A1 (en) Plasma processing apparatus and sample stage
KR101480738B1 (en) Annular baffle
EP1249033A1 (en) Electrode assembly
KR20050025079A (en) Focus ring and plasma processing apparatus
WO2006074050A2 (en) Electrically enhancing the confinement of plasma
WO2003083911A1 (en) A system and method for determining the state of a film in a plasma reactor using an electrical property
JP5970268B2 (en) Plasma processing apparatus and processing method
TW202329193A (en) Distortion current mitigation in a radio frequency plasma processing chamber
KR20060056972A (en) Method for balancing return currents in plasma processing apparatus
US20210057187A1 (en) Substrate support unit and substrate processing apparatus including the same
TW202312218A (en) Plasma excitation with ion energy control
EP0261347A1 (en) Sputtering apparatus
US20230170192A1 (en) Method and apparatus for realtime wafer potential measurement in a plasma processing chamber
US20220399194A1 (en) Plasma chamber and chamber component cleaning methods

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2006081564

Country of ref document: US

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 10512862

Country of ref document: US

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2004517580

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 20038152754

Country of ref document: CN

122 Ep: pct application non-entry in european phase
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWP Wipo information: published in national office

Ref document number: 10512862

Country of ref document: US