WO2003058700A1 - Plasma treatment method - Google Patents

Plasma treatment method Download PDF

Info

Publication number
WO2003058700A1
WO2003058700A1 PCT/JP2002/013861 JP0213861W WO03058700A1 WO 2003058700 A1 WO2003058700 A1 WO 2003058700A1 JP 0213861 W JP0213861 W JP 0213861W WO 03058700 A1 WO03058700 A1 WO 03058700A1
Authority
WO
WIPO (PCT)
Prior art keywords
mask
plasma
film
processing
gas containing
Prior art date
Application number
PCT/JP2002/013861
Other languages
French (fr)
Japanese (ja)
Inventor
Kiwamu Fujimoto
Jeong Jy
Takashi Fuse
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to AU2002359950A priority Critical patent/AU2002359950A1/en
Publication of WO2003058700A1 publication Critical patent/WO2003058700A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • the present invention relates to a plasma processing method performed in a semiconductor device manufacturing process. Background technology
  • a conventional plasma processing method in which an interlayer insulating film in an object to be processed, for example, an organic insulating film is etched through an opening pattern of a mask, and then the mask is removed is performed by removing the organic insulating film in a processing vessel using a fluorocarbon or the like.
  • the organic insulating film is etched using a CF-based gas plasma until a barrier layer such as a SiN film below the organic insulating film is exposed, and then a plasma such as oxygen gas is used in the same processing vessel.
  • the present invention has been made to solve the above problems, and has as its object to provide a plasma processing method for preventing generation of reactive species of F and removing a mask while suppressing the removal of a barrier layer. It is another object of the present invention to provide a blaze processing method for removing the mask while maintaining the selectivity of the mask with respect to the silicon layer.
  • the gas containing F introduced into the processing vessel is pumped. Forming a film on the Si-containing film in the object to be processed through a mask opening pattern on the film to expose the Si-containing film; A step of removing a by-product containing F attached to the substrate, and a step of removing the mask in the processing container.
  • the gas containing F introduced into the processing chamber is turned into plasma, and the Si-containing film in the object to be processed is opened through a mask on the Si-containing film.
  • the gas containing the introduced 0 2 into plasma in the first or second plasma processing method, in the step of removing a by-product containing F attached to an object in the processing container, is characterized in that the removal of the by-products.
  • the gas containing N 2 and H 2 is turned into plasma to remove the mask. It is characterized by the following.
  • the gas containing F introduced into the processing chamber is turned into plasma, and the film on the Si-containing film in the object to be processed is masked on the film. Etching through the opening pattern to expose the Si-containing film; and removing the mask in a processing container separate from the processing container.
  • the gas containing F introduced into the processing container is turned into plasma, and the Si-containing film in the object to be processed is passed through an opening pattern of a mask on the film. It is characterized by comprising a step of etching halfway and a step of removing the mask in a processing container different from the processing container.
  • the gas containing F introduced into the processing container is turned into plasma, and the film on the Si-containing film in the object to be processed is formed on the (previous) film. etching through the opening pattern of the mask on the, to expose the S i containing film, to remove the mask by plasma gas containing N 2 by the processing chamber And a step of performing the above.
  • the gas containing F introduced into the processing container is turned into plasma, and the Si-containing film in the object to be processed is converted into a mask on the (previous) film. It is characterized by comprising a step of etching partway through the opening pattern and a step of removing the mask by converting a gas containing N 2 into plasma in the processing container.
  • the gas containing N 2 contains H 2 .
  • the flow rate of H 2 to the sum of the flow rates of the flow rate and H 2 N 2 is greater than 0%, it is characterized in that 20% or less.
  • the gas containing F is introduced into the processing vessel, and the gas containing F is turned into plasma, and the film on the Si-containing film in the object to be processed is removed from the mass on the film.
  • a step of exposing the Si-containing film by etching through a sealing pattern, and a step of removing the mask by converting a gas containing NH 3 into plasma in the processing container. is there.
  • the gas containing F introduced into the processing container is turned into plasma, and the Si-containing film in the object to be processed is masked on the (previous) film.
  • S i containing film is a film having at least one of S iN, S i0 2, S iC It is characterized by the following.
  • the gas containing F is at least CF 4 , CHFas CH2F2 CH 3 F, C2F4.
  • the gas is a gas containing at least one of F 6 , C 3 F 8 , C 4 F 6 , C 4 F 8 , and C 8 F 8 .
  • the mask is a photoresist.
  • FIG. 1 is a schematic sectional view showing an example of a plasma processing apparatus applied to the plasma processing method of the present invention.
  • FIG. 2 is a schematic sectional view showing a main part of a wafer used in one embodiment of the plasma processing method of the present invention.
  • FIG. 3 is a schematic sectional view showing a main part of a wafer used in another embodiment of the plasma processing method of the present invention.
  • FIG. 4 is a schematic sectional view showing a main part of a wafer used in still another embodiment of the plasma processing method of the present invention.
  • FIG. 5 is a view showing the results of Example 2 of the present invention, and shows the relationship between the flow rate ratio of the processing gas (N 2 / (N 2 + H)), the asshing rate of the mask, and the selection ratio of the mask to the barrier layer. It is a graph which shows the best mode for carrying out the invention.
  • a plasma processing apparatus 10 used in the present embodiment includes a processing vessel 11 formed of metal (for example, aluminum whose surface is oxidized) and grounded; A susceptor 13 made of a conductor disposed at the center of the bottom surface of the inside 1 via an insulator 12 and a shower head formed above the susceptor 13 and supplying a processing gas 1 It has four.
  • a high frequency power supply 16 for plasma generation is connected to the susceptor 13 through a mattress 15, and a high frequency power of 13.56 MHz is applied from the high frequency power supply 16 to the susceptor 13.
  • An electrostatic chuck 17 is arranged on the susceptor 13, and a DC power supply 18 is connected to an electrode 17 A interposed in the electrostatic chuck 17.
  • a dipole ring magnet (DRM) 19 is rotatably arranged on the outer periphery of the processing container 11.
  • a processing gas source (not shown) was connected to the top surface of the processing vessel 11.
  • a gas introduction section 11 A is formed, and the processing gas introduced from the gas introduction section 11 A is supplied uniformly through the shower head 14 to the entire surface of the workpiece (eg, wafer) W. is there.
  • An exhaust port 11 B connected to an exhaust pump (not shown) is formed at a lower portion of the processing vessel 11. The inside of the processing vessel 11 is lowered to a predetermined pressure through the exhaust port 11 B through the exhaust pump. It is.
  • the wafer W is loaded into the processing vessel 11 from a loading port (not shown), and is placed on the electrostatic chuck 17 on the susceptor 13.
  • a processing gas is introduced while the inside of the processing container 11 is exhausted, the DRM 19 is rotated, and high-frequency power is applied to the susceptor 13.
  • a DC voltage is also applied to the electrostatic chuck 17 simultaneously with or before and after the application of the high-frequency power, and the wafer W is attracted and fixed on the electrostatic chuck 17.
  • the processing gas is converted into a plasma by applying a rotating magnetic field from the DRM 19, and the portion of the wafer W to be subjected to the plasma processing is processed as follows. Plasma treatment is performed.
  • a metal wiring layer 21, a barrier layer (here, a Si-containing film) 22, an insulating film layer 23, a mask 24 are formed, and a predetermined opening pattern 24 A is formed in the mask 24.
  • the plasma processing target is subjected to plasma processing through the opening pattern 24 A of the mask 24.
  • the insulating film layer 23 is plasma-etched from the opening pattern 24A of the mask 24 using a gas containing a fluorocarbon, for example, as shown in FIG.
  • the step of removing the by-product containing F attached to the components in the processing container 11 was included. Therefore, in the step of removing the mask 24, the barrier was removed. Active species including F acting on the layer 22 are not generated, and the scraping of the barrier layer 22 can be suppressed. Also, the plasma of the gas containing N 2 and H 2 If the mask 24 is removed, the side surface of the insulating film layer 23 on the Si layer containing the barrier layer 22 can be suppressed from being scraped. In addition, by removing the mask 24 using plasma of N 2 or a gas in which a small amount of H 2 is added to N 2 , it is possible to omit a step of removing a by-product containing F as described later. it can.
  • the mask 24 may be transferred into a processing container different from the processing container in which the etching has been performed, and the mask 24 may be removed in the processing container.
  • the etching of the insulating film layer 23 on the barrier layer 22 and the removal of the mask 24 are performed in separate processing containers, so that the etching process of the insulating film layer 23 on the barrier layer 22 is performed.
  • the mask 24 can be removed in a state where there is no F-containing by-product adhering to the components inside, that is, in a state where active species including F acting on the exposed barrier layer 22 are not generated. Shaving can be suppressed.
  • the mask for the barrier layer 22 can be formed.
  • the selectivity of the mask is about 30, which makes it possible to remove the mask 24 while maintaining a considerably high value.
  • a small amount of H 2 may be added to the gas containing N 2 .
  • the addition of H 2 it is possible to increase the Adzushingureto mask 24.
  • the flow rate of H 2 to the sum of the flow rate of the flow rate and H 2 in N 2 is preferably 20% or less than 0%, more rather preferably 20% or more than 1%, more preferably 1 % To 10%.
  • the masking rate of the mask 24 is also increased (about 180 nm / min or more) while the selection ratio of the mask 24 to the Si-containing film (barrier layer 22) is maintained at a relatively high level. it can.
  • an inert gas such as Ar or He may be added to the gas for removing these masks.
  • the S i containing film is a membrane having at least one of S iN, S i 0 2, S i C.
  • the film on the Si-containing film is an insulating film having a low relative dielectric constant in order to improve device performance.
  • insulating films having a low relative dielectric constant include MSQ, porous MSQ (trade name of JSR: LKD), porous silica, FSG, and CVD-SiOC (trade names: CO RAL, Black Diamond). No. Of course, S i0 2 also used Can be
  • Examples of the gas containing F for etching the film on the Si-containing film include CF 4 , C 2 F 4 , C 2 F 6 , C 3 F 6 , C 3 F 8 , C 4 F 6 , C 4 and F 8, C etc.
  • 8 F 8 fluoroalkyl force one Bonn, CHF 3 can be used CH2F2S CH 3 Hyde port fluoroalkyl force one Bonn least gas containing any one or more well such as F.
  • N 2 , O 2 , CO, Ar, He or the like may be added to these.
  • the mask used in these inventions, the photoresist is preferred, and 'removing the photoresist, a gas containing the above N 2, other gases including N 2 and H 2, a gas containing 0 2 Of plasma can be used.
  • the present invention can also be applied to, for example, a case where a groove having a dual damascene structure shown in FIG. 3 is formed.
  • the wafer W has a metal wiring layer 31, an underlayer (here, for example, an SiN film) 32, and a Si-containing film layer (here, for example, a Si layer 2 film layer 33 and a mask 34 (here, for example, a photoresist layer) are formed, and the mask 34 is formed with a predetermined opening pattern 34A.
  • the Si-containing film layer 33 is plasma-etched until the underlying layer 32 is exposed through the opening pattern 34A of the mask 34 to form a hole 33A.
  • etching gas for example, it may be used C 4 F 8 (or C 5 F 8, C 4 Fe ) CO and 0 2 and a mixed gas of Ar or the like.
  • N 2 may be further added.
  • the mask 34 is removed by asking using plasma of a mixed gas containing N 2 and H 2 introduced into the processing chamber 11 as shown in FIG.
  • a photoresist is applied to form a mask 35 having an opening pattern 35A larger than the hole 33A in FIG. 3 (b), and then using the same etching gas as in FIG. 3 (a).
  • etching is performed halfway through the Si-containing film layer 33 to form a groove 33B (see (c) in the figure).
  • F By-products are removed.
  • the mask 35 is removed by plasma using the same plasma of the same gas as in the case of removing the mask 34 shown in FIG. 3A, as shown in FIG.
  • the underlying layer 3 2 is etched with CF 4, CHF 3, a mixed gas of CH 2 F least one 0 2 2 N 2 and A r 2 You can.
  • the overetching time is short, and the underlying metal Layer 31 loss is minimized.
  • the present invention can be applied to a case where a groove is first formed in a dual damascene structure.
  • the portion of the wafer W to be subjected to the plasma processing is the same as in the case of FIG. That is, after a mask 44 having an opening pattern 44 A of a predetermined size is formed by a photoresist, as shown in FIG. 4A, the Si-containing film is formed from the opening pattern 44 A of the mask 44. Layer 43 is etched partway to form groove 43A. Subsequently, after the mask 44 is removed by asshing in the same manner as described above, a photoresist is applied again, and an opening pattern 45 A having a diameter smaller than the width of the groove 43 A in FIG. Is formed.
  • the Si-containing film layer 43 is etched from the opening pattern 45 A of the mask 45 to the underlayer (SiN film layer) 42 until the hole 43 B is formed (see FIG. c))). Thereafter, the mask 45 is removed by asking in the same manner as described above (see (d) of FIG. 9).
  • the mask 45 is removed by asking in the same manner as described above (see (d) of FIG. 9).
  • the type of the barrier layer 22 is changed using the plasma processing apparatus shown in FIG. 1, and the plasma processing is performed under the following conditions, that is, the insulating film layer 23 is etched, and the wafer W is unloaded from the processing vessel 11. In this state, by-products containing F were removed (cleaning), and the wafer W was loaded again into the processing container 11 to perform the asshing of the mask 24. Then, the influence of the presence or absence of the cleaning step on the etching rate of each barrier layer 22 was observed. .
  • DRM rotation speed 20 rpm Frequency of high frequency power applied to susceptor: 13.56MHz High frequency power applied to susceptor: 1700W
  • Processing container pressure 150 mT 0 r r
  • Processing container pressure 150 mT 0 r r
  • Processing container pressure 50 mT or r
  • N 2 300 sccm
  • H 2 100 sccm
  • the cleaning process was omitted using the plasma processing apparatus shown in FIG. 1, and the plasma processing, that is, the etching of the insulating film layer 23 and the etching of the mask 24 were performed under the following conditions. Then, the relationship between the flow rates of N 2 and H 2 used in the assing process, the etching rate of the mask, and the selectivity of the barrier layer 22 to the mask 24 was observed.
  • Processing vessel pressure 150 mT or r
  • the mask 24 was removed (asshing) by changing the flow rate ratio of the processing gas (N 2 / (N 2 + H 2)) under the following conditions.
  • Processing vessel pressure 50 mT 0 r r
  • N 2 Da N 2 + H 2
  • Three types of processing vessel pressure Four types of 60, 100, 200, 400 mTorr
  • the photo resist for SiN Selectivity Mosk etching rate / SiN etching rate
  • the photo resist for SiN Selectivity is 15 to 21, 10 OmT 0 rr 17 to 23, 200 mT orr 30 to 36, 40 OmT orr 45 to 52
  • the selection ratio was high in each case o
  • the F-containing by-product generated in the step of exposing the barrier layer is removed before removing the mask.
  • the mask is removed in a processing container different from the processing container used in the step of exposing the barrier layer instead of cleaning, so that the removal of the barrier layer when removing the mask is suppressed. It is possible to provide a plasma processing method that can be used.
  • the Si-containing barrier layer in the object to be processed is exposed.
  • a plasma processing method capable of selectively removing the mask from the barrier layer can be provided.

Abstract

A method of plasma treatment which comprises etching an insulating film present on a Si-containing film (a barrier layer), conducting a cleaning within a treatment chamber, and then ashing a resist, or comprises conducting the etching of an insulating film present on the barrier layer and the ashing of a resist in separate treatment chambers, wherein, in either case, the ashing of a resist is conducted with a plasma of a mixed gas consisting of a major amount of N2 and a small amount of H2. In the case that the etching of an insulating film present on the barrier layer is directly followed by the ashing of a resist on the insulating film, a material (a F radical or the like) being reactive with the barrier layer is generated from by-products having been formed in the etching step and attached to an article (a part) in the treatment chamber, which results in the etching of the barrier layer.

Description

明 細 書 プラズマ処理方法 技 術 分 野  Description Plasma processing method Technical field
本発明は、 半導体装置の製造工程でなされるプラズマ処理方法に関する。 背 景 技 術  The present invention relates to a plasma processing method performed in a semiconductor device manufacturing process. Background technology
被処理体中の層間絶縁膜、 例えば有機絶縁膜をマスクの開口パターンを通して エッチングし、 その後にマスクを除去する従来のプラズマ処理方法としては、 処 理容器内で有機絶縁膜をフロロ力一ボン等の C F系のガスのプラズマを用いて有 機絶縁膜下の S i N膜等のパリア層が露出するまで有機絶縁膜をエッチングし、 その後引き続いて同一処理容器内で酸素ガス等のプラズマを用いてマスクを除去 A conventional plasma processing method in which an interlayer insulating film in an object to be processed, for example, an organic insulating film is etched through an opening pattern of a mask, and then the mask is removed is performed by removing the organic insulating film in a processing vessel using a fluorocarbon or the like. The organic insulating film is etched using a CF-based gas plasma until a barrier layer such as a SiN film below the organic insulating film is exposed, and then a plasma such as oxygen gas is used in the same processing vessel. Remove mask
(アツシング) する方法が一般的であった。 (Attaching) method was common.
しかしながら、 従来のプラズマ処理方法の場合には、 C F系のガスで有機絶縁 膜をエッチングすると、 処理容器内の物、 例えば、 処理容器の内壁や処理容器内 にあるフォーカスリング、 シールドリング、 パッフル板等の部品に C F系の副生 成物が付着する。 そして、 その後の酸素ガス等を用いたプラズマアツシングによ り処理容器内の部品に付着した C F系の副生成物から Fラジカル (F *) 等の F を含む反応活性種が生成し、 この反応活性種が被処理体中の露出した S i N膜等 のバリア層に作用してバリア層を削ってしまうため、 バリア層としての機能が失 われ、 デバイス性能を劣化させる問題があった。 発明の開示  However, in the case of the conventional plasma processing method, when the organic insulating film is etched with a CF-based gas, an object in the processing container, for example, a focus ring, a shield ring, a paffle plate in the inner wall of the processing container or in the processing container, or the like. CF-based by-products adhere to parts such as. Then, reactive active species containing F, such as F radicals (F *), are generated from CF-based by-products attached to the components in the processing container by plasma ashes using oxygen gas or the like. Since the reactive species act on the exposed barrier layer such as the SiN film in the object to be processed and cut off the barrier layer, the function as the barrier layer is lost and there is a problem of deteriorating the device performance. Disclosure of the invention
本発明は、 上記課題を解決するためになされたもので、 Fの反応活性種の生成 を防ぎ、 バリア層の削れを抑えながらマスクを除去するプラズマ処理方法を提供 することを目的としている。 また、 ノ 'リア層に対するマスクの選択性を維持しつ つ、 マスクを除去するブラズ処理方法を併せて提供することを目的としている。 本発明の第 1のブラズマ処理方法は、 処理容器内に導入した Fを含むガスをプ ラズマ化し、 被処理体中の S i含有膜上にある膜を、 この膜上にあるマスクの開 口パターンを通してエッチングして上記 S i含有膜を露出させる工程と、 上記処 理容器内の物に付着した Fを含有する副生成物を除去する工程と、 上記処理容器 内で上記マスクを除去する工程とを有することを特徴とするものである。 The present invention has been made to solve the above problems, and has as its object to provide a plasma processing method for preventing generation of reactive species of F and removing a mask while suppressing the removal of a barrier layer. It is another object of the present invention to provide a blaze processing method for removing the mask while maintaining the selectivity of the mask with respect to the silicon layer. In the first plasma processing method of the present invention, the gas containing F introduced into the processing vessel is pumped. Forming a film on the Si-containing film in the object to be processed through a mask opening pattern on the film to expose the Si-containing film; A step of removing a by-product containing F attached to the substrate, and a step of removing the mask in the processing container.
また、 本発明の第 2のプラズマ処理方法は、 処理容器内に導入した Fを含むガ スをプラズマ化し、 被処理体中の S i含有膜を、 この S i含有膜上にあるマスク の開口パターンを通して途中までエッチングする工程と、 上記処理容器内の物に 付着した Fを含有する副生成物を除去する工程と、 上記処理容器内で上記マスク を除去する工程とを有することを特徴とするものである。  Further, in the second plasma processing method of the present invention, the gas containing F introduced into the processing chamber is turned into plasma, and the Si-containing film in the object to be processed is opened through a mask on the Si-containing film. A step of partially etching through the pattern, a step of removing a by-product containing F attached to an object in the processing container, and a step of removing the mask in the processing container. Things.
また、 本発明のプラズマ処理方法は、 第 1または第 2のプラズマ処理方法にお いて、 上記処理容器内の物に付着した Fを含有する副生成物を除去する工程では、 上記処理容器内に導入した 02を含むガスをプラズマ化して上記副生成物を除去 することを特徴とするものである。 Further, in the plasma processing method of the present invention, in the first or second plasma processing method, in the step of removing a by-product containing F attached to an object in the processing container, the gas containing the introduced 0 2 into plasma is characterized in that the removal of the by-products.
また、 本発明のプラズマ処理方法は、 第 1または第 2のプラズマ処理方法にお いて、 上記マスクを除去する工程では、 N 2と H 2とを含むガスをプラズマ化して 上記マスクを除去することを特徴とするものである。 Further, in the plasma processing method of the present invention, in the first or second plasma processing method, in the step of removing the mask, the gas containing N 2 and H 2 is turned into plasma to remove the mask. It is characterized by the following.
また、 本発明の第 3のプラズマ処理方法は、 処理容器内に導入した Fを含むガ スをプラズマ化し、 被処理体中の S i含有膜上にある膜を、 この膜上にあるマス クの開口パターンを通してエッチングし、 この S i含有膜を露出する工程と、 上 記処理容器とは別の処理容器の中で上記マスクを除去する工程とを有することを 特徴とするものである。  In the third plasma processing method of the present invention, the gas containing F introduced into the processing chamber is turned into plasma, and the film on the Si-containing film in the object to be processed is masked on the film. Etching through the opening pattern to expose the Si-containing film; and removing the mask in a processing container separate from the processing container.
また、 本発明の第 4のプラズマ処理方法は、 処理容器内に導入した Fを含むガ スをプラズマ化し、 被処理体中の S i含有膜を、 この膜上にあるマスクの開口パ ターンを通して途中までエッチングする工程と、 上記処理容器とは別の処理容器 の中で上記マスクを除去する工程とを有することを特徴とするものである。  Further, in the fourth plasma processing method of the present invention, the gas containing F introduced into the processing container is turned into plasma, and the Si-containing film in the object to be processed is passed through an opening pattern of a mask on the film. It is characterized by comprising a step of etching halfway and a step of removing the mask in a processing container different from the processing container.
また、 本発明の第 5のプラズマ処理方法は、 処理容器内に導入した Fを含むガ スをプラズマ化し、 被処理体中の S i含有膜上にある膜を、 この (同前) 膜上に あるマスクの開口パターンを通してエッチングし、 この S i含有膜を露出させる 工程と、 上記処理容器内で N 2を含むガスをプラズマ化して上記マスクを除去す る工程とを有することを特徴とするものである。 Further, in the fifth plasma processing method of the present invention, the gas containing F introduced into the processing container is turned into plasma, and the film on the Si-containing film in the object to be processed is formed on the (previous) film. etching through the opening pattern of the mask on the, to expose the S i containing film, to remove the mask by plasma gas containing N 2 by the processing chamber And a step of performing the above.
また、 本発明の第 6のプラズマ処理方法は、 処理容器内に導入した Fを含むガ スをプラズマ化し、 被処理体中の S i含有膜を、 この (同前) 膜上にあるマスク の開口パターンを通して途中までエッチングする工程と、 上記処理容器内で N 2 を含むガスをプラズマ化して上記マスクを除去する工程とを有することを特徴と するものである。  In the sixth plasma processing method of the present invention, the gas containing F introduced into the processing container is turned into plasma, and the Si-containing film in the object to be processed is converted into a mask on the (previous) film. It is characterized by comprising a step of etching partway through the opening pattern and a step of removing the mask by converting a gas containing N 2 into plasma in the processing container.
また、 本発明のプラズマ処理方法は、 第 5または第 6のプラズマ処理方法にお いて、 N2を含むガスは、 H2を含むことを特徴とするものである。 Further, in the plasma processing method of the present invention, in the fifth or sixth plasma processing method, the gas containing N 2 contains H 2 .
また、 本発明のプラズマ処理方法は、 N2の流量と H2の流量との和に対する H2の流量は、 0%を超え、 20%以下であることを特徴とするものである。 また、 本発明の第 7のプラズマ処理方法は、 処理容器内に導入した: Fを含むガ スをプラズマ化し、 被処理体中の S i含有膜上にある膜を、 この膜上にあるマス クの閧口パターンを通してエッチングし、 この S i含有膜を露出させる工程と、 上記処理容器内で N H 3を含むガスをプラズマ化して上記マスクを除去する工程 とを有することを特徴とするものである。 The plasma processing method of the present invention, the flow rate of H 2 to the sum of the flow rates of the flow rate and H 2 N 2 is greater than 0%, it is characterized in that 20% or less. Further, in the seventh plasma processing method of the present invention, the gas containing F is introduced into the processing vessel, and the gas containing F is turned into plasma, and the film on the Si-containing film in the object to be processed is removed from the mass on the film. A step of exposing the Si-containing film by etching through a sealing pattern, and a step of removing the mask by converting a gas containing NH 3 into plasma in the processing container. is there.
また、 本発明の第 8に記載のプラズマ処理方法は、 処理容器内に導入した Fを 含むガスをプラズマ化し、 被処理体中の S i含有膜を、 この (同前) 膜上にある マスクの開口パターンを通して途中までエッチングする工程と、 上記処理容器内 で NH 3を含むガスをプラズマ化して上記マスクを除去する工程とを有すること を特徴とするものである。 Further, in the plasma processing method according to the eighth aspect of the present invention, the gas containing F introduced into the processing container is turned into plasma, and the Si-containing film in the object to be processed is masked on the (previous) film. A step of partially etching through the opening pattern of the above and a step of removing the mask by converting a gas containing NH 3 into plasma in the processing container.
また、 本発明のプラズマ処理方法は、 第 1乃至第 8のいずれかのプラズマ処理 方法において、 前記 S i含有膜は、 S iN、 S i02、 S iCの少なくとも 1つ を有する膜であることを特徴とするものである。 It plasma processing method of the present invention, in any of the plasma processing method of the first to eighth, wherein S i containing film is a film having at least one of S iN, S i0 2, S iC It is characterized by the following.
また、 本発明のプラズマ処理方法は、 第 1乃至第 8のいずれかのプラズマ処理 方法において、 上記 Fを含むガスは、 少なくとも CF4、 CHFas CH2F2 CH3F、 C2F4. C2F6、 C3F6、 C3F8、 C4F6、 C4F8、 C8F8のいずれ か 1つ以上を含むガスであることを特徴とするものである。 Further, in the plasma processing method of the present invention, in any one of the first to eighth plasma processing methods, the gas containing F is at least CF 4 , CHFas CH2F2 CH 3 F, C2F4. C 2 F 6 , C 3 The gas is a gas containing at least one of F 6 , C 3 F 8 , C 4 F 6 , C 4 F 8 , and C 8 F 8 .
また、 本発明のプラズマ処理方法は、 第 1乃至第 8のいずれかのプラズマ処理 方法において、 上記マスクは、 フォトレジストであることを特徴とするものであ る o 図面の簡単な説明 Further, in the plasma processing method according to the present invention, in any one of the first to eighth plasma processing methods, the mask is a photoresist. O Brief description of drawings
図 1は、 本発明のプラズマ処理方法に適用したプラズマ処理装置の一例を示す 概略断面図である。  FIG. 1 is a schematic sectional view showing an example of a plasma processing apparatus applied to the plasma processing method of the present invention.
図 2は、 本発明のプラズマ処理方法の一実施形態で使用したウェハの要部を示 す断面概略図である。  FIG. 2 is a schematic sectional view showing a main part of a wafer used in one embodiment of the plasma processing method of the present invention.
図 3は、 本発明のプラズマ処理方法の他の実施形態で使用したウェハの要部を 示す断面概略図である。  FIG. 3 is a schematic sectional view showing a main part of a wafer used in another embodiment of the plasma processing method of the present invention.
図 4は、 本発明のプラズマ処理方法の更に他の実施形態で使用したウェハの要 部を示す断面概略図である。  FIG. 4 is a schematic sectional view showing a main part of a wafer used in still another embodiment of the plasma processing method of the present invention.
図 5は、 本発明の実施例 2の結果を示す図で、 処理ガスの流量比 (N 2/ (N 2 + H ) と、 マスクのアツシングレート及びバリア層に対するマスクの選択比 との関係を示すグラフである。 発明を実施するための最良の形態 FIG. 5 is a view showing the results of Example 2 of the present invention, and shows the relationship between the flow rate ratio of the processing gas (N 2 / (N 2 + H)), the asshing rate of the mask, and the selection ratio of the mask to the barrier layer. It is a graph which shows the best mode for carrying out the invention.
以下、 図 1〜図 3に示す実施形態に基づいて本発明について説明する。  Hereinafter, the present invention will be described based on the embodiments shown in FIGS.
まず、 本発明のブラズマ処理方法に好適に用いられるブラズマ処理装置につ ヽ て説明する。 本実施形態に用いられるプラズマ処理装置 1 0は、 例えば図 1に示 すように、 金属 (例えば表面が酸化処理されたアルミニウム) により形成され且 つ接地された処理容器 1 1と、 この処理容器 1 1内の底面中央に絶縁体 1 2を介 して配設された導電体からなるサセプ夕 1 3と、 このサセプ夕 1 3の上方に形成 され且つ処理ガスを供給するシャワーへヅド 1 4を備えている。 サセプ夕 1 3に はマツチヤ 1 5を通してプラズマ生成用の高周波電源 1 6が接続され、 この高周 波電源 1 6からサセプ夕 1 3に対して周波数 1 3 . 5 6 M H zの高周波電力を印 加する。 サセプ夕 1 3上には静電チャック 1 7が配置され、 この静電チャック 1 7内に介在する電極 1 7 Aには直流電源 1 8が接続されている。 また、 処理容器 1 1の外周にはダイポールリングマグネヅ ト (D R M) 1 9が回転可能に配置さ れている。 また、 処理容器 1 1の頂面には処理ガス源 (図示せず) が接続された ガス導入部 1 1 Aが形成され、 このガス導入部 1 1 Aから導入された処理ガスを シャワーへッド 1 4を通して被処理体 (例えば、 ウェハ) W全面に均一に供給す るようにしてある。 処理容器 1 1の下部には排気ポンプ (図示せず) に接続され た排気口 1 1 Bが形成され、 この排気口 1 1 Bから排気ポンプを通して処理容器 1 1内を所定の圧力まで下げるようにしてある。 First, a description will be given of a plasma processing apparatus suitably used in the plasma processing method of the present invention. As shown in FIG. 1, for example, as shown in FIG. 1, a plasma processing apparatus 10 used in the present embodiment includes a processing vessel 11 formed of metal (for example, aluminum whose surface is oxidized) and grounded; A susceptor 13 made of a conductor disposed at the center of the bottom surface of the inside 1 via an insulator 12 and a shower head formed above the susceptor 13 and supplying a processing gas 1 It has four. A high frequency power supply 16 for plasma generation is connected to the susceptor 13 through a mattress 15, and a high frequency power of 13.56 MHz is applied from the high frequency power supply 16 to the susceptor 13. Add. An electrostatic chuck 17 is arranged on the susceptor 13, and a DC power supply 18 is connected to an electrode 17 A interposed in the electrostatic chuck 17. A dipole ring magnet (DRM) 19 is rotatably arranged on the outer periphery of the processing container 11. A processing gas source (not shown) was connected to the top surface of the processing vessel 11. A gas introduction section 11 A is formed, and the processing gas introduced from the gas introduction section 11 A is supplied uniformly through the shower head 14 to the entire surface of the workpiece (eg, wafer) W. is there. An exhaust port 11 B connected to an exhaust pump (not shown) is formed at a lower portion of the processing vessel 11. The inside of the processing vessel 11 is lowered to a predetermined pressure through the exhaust port 11 B through the exhaust pump. It is.
次に、 プラズマ処理装置 1 0を用いた本発明のプラズマ処理方法の一実施形態 について説明する。 まず、 図示しない搬入口から処理容器 1 1内にウェハ Wを搬 入し、 サセプ夕 1 3上の静電チヤヅク 1 7上に載置する。 次いで、 処理容器 1 1 内を排気しながら処理ガスを導入し、 D R M 1 9を回転させると共にサセプ夕 1 3に高周波電力を印加する。 高周波電力を印加すると同時かその前後に静電チヤ ック 1 7にも直流電圧を印加し、 静電チャック 1 7上でウェハ Wを吸着、 固定す る。 サセプ夕 1 3に高周波電力を印加したことと相俟って D RM 1 9からの回転 磁界の印加によつて処理ガスをブラズマ化し、 ウェハ W中のブラズマ処理対象部 分を以下のようにしてプラズマ処理する。  Next, an embodiment of the plasma processing method of the present invention using the plasma processing apparatus 10 will be described. First, the wafer W is loaded into the processing vessel 11 from a loading port (not shown), and is placed on the electrostatic chuck 17 on the susceptor 13. Next, a processing gas is introduced while the inside of the processing container 11 is exhausted, the DRM 19 is rotated, and high-frequency power is applied to the susceptor 13. A DC voltage is also applied to the electrostatic chuck 17 simultaneously with or before and after the application of the high-frequency power, and the wafer W is attracted and fixed on the electrostatic chuck 17. In conjunction with the application of high-frequency power to the susceptor 13, the processing gas is converted into a plasma by applying a rotating magnetic field from the DRM 19, and the portion of the wafer W to be subjected to the plasma processing is processed as follows. Plasma treatment is performed.
ここでウェハ Wのプラズマ処理対象部の構造について図 2を参照しながら説明 する。 例えば図 2の (a ) に示すように、 ウェハ Wには下から上に向かってメタ ル配線層 2 1、 バリア層 (ここでは、 S i含有膜) 2 2、 絶縁膜層 2 3、 マスク 2 4が形成され、 また、 マスク 2 4には所定の開口パターン 2 4 Aが形成されて いる。 そして、 プラズマ処理対象部にはマスク 2 4の開口パターン 2 4 Aを通し てプラズマ処理が施される。 プラズマ処理時には例えば同図の (b ) に示すよう にフロロ力一ボン等を含むガスを用いてマスク 2 4の開口パターン 2 4 Aから絶 縁膜層 2 3をプラズマエッチングする。 その後、 02を含むガスのプラズマを用 いて処理容器 1 1内の部品に付着した Fを含有する副生成物を除去する。 弓 Iき続 き、 処理容器 1 1内に導入した N2と H 2とを含むガスのプラズマを用いて同図の ( c ) に示すようにマスク 2 4を除去 (アツシング) する。 Here, the structure of the plasma processing target portion of the wafer W will be described with reference to FIG. For example, as shown in (a) of FIG. 2, a metal wiring layer 21, a barrier layer (here, a Si-containing film) 22, an insulating film layer 23, a mask 24 are formed, and a predetermined opening pattern 24 A is formed in the mask 24. Then, the plasma processing target is subjected to plasma processing through the opening pattern 24 A of the mask 24. At the time of the plasma processing, the insulating film layer 23 is plasma-etched from the opening pattern 24A of the mask 24 using a gas containing a fluorocarbon, for example, as shown in FIG. It is then removed by-product containing F adhered to the part of the processing vessel 1 1 and have use a plasma of a gas containing 0 2. Subsequently to the bow I, the mask 24 is removed (assisted) using a plasma of a gas containing N 2 and H 2 introduced into the processing vessel 11 as shown in FIG.
このように、 マスク 2 4を除去する工程の前に、 処理容器 1 1内の部品に付着 した Fを含有する副生成物を除去する工程を入れたため、 マスク 2 4を除去する 工程において、 バリア層 2 2に作用する Fを含む活性種が生成されなくなり、 バ リア層 2 2の削れを抑制できる。 また、 N 2と H 2とを含むガスのプラズマにより マスク 24を除去すれば、 S i含有膜であるパリア層 22上にある絶縁膜層 23 の側面の削れを抑制することができる。 また、 N2や、 N2に少量の H2を添加し たガスのプラズマを用いてマスク 24を除去することにより後述のように Fを含 有する副生成物を除去する工程を省略することができる。 As described above, before the step of removing the mask 24, the step of removing the by-product containing F attached to the components in the processing container 11 was included. Therefore, in the step of removing the mask 24, the barrier was removed. Active species including F acting on the layer 22 are not generated, and the scraping of the barrier layer 22 can be suppressed. Also, the plasma of the gas containing N 2 and H 2 If the mask 24 is removed, the side surface of the insulating film layer 23 on the Si layer containing the barrier layer 22 can be suppressed from being scraped. In addition, by removing the mask 24 using plasma of N 2 or a gas in which a small amount of H 2 is added to N 2 , it is possible to omit a step of removing a by-product containing F as described later. it can.
また、 エッチングを行った処理容器とは別の処理容器中に移送し、 この別の処 理容器内でマスク 24を除去しても良い。 このようにバリア層 22上の絶縁膜層 23のェヅチングと、 マスク 24の除去をそれそれ別の処理容器の中で行うこと により、 バリア層 22上の絶縁膜層 23のエッチング工程で処理容器 11内の部 品に付着した Fを含有する副生成物がない状態、 即ち、 露出したバリア層 22に 作用する Fを含む活性種が生成しない状態でマスク 24を除去できるため、 バリ ァ層 22の削れを抑制できる。  Alternatively, the mask 24 may be transferred into a processing container different from the processing container in which the etching has been performed, and the mask 24 may be removed in the processing container. As described above, the etching of the insulating film layer 23 on the barrier layer 22 and the removal of the mask 24 are performed in separate processing containers, so that the etching process of the insulating film layer 23 on the barrier layer 22 is performed. The mask 24 can be removed in a state where there is no F-containing by-product adhering to the components inside, that is, in a state where active species including F acting on the exposed barrier layer 22 are not generated. Shaving can be suppressed.
また、 上述のように N2を含むガスを用いることにより、 処理容器 11内の部 品に付着した Fを含有する副生成物があっても、 バリア層 22 (S i含有膜) に 対するマスクの選択比 (マスクのェヅチングレート ZS i含有膜のエッチングレ —ト) が約 30と、 かなり高い値を維持しつつマスク 24を除去できる。 尚、 こ の N 2を含むガスには、 少量の H 2を添加しても良い。 H2の添加により、 マスク 24のァヅシングレートを上げることができる。 この場合、 N2の流量と H2の流 量の和に対する H2の流量は、 好ましくは、 0%を超え 20%以下、 より好まし くは、 1%以上 20%以下、 更に好ましくは 1%以上 10%以下である。 この領 域での H2の添加により、 S i含有膜 (バリア層 22) に対するマスク 24の選 択比をある程度高く維持したまま、 マスク 24のアツシングレートをも高く (約 180nm/分以上) できる。 また、 これらのマスクを除去するガスには、 更に、 Ar、 He等の不活性ガスを添加しても良い。 Further, by using the gas containing N 2 as described above, even if there is a by-product containing F attached to the components in the processing container 11, the mask for the barrier layer 22 (Si-containing film) can be formed. The selectivity of the mask (etching rate of the mask, the etching rate of the ZSi-containing film) is about 30, which makes it possible to remove the mask 24 while maintaining a considerably high value. Incidentally, a small amount of H 2 may be added to the gas containing N 2 . The addition of H 2, it is possible to increase the Adzushingureto mask 24. In this case, the flow rate of H 2 to the sum of the flow rate of the flow rate and H 2 in N 2 is preferably 20% or less than 0%, more rather preferably 20% or more than 1%, more preferably 1 % To 10%. By adding H 2 in this region, the masking rate of the mask 24 is also increased (about 180 nm / min or more) while the selection ratio of the mask 24 to the Si-containing film (barrier layer 22) is maintained at a relatively high level. it can. Further, an inert gas such as Ar or He may be added to the gas for removing these masks.
S i含有膜 (バリア層 22) としては S iN、 S i 02、 S i Cの少なくとも いずれか 1つを有する膜であることが好ましい。 また、 Si含有膜上の膜として はデバイス性能を良くするために比誘電率が低 絶縁膜であることが好ましい。 比誘電率が低い絶縁膜としては、 例えば、 MSQ、 ポーラス MSQ (JSR社の 商品名: LKD)、 ポーラスシリカ、 FSG、 CVD— S iOC (商品名: CO RAL、 Black D i am o n d等) が挙げられる。 勿論、 S i02も用い ることができる。 It is preferred as the S i containing film (barrier layer 22) is a membrane having at least one of S iN, S i 0 2, S i C. Further, it is preferable that the film on the Si-containing film is an insulating film having a low relative dielectric constant in order to improve device performance. Examples of insulating films having a low relative dielectric constant include MSQ, porous MSQ (trade name of JSR: LKD), porous silica, FSG, and CVD-SiOC (trade names: CO RAL, Black Diamond). No. Of course, S i0 2 also used Can be
また、 S i含有膜上の膜をエッチングする Fを含むガスとしては、 例えば、 CF4、 C2F4、 C2F6、 C3F6、 C3F8、 C4F6、 C4F8, C8F8等のフロロ 力一ボンや、 CHF3、 CH2F2S CH3F等のハイ ド口フロロ力一ボンの少なく ともいずれか 1つ以上を含むガスを用いることができる。 また、 これ以外にも、 CH4、 CC14等や F2、 C 1 F3等との混合ガスを用いることができる。 また、 これらに N2、 02、 CO、 Ar、 He等を添加しても良い。 これらの発明で使用 されるマスクとしては、 フォトレジストが好ましく、 このフォトレジストを除去 する'には、 上記の N2を含むガス、 N2と H2を含むガスのほか、 02を含むガスの プラズマが使用できる。 Examples of the gas containing F for etching the film on the Si-containing film include CF 4 , C 2 F 4 , C 2 F 6 , C 3 F 6 , C 3 F 8 , C 4 F 6 , C 4 and F 8, C etc. 8 F 8 fluoroalkyl force one Bonn, CHF 3, can be used CH2F2S CH 3 Hyde port fluoroalkyl force one Bonn least gas containing any one or more well such as F. Also, Other than this, it is possible to use a mixed gas of CH 4, CC1 4 and the like and F 2, C 1 F 3 and the like. Further, N 2 , O 2 , CO, Ar, He or the like may be added to these. The mask used in these inventions, the photoresist is preferred, and 'removing the photoresist, a gas containing the above N 2, other gases including N 2 and H 2, a gas containing 0 2 Of plasma can be used.
また、 本発明は例えば図 3に示すデュアルダマシン構造の溝を形成する場合に も適用することができる。 例えば図 3の (a) に示すように、 ウェハ Wには下か ら上に向かってメタル配線層 31、 下地層 (ここでは、 例えば S iN膜) 32、 S i含有膜層 (ここでは、 例えば Si〇2膜層) 33、 マスク 34 (ここでは、 例えばフォトレジスト層) が形成され、 また、 マスク 34には所定の開ロパタ一 ン 34 Aが形成されている。 そして、 マスク 34の開口パターン 34 Aを通して 下地層 32が露出するまで S i含有膜層 33をプラズマエッチングしてホール 3 3Aを形成する。 エッチングガスとしては、 例えば C4F8 (または C5F8、 C4 Fe) と COと 02と Arの混合ガス等を使用することができる。 S i含有膜層が 有機系の S i酸化膜の場合には、 更に N2を加えると良い。 このエッチングに続 いて、 例えば 02のプラズマを用いて処理容器 11内の部品に付着した Fを含有 する副生成物を除去する。 引き続き、 処理容器 11内に導入した N2と H2とを含 む混合ガスのプラズマを用いてアツシングして同図の (b) に示すようにマスク 34を除去する。 The present invention can also be applied to, for example, a case where a groove having a dual damascene structure shown in FIG. 3 is formed. For example, as shown in FIG. 3 (a), the wafer W has a metal wiring layer 31, an underlayer (here, for example, an SiN film) 32, and a Si-containing film layer (here, For example, a Si layer 2 film layer 33 and a mask 34 (here, for example, a photoresist layer) are formed, and the mask 34 is formed with a predetermined opening pattern 34A. Then, the Si-containing film layer 33 is plasma-etched until the underlying layer 32 is exposed through the opening pattern 34A of the mask 34 to form a hole 33A. As an etching gas, for example, it may be used C 4 F 8 (or C 5 F 8, C 4 Fe ) CO and 0 2 and a mixed gas of Ar or the like. When the Si-containing film layer is an organic Si oxide film, N 2 may be further added. Continued stomach to the etching, is removed, for example, 0 2 by-products containing F adhering to parts of the processing vessel 11 with a plasma. Subsequently, the mask 34 is removed by asking using plasma of a mixed gas containing N 2 and H 2 introduced into the processing chamber 11 as shown in FIG.
次いで、 フォトレジストを塗布し、 図 3の (b) のホール 33 Aより大きい開 ロパタ一ン 35 Aを有するマスク 35を形成した後、 同図の (a) の場合と同一 のエッチングガスを用いてマスク 35の開口パターン 35 Aを通して S i含有膜 層 33の途中までエッチングして溝 33 Bを形成する (同図の (c)参照) 。 そ の後、 例えば 02のプラズマを用いて処理容器 11内の部品に付着した Fを含有 する副生成物を除去する。 引き続き、 同図の (a ) のマスク 3 4を除去する場合 と同一のァヅシングガスのプラズマを用いてァヅシングして同図の (d ) に示す ようにマスク 3 5を除去する。 Next, a photoresist is applied to form a mask 35 having an opening pattern 35A larger than the hole 33A in FIG. 3 (b), and then using the same etching gas as in FIG. 3 (a). Through the opening pattern 35A of the mask 35, etching is performed halfway through the Si-containing film layer 33 to form a groove 33B (see (c) in the figure). Then, for example, by using plasma of O 2 , F By-products are removed. Subsequently, the mask 35 is removed by plasma using the same plasma of the same gas as in the case of removing the mask 34 shown in FIG. 3A, as shown in FIG.
更に、 S i含有膜層 3 3をマスクとして用いて、 下地層 3 2を C F 4、 C H F 3、 C H 2 F 2の少なくとも 1つと 02と N 2と A r 2との混合ガスでエッチングしても 良い。 この際、 残存している下地層 (S i N膜層) 3 2は本発明の上述した効果 により殆ど均一な膜厚になっているため、 オーバ一ェッチングの時間が少なくて 済み、 下地のメタル層 3 1の減少を最小限に抑えられる。 Furthermore, by using the S i containing film layer 3 3 as a mask, the underlying layer 3 2 is etched with CF 4, CHF 3, a mixed gas of CH 2 F least one 0 2 2 N 2 and A r 2 You can. At this time, since the remaining underlying layer (SiN film layer) 32 has an almost uniform film thickness due to the above-described effect of the present invention, the overetching time is short, and the underlying metal Layer 31 loss is minimized.
また、 図 4に示すように、 本発明はデュアルダマシン構造において先に溝を形 成する場合にも適用することができる。 尚、 ウェハ Wのプラズマ処理対象部は図 3の場合と同様である。 即ち、 所定の大きさの開口パターン 4 4 Aを有するマス ク 4 4をフォトレジストによって形成した後、 図 4の (a ) に示すようにマスク 4 4の開口パターン 4 4 Aから S i含有膜層 4 3を途中までエッチングして溝 4 3 Aを形成する。 続いて、 マスク 4 4を上述の場合と同様の手法でアツシングし て除去した後、 再度フォ トレジストを塗布し、 図 4の (b ) の溝 4 3 Aの幅より 小径の開口パターン 4 5 Aを有するマスク 4 5を形成する。 次いで、 マスク 4 5 の開口パターン 4 5 Aから下地層 (S i N膜層) 4 2が露出するまで S i含有膜 層 4 3をエッチングしてホール 4 3 Bを形成する (同図の (c ) 参照) 。 その後、 上述の場合と同様にアツシングしてマスク 4 5を除去する (同図の ( d ) 参照) 。 以下、 本発明の実施例を具体的に説明する。  Further, as shown in FIG. 4, the present invention can be applied to a case where a groove is first formed in a dual damascene structure. The portion of the wafer W to be subjected to the plasma processing is the same as in the case of FIG. That is, after a mask 44 having an opening pattern 44 A of a predetermined size is formed by a photoresist, as shown in FIG. 4A, the Si-containing film is formed from the opening pattern 44 A of the mask 44. Layer 43 is etched partway to form groove 43A. Subsequently, after the mask 44 is removed by asshing in the same manner as described above, a photoresist is applied again, and an opening pattern 45 A having a diameter smaller than the width of the groove 43 A in FIG. Is formed. Next, the Si-containing film layer 43 is etched from the opening pattern 45 A of the mask 45 to the underlayer (SiN film layer) 42 until the hole 43 B is formed (see FIG. c))). Thereafter, the mask 45 is removed by asking in the same manner as described above (see (d) of FIG. 9). Hereinafter, examples of the present invention will be specifically described.
実施例 1 Example 1
本実施例では図 1に示すプラズマ処理装置を用いてバリア層 2 2の種類を変え、 下記の諸条件でプラズマ処理、 即ち、 絶縁膜層 2 3のエッチング、 処理容器 1 1 からウェハ Wを搬出した状態で Fを含有する副生成物の除去 (クリーニング) 及 びこの処理容器 1 1に再びウェハ Wを搬入してマスク 2 4のアツシングをそれそ れ行った。 そして、 クリーニング工程の有無による各バリア層 2 2のエッチング レートへの影響を観た。 .  In this embodiment, the type of the barrier layer 22 is changed using the plasma processing apparatus shown in FIG. 1, and the plasma processing is performed under the following conditions, that is, the insulating film layer 23 is etched, and the wafer W is unloaded from the processing vessel 11. In this state, by-products containing F were removed (cleaning), and the wafer W was loaded again into the processing container 11 to perform the asshing of the mask 24. Then, the influence of the presence or absence of the cleaning step on the etching rate of each barrier layer 22 was observed. .
( 1 ) エツチング工程の処理条件  (1) Processing conditions for the etching process
D R Mの回転速度: 2 0 r p m サセプ夕に印加する高周波電源の周波数: 13. 56MHz サセプ夕に印加する高周波電力: 1700W DRM rotation speed: 20 rpm Frequency of high frequency power applied to susceptor: 13.56MHz High frequency power applied to susceptor: 1700W
サセプ夕温度: 40°C  Susep evening temperature: 40 ° C
処理容器内圧力: 150 mT 0 r r  Processing container pressure: 150 mT 0 r r
エッチングガスの流量: C4F8= 6 s ccm、 Ar=1000sccm
Figure imgf000011_0001
Etching gas flow rate: C 4 F 8 = 6 sccm, Ar = 1000 sccm
Figure imgf000011_0001
(2) クリーニング工程の処理条件  (2) Processing conditions for cleaning process
DRMの回転速度: 20 r pm  DRM rotation speed: 20 rpm
サセプ夕に印加する高周波電源の周波数: 13. 56MHz  Frequency of high frequency power applied to susceptor: 13.56MHz
サセプ夕に印加する高周波電力: 2000W  High frequency power applied to the susceptor: 2000W
サセプ夕温度: 40°C  Susep evening temperature: 40 ° C
処理容器内圧力: 150 mT 0 r r  Processing container pressure: 150 mT 0 r r
クリ一二ングガスの流量: 02 = 500s ccm  Cleaning gas flow rate: 02 = 500s ccm
(3) アツシング工程の処理条件  (3) Processing conditions of the asshing process
DRMの回転速度: 20 r pm  DRM rotation speed: 20 rpm
サセプ夕に印加する高周波電源の周波数: 13. 56MHz  Frequency of high frequency power applied to susceptor: 13.56MHz
サセプ夕に印加する高周波電力: 500W  High frequency power applied to the susceptor: 500W
サセプ夕温度: 50°C  Susep evening temperature: 50 ° C
処理容器内圧力: 50 mT o r r  Processing container pressure: 50 mT or r
処理ガスの流量: N2= 300 s c cm、 H2= 100 s c cm Processing gas flow rate: N 2 = 300 sccm, H 2 = 100 sccm
アツシング時のマスク 24のエッチングレートと、 S iN膜、 S iC膜、 S i 02膜の各エッチングレートとを、 クリーニング工程が有る場合と無い場合 について評価した結果、 下記表 1に示す結果が得られた。 And the etching rate of the mask 24 during Atsushingu, S iN film, S iC film, and the etching rate of the S i 0 2 film, results of evaluating and without cleaning step there, the results shown in Table 1 Obtained.
表 1  table 1
クリーニング有り クリーニング無し  With cleaning Without cleaning
マスク 205 (nm/分) 210 (nm/分)  Mask 205 (nm / min) 210 (nm / min)
S iN膜 2. 01 (nm/分) 18. 3 (nm/分)  S iN film 2.01 (nm / min) 18.3 (nm / min)
S i C膜 2. 51 (nm/分) 41. 7 (nm/分)  S i C film 2.51 (nm / min) 41.7 (nm / min)
S i 02膜 0. 45 (nm/分) 29. 7 (nm/分) 表 1に示す結果によれば、 S iN膜等バリア層 22が露出した状態でマスク 2 4を除去 (アツシング) する場合、 その前工程としてクリーニング工程が有るか 無いかで、 S iN膜等のバリア層 22の削れが大きく異なっていることが分かつ た。 実施例 2 S i 0 2 film 0.45 (nm / min) 29.7 (nm / min) According to the results shown in Table 1, when the mask 24 is removed (assisted) in a state where the barrier layer 22 such as the SiN film is exposed, whether the cleaning process is performed as a pre-process depends on whether the SiN film or the like is present or not. It was found that the shaving of the barrier layer 22 was significantly different. Example 2
本実施例では図 1に示すプラズマ処理装置を用いてクリーニング工程を省略し、 下記の諸条件でプラズマ処理、 即ち、 絶縁膜層 23のエッチング及びマスク 24 のアツシングをそれそれ行った。 そして、 アツシング工程で用いた N2と H2の流 量と、 マスクのエッチングレート及びバリア層 22に対するマスク 24に対する 選択比との関係を観た。 In this example, the cleaning process was omitted using the plasma processing apparatus shown in FIG. 1, and the plasma processing, that is, the etching of the insulating film layer 23 and the etching of the mask 24 were performed under the following conditions. Then, the relationship between the flow rates of N 2 and H 2 used in the assing process, the etching rate of the mask, and the selectivity of the barrier layer 22 to the mask 24 was observed.
( 1) エツチング工程の処理条件  (1) Processing conditions for the etching process
D RMの回転速度: 20 r P m  D RM rotation speed: 20 r P m
サセプ夕に印加する高周波電源の周波数: 13. 56MHz  Frequency of high frequency power applied to susceptor: 13.56MHz
サセプ夕に印加する高周波電力: 1700W  High frequency power applied to the susceptor: 1700W
サセプ夕温度: 40°C  Susep evening temperature: 40 ° C
処理容器内圧力: 150 mT o r r  Processing vessel pressure: 150 mT or r
エッチングガスの流量: C4F8=6 s ccm、 Ar=1000s ccm
Figure imgf000012_0001
Etching gas flow rate: C 4 F 8 = 6 s ccm, Ar = 1000 s ccm
Figure imgf000012_0001
(2) アツシング工程の処理条件  (2) Processing conditions for the asshing process
下記条件で処理ガスの流量比 (N2/ (N2 + H2) ) を変化させてマスク 24 を除去 (アツシング) した。 The mask 24 was removed (asshing) by changing the flow rate ratio of the processing gas (N 2 / (N 2 + H 2)) under the following conditions.
DRMの回転速度: 20 r pm  DRM rotation speed: 20 rpm
サセプ夕に印加する高周波電源の周波数: 13. 56MHz  Frequency of high frequency power applied to susceptor: 13.56MHz
サセプ夕に印加する高周波電力: 500W  High frequency power applied to the susceptor: 500W
サセプ夕温度: 40°C  Susep evening temperature: 40 ° C
処理容器内圧力: 50 mT 0 r r  Processing vessel pressure: 50 mT 0 r r
図 5に示す結果によれば、 バリア層 22に対するマスク 24の選択比は、 N2 ダ (N2 + H2) が 80%以上の領域で約 12以上、 90%以上の領域で約 19以 上と高水準であることが分かった。 また、 これらの領域ではマスク 24のアツシ ングレートも高いことが分かった。 実施例 3 According to the results shown in FIG. 5, the selection ratio of the mask 24 with respect to the barrier layer 22, N 2 Da (N 2 + H 2) of about 12 or more 80% or more areas, about 19 or less in 90% or more areas It turned out to be above and high levels. It was also found that the mask 24 had a high ashes rate in these regions. Example 3
本実施例では図 1に示すプラズマ処理装置を用いて実施例の場合 2と同様のェ ツチング工程により絶縁層 23をエッチングした後、 N2を含むガス (N2と H2 の混合ガス) に代えて NH3を用いて下記アツシング工程の諸処理条件によりマ スク 24のアツシングをそれそれ行った。 After etching the insulating layer 23 in the same E Tsuchingu step 2 in Example by using the plasma processing apparatus shown in FIG. 1 in this embodiment, the gas (mixed gas of N 2 and H 2) containing N 2 Instead, masking of mask 24 was carried out using NH 3 under various processing conditions of the following assuring step.
ァヅシング工程の処理条件  Processing conditions of the facing process
DRMの回転速度: 20 r pm  DRM rotation speed: 20 rpm
サセプ夕に印加する高周波電源の周波数: 13. 56MHz  Frequency of high frequency power applied to susceptor: 13.56MHz
サセプ夕に印加する高周波電力: 300W、 700Wの 2種類  High frequency power applied to susceptor: 300W, 700W
サセプ夕温度: 40°C  Susep evening temperature: 40 ° C
ァヅシングガス : NH3= 200、 500、 l O O O s ccmの 3種類 処理容器内圧力: 60、 100、 200、 400mTorrの 4種類 この結果、 処理容器内圧力が 6 OmT o r rの時には S i Nに対するフォトレ ジストの選択比 (マスクのエッチングレート /S i Nのエッチングレート) は 1 5〜21、 10 OmT 0 r rの時には 17〜23、 200 mT o r rの時には 3 0〜36、 40 OmT o r rの時には 45〜52といずれの場合にも選択比が高 かった o Processing gas: NH 3 = 200, 500, l OOO sccm Three types of processing vessel pressure: Four types of 60, 100, 200, 400 mTorr As a result, when the pressure inside the processing vessel is 6 OmTorr, the photo resist for SiN Selectivity (Mask etching rate / SiN etching rate) is 15 to 21, 10 OmT 0 rr 17 to 23, 200 mT orr 30 to 36, 40 OmT orr 45 to 52 And the selection ratio was high in each case o
以上、 本発明の構成によれば、 被処理体中の S i含有バリア層が露出した状態 でマスクを除去する場合、 その前に、 バリア層を露出する工程で生じた F含有副 生成物をクリーニング除去することで、 マスク除去時のバリア層の削れを抑制す ることができるマスクを除去するプラズマ処理方法を提供することができる。 また、 本発明の構成によれば、 クリーニングの代わりにバリア層を露出させる 工程で用いた処理容器と別の処理容器でマスクを除去することで、 マスク除去時 のバリァ層の削れを抑制することができるプラズマ処理方法を提供することがで さ 。  As described above, according to the configuration of the present invention, when the mask is removed in a state where the Si-containing barrier layer in the object is exposed, the F-containing by-product generated in the step of exposing the barrier layer is removed before removing the mask. By performing cleaning removal, it is possible to provide a plasma treatment method for removing a mask that can suppress the removal of the barrier layer at the time of removing the mask. Further, according to the configuration of the present invention, the mask is removed in a processing container different from the processing container used in the step of exposing the barrier layer instead of cleaning, so that the removal of the barrier layer when removing the mask is suppressed. It is possible to provide a plasma processing method that can be used.
また、 本発明の構成によれば、 被処理体中の S i含有バリア層が露出した状態 でマスクを除去する場合、 N 2を含むプラズマを用いることで、 バリア層に対し てマスクを選択的に除去することができるプラズマ処理方法を提供することがで きる。 According to the structure of the present invention, the Si-containing barrier layer in the object to be processed is exposed. When the mask is removed by using the plasma containing N 2 , a plasma processing method capable of selectively removing the mask from the barrier layer can be provided.

Claims

請 求 の 範 囲 The scope of the claims
1 . 処理容器内に導入した: Fを含むガスをプラズマ化し、 被処理体中の S i 含有膜上にある膜を、 この膜上にあるマスクの開口パターンを通してエッチング して上記 S i含有膜を露出させる工程と、 1. Introduced into the processing chamber: The gas containing F is turned into plasma, and the film on the Si-containing film in the object to be processed is etched through the opening pattern of the mask on this film to form the Si-containing film. Exposing the
上記処理容器内の物に付着した Fを含有する副生成物を除去する工程と、 上記処理容器内で上記マスクを除去する工程と  Removing the by-product containing F attached to the object in the processing container, and removing the mask in the processing container
を有することを特徴とするプラズマ処理方法。 A plasma processing method comprising:
2 . 処理容器内に導入した Fを含むガスをプラズマ化し、 被処理体中の S i 含有膜を、 この膜上にあるマスクの開口パターンを通して途中までエッチングす る工程と、  2. a step of converting the gas containing F introduced into the processing chamber into plasma and etching the Si-containing film in the object to be processed halfway through an opening pattern of a mask on the film;
上記処理容器内の物に付着した Fを含有する副生成物を除去する工程と、 上記処理容器内で上記マスクを除去する工程と  Removing the by-product containing F attached to the object in the processing container, and removing the mask in the processing container
を有することを特徴とするプラズマ処理方法。 A plasma processing method comprising:
3 . 上記処理容器内の物に付着した Fを含有する副生成物を除去する工程で は、 上記処理容器内に導入した◦ 2を含むガスをブラズマ化して上記副生成物を 除去する 3. In the step of removing the by-product containing F adhering to those of the processing container, removing the by-product was Burazuma the gas containing ◦ 2 introduced into the processing chamber
ことを特徴とする請求項 1または請求項 2に記載のブラズマ処理方法。 3. The plasma processing method according to claim 1 or 2, wherein:
4 . 上記マスクを除去する工程では、 N 2と H 2とを含むガスをプラズマ化し て上記マスクを除去する 4. In the step of removing the mask, the gas containing N 2 and H 2 is turned into plasma to remove the mask.
ことを特徴とする請求項 1または請求項 2に記載のプラズマ処理方法。 3. The plasma processing method according to claim 1, wherein:
5 . 処理容器内に導入した Fを含むガスをプラズマ化し、 被処理体中の S i 含有膜上にある膜を、 この膜上にあるマスクの開ロパタ一ンを通してエッチング し、 この S i含有膜を露出する工程と、  5. The gas containing F introduced into the processing chamber is turned into plasma, and the film on the Si-containing film in the object to be processed is etched through the open pattern of the mask on this film, and the Si-containing film is etched. Exposing the membrane;
上記処理容器とは別の処理容器の中で上記マスクを除去する工程とを有する ことを特徴とするブラズマ処理方法。  Removing the mask in a processing container separate from the processing container.
6 . 処理容器内に導入した Fを含むガスをプラズマ化し、 被処理体中の S i 含有膜を、 この膜上にあるマスクの開口パターンを通して途中までエッチングす る工程と、 上記処理容器とは別の処理容器の中で上記マスクを除去する工程と を有することを特徴とするブラズマ処理方法。 6. a process of turning the gas containing F introduced into the processing chamber into plasma, and etching the Si-containing film in the object to be processed halfway through an opening pattern of a mask on the film; Removing the mask in a processing container separate from the processing container.
7 . 処理容器内に導入した Fを含むガスをプラズマ化し、 被処理体中の S i 含有膜上にある膜を、 この膜上にあるマスクの開口パターンを通してエッチング し、 この S i含有膜を露出させる工程と、  7. The gas containing F introduced into the processing chamber is turned into plasma, and the film on the Si-containing film in the object to be processed is etched through the opening pattern of the mask on the film, and the Si-containing film is etched. Exposing,
上記処理容器内で N 2を含むガスをプラズマ化して上記マスクを除去する工程 と Removing the mask by converting the gas containing N 2 into plasma in the processing chamber;
を有することを特徴とするプラズマ処理方法。 A plasma processing method comprising:
8 . 処理容器内に導入した Fを含むガスをプラズマ化し、 被処理体中の S i 含有膜を、 この膜上にあるマスクの開口パターンを通して途中までェヅチングす る工程と、  8. a step of converting the gas containing F introduced into the processing chamber into plasma, and etching the Si-containing film in the object to be processed halfway through an opening pattern of a mask on the film;
上記処理容器内で N 2を含むガスをプラズマ化して上記マスクを除去する工程 と Removing the mask by converting the gas containing N 2 into plasma in the processing chamber;
を有することを特徴とするプラズマ処理方法。 A plasma processing method comprising:
9 . 上記 N 2を含むガスは、 更に H 2を含む 9. The above gas containing N 2 further contains H 2
ことを特徴とする請求項 7または請求項 8に記載のプラズマ処理方法。 9. The plasma processing method according to claim 7, wherein:
1 0 . N 2の流量と H 2の流量との和に対する H 2の流量は、 0 %を超え、 2 0 %以下である 1 0. The flow rate of H 2 to the sum of the flow rate of N 2 flow rate and H 2 is greater than 0% is 2 0% or less
ことを特徴とする請求項 9に記載のブラズマ処理方法。 10. The plasma processing method according to claim 9, wherein:
1 1 . 処理容器内に導入した Fを含むガスをプラズマ化し、 被処理体中の S i含有膜上にある膜を、 この膜上にあるマスクのパターンを通してエッチングし、 この S i含有膜を露出させる工程と、  1 1. The gas containing F introduced into the processing vessel is turned into plasma, and the film on the Si-containing film in the object to be processed is etched through the pattern of the mask on this film, and the Si-containing film is etched. Exposing,
上記処理容器内で N H 3を含むガスをプラズマ化して上記マスクを除去するェ 程と Removing the mask by converting the gas containing NH 3 into plasma in the processing chamber;
を有することを特徴とするプラズマ処理方法。 A plasma processing method comprising:
1 2 . 処理容器内に導入した Fを含むガスをプラズマ化し、 被処理体中の S i含有膜を、 この膜上にあるマスクの開口パターンを通して途中までエッチング する工程と、  12. A step of turning the gas containing F introduced into the processing chamber into plasma, and etching the Si-containing film in the object to be processed halfway through an opening pattern of a mask on the film;
上記処理容器内で N H 3を含むガスをプラズマ化して上記マスクを除去するェ 程と A method for converting the gas containing NH 3 into plasma in the processing chamber to remove the mask. About
を有することを特徴とするプラズマ処理方法。 A plasma processing method comprising:
13. 上記 S i含有膜は、 S iN、 Si02、 S i Cの少なくとも 1つを有 する膜である 13. the S i containing film is a film of at least 1 Tsuoyu of S iN, Si0 2, S i C
ことを特徴とする請求項 1〜請求項 12のいずれか 1項に記載のプラズマ処理方 法。 The plasma processing method according to any one of claims 1 to 12, wherein:
14. 上記 Fを含むガスは、 少なく とも CF4、 CHF3、 C H2 F 2, CHsFs C2F4、 C2F6、 C3F6、 CaFs^ C4F6、 C4F6、 C8F8のいずれ か 1つ以上を含むガスであることを特徴とする請求項 1〜請求項 12のいずれか 1項に記載のプラズマ処理方法。 14. Gas containing the F is at least CF 4, CHF 3, C H2 F 2, CHsFs C 2 F 4, C 2 F 6, C 3 F 6, CaFs ^ C 4 F 6, C 4 F 6, 13. The plasma processing method according to claim 1, wherein the gas is a gas containing at least one of C 8 F 8 .
15. 上記マスクは、 フォトレジストであることを特徴とする請求項 1〜請 求項 12のいずれか 1項に記載のプラズマ処理方法。  15. The plasma processing method according to any one of claims 1 to 12, wherein the mask is a photoresist.
PCT/JP2002/013861 2002-01-07 2002-12-27 Plasma treatment method WO2003058700A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2002359950A AU2002359950A1 (en) 2002-01-07 2002-12-27 Plasma treatment method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2002000933 2002-01-07
JP2002-933 2002-01-07
JP2002-104160 2002-04-05
JP2002104160A JP4326746B2 (en) 2002-01-07 2002-04-05 Plasma processing method

Publications (1)

Publication Number Publication Date
WO2003058700A1 true WO2003058700A1 (en) 2003-07-17

Family

ID=26625442

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2002/013861 WO2003058700A1 (en) 2002-01-07 2002-12-27 Plasma treatment method

Country Status (4)

Country Link
JP (1) JP4326746B2 (en)
AU (1) AU2002359950A1 (en)
TW (1) TWI270137B (en)
WO (1) WO2003058700A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100352014C (en) * 2004-06-08 2007-11-28 东京毅力科创株式会社 Etching method

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4889199B2 (en) * 2003-11-05 2012-03-07 株式会社アルバック Dry etching method for low dielectric constant interlayer insulating film
US20050101135A1 (en) * 2003-11-12 2005-05-12 Lam Research Corporation Minimizing the loss of barrier materials during photoresist stripping
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4672455B2 (en) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 Plasma etching apparatus, plasma etching method, and computer-readable storage medium
JP5036143B2 (en) * 2004-06-21 2012-09-26 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, and computer-readable storage medium
TWI574318B (en) * 2004-06-21 2017-03-11 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
JP4523351B2 (en) * 2004-07-14 2010-08-11 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP4616605B2 (en) * 2004-09-27 2011-01-19 東京エレクトロン株式会社 Plasma processing method, plasma processing apparatus, and storage medium
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7244313B1 (en) * 2006-03-24 2007-07-17 Applied Materials, Inc. Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9613825B2 (en) * 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11214356A (en) * 1998-01-29 1999-08-06 Sony Corp Dry etching method of silicon board
JP2000195830A (en) * 1998-12-28 2000-07-14 Mitsubishi Electric Corp Semiconductor equipment, method for cleaning the same, semiconductor device and its manufacture
EP1098189A2 (en) * 1999-11-05 2001-05-09 Axcelis Technologies, Inc. Method for detecting an end point for an oxygen free plasma process
JP2001135630A (en) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp Method of manufacturing semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11214356A (en) * 1998-01-29 1999-08-06 Sony Corp Dry etching method of silicon board
JP2000195830A (en) * 1998-12-28 2000-07-14 Mitsubishi Electric Corp Semiconductor equipment, method for cleaning the same, semiconductor device and its manufacture
EP1098189A2 (en) * 1999-11-05 2001-05-09 Axcelis Technologies, Inc. Method for detecting an end point for an oxygen free plasma process
JP2001135630A (en) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp Method of manufacturing semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100352014C (en) * 2004-06-08 2007-11-28 东京毅力科创株式会社 Etching method

Also Published As

Publication number Publication date
JP4326746B2 (en) 2009-09-09
TW200306625A (en) 2003-11-16
TWI270137B (en) 2007-01-01
JP2003264170A (en) 2003-09-19
AU2002359950A1 (en) 2003-07-24

Similar Documents

Publication Publication Date Title
US6136211A (en) Self-cleaning etch process
WO2003058700A1 (en) Plasma treatment method
US6872322B1 (en) Multiple stage process for cleaning process chambers
TWI383436B (en) Methods of sputtering a protective coating on a semiconductor substrate
TWI455203B (en) Hardmask open and etch profile control with hardmask open
TWI524423B (en) Sidewall protection of low-k material during etching and ashing
KR101029947B1 (en) A method for plasma etching performance enhancement
KR101476435B1 (en) Method for multi-layer resist plasma etch
US6660644B2 (en) Plasma etching methods
TWI671795B (en) Method for critical dimension reduction using conformal carbon films
JP4825911B2 (en) Plasma etching and photoresist strip process with defluorination and wafer defluorination steps in intervening chamber
JP2001526461A (en) Method for etching silicon oxynitride and inorganic anti-reflective coating
JP2002509353A (en) High-temperature etching method of pattern layer using organic mask laminate
JP2014090192A (en) Method for resist strip in presence of regular low k and/or porous low k dielectric materials
JP2004505464A (en) Method for removing organic residues from semiconductor structures
KR100586758B1 (en) In-situ plasma etch for tera hard mask materials
JP2003023072A (en) Manufacturing method for semiconductor device, and manufacturing apparatus for the semiconductor device
US6743725B1 (en) High selectivity SiC etch in integrated circuit fabrication
JP4471243B2 (en) Etching method and plasma processing method
JP4162448B2 (en) Method for reducing photoresist contamination from silicon carbide films
JP2001284327A (en) Dry etching method, semiconductor device and method of manufacturing the same
JP4372811B2 (en) Plasma processing method
JP2001237228A (en) Substrate treatment method, substrate treatment equipment and device manufacturing method
US6399509B1 (en) Defects reduction for a metal etcher
JP4378234B2 (en) Etching method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase