WO2003034492A2 - Apparatus and methods for semiconductor ic failure detection - Google Patents

Apparatus and methods for semiconductor ic failure detection Download PDF

Info

Publication number
WO2003034492A2
WO2003034492A2 PCT/US2002/033154 US0233154W WO03034492A2 WO 2003034492 A2 WO2003034492 A2 WO 2003034492A2 US 0233154 W US0233154 W US 0233154W WO 03034492 A2 WO03034492 A2 WO 03034492A2
Authority
WO
WIPO (PCT)
Prior art keywords
defect
test structure
recited
substructure
potential
Prior art date
Application number
PCT/US2002/033154
Other languages
French (fr)
Other versions
WO2003034492A8 (en
WO2003034492A3 (en
Inventor
Kurt H. Weiner
Gaurav Verma
Original Assignee
Kla-Tencor Technologies Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/265,051 external-priority patent/US6995393B2/en
Priority claimed from US10/264,625 external-priority patent/US7067335B2/en
Application filed by Kla-Tencor Technologies Corporation filed Critical Kla-Tencor Technologies Corporation
Priority to JP2003537118A priority Critical patent/JP4505225B2/en
Publication of WO2003034492A2 publication Critical patent/WO2003034492A2/en
Publication of WO2003034492A3 publication Critical patent/WO2003034492A3/en
Publication of WO2003034492A8 publication Critical patent/WO2003034492A8/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2644Adaptations of individual semiconductor devices to facilitate the testing thereof
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/302Contactless testing
    • G01R31/305Contactless testing using electron beams
    • G01R31/307Contactless testing using electron beams of integrated circuits

Definitions

  • This invention relates to methods and apparatus for detecting electrical defects in a semiconductor device or test structure having a plurality of features that are specifically designed to produce varying voltage potentials during a voltage contrast inspection. More particularly, it relates to voltage contrast techniques for detecting open and short type defects within the features of the circuit or test structure.
  • a voltage contrast inspection of a test structure is accomplished with a scanning electron microscope.
  • the voltage contrast technique operates on the basis that potential differences in the various locations of a sample under examination cause differences in secondary electron emission intensities when the sample is the target of an electron beam.
  • the potential state of the scanned area is acquired as a voltage contrast image such that a low potential portion of, for example, a wiring pattern might be displayed as bright (intensity of the secondary electron emission is high) and a high potential portion might be displayed as dark (lower intensity secondary electron emission).
  • the system may be configured such that a low potential portion might be displayed as dark and a high potential portion might be displayed as bright.
  • a secondary electron detector is used to measure the intensity of the secondary electron emission that originates from the path swept by the scanning electron beam. Images may then be generated from these electron emissions.
  • a defective portion can be identified from the potential state or appearance of the portion under inspection.
  • the portion under inspection is typically designed to produce a particular potential and resulting brightness level in an image during the voltage contrast test. Hence, when the scanned portion's potential and resulting image appearance differs significantly from the expected result, the scanned portion is classified a defect.
  • a test structure is designed to have alternating high and low potential conductive lines during a voltage contrast inspection.
  • the low potential lines are at ground potential, while the high potential lines are at a floating potential. If a line that is meant to remain floating shorts to an adjacent grounded line, both lines will now produce a low potential during a voltage contrast inspection.
  • conventional voltage contrast test structures have associated disadvantages. For example, at least two photolithography masking steps are required to fabricate these test structures. One masking step is required for creating the contacts to the substrate, which is grounded, and another masking step is required for fabricating the metal layer of the test structure which is being tested. The time required to fabricate a conventional voltage contrast test structure could be important in some applications, such as using the voltage contrast based test structures for quickly qualifying and/or monitoring a process tool's status.
  • an improved voltage contrast test structure are provided.
  • the test structure can be fabricated in a single photolithography step or with a single reticle or mask.
  • the test structure includes substructures which are designed to have a particular voltage potential pattern during a voltage contrast inspection. For example, when an electron beam is scanned across the test structure, an expected pattern of intensities are produced and imaged as a result of the expected voltage potentials of the test structure. However, when there is an unexpected pattern of voltage potentials present during the voltage contrast inspection, this indicates that a defect is present within the test structure.
  • a first set of substructures are coupled to a relatively large conductive structure, such as a large conductive pad, so that the first set of substructures charges more slowly than a second set of substructures that are not coupled to the relatively large conductive structure.
  • Mechanisms for fabricating such a test structure are also disclosed. Additionally, searching mechanisms for quickly locating defects within such a test structure, as well as other types of voltage contrast structures, during a voltage contrast inspection are also provided.
  • a test structure that is designed for voltage contrast inspection.
  • the test structure includes a first substructure having a plurality of floating conductive structures that are designed to charge to a first potential during a voltage contrast inspection and a second substructure that is coupled with a conductive structure having a size selected to cause the second substructure to charge to a second potential that differs from the first potential during the voltage contrast inspection.
  • the first and second substructure are formed in a single photolithography step.
  • the first and second substructure are not coupled to the substrate. In another aspect, the first and second substructure are both on a same level. In a specific embodiment, the second substructure includes a plurality of parallel strip segments that are each adjacent to a one of the conductive lines of the first substructure. In a further aspect, the second substructure forms a serpentine shape. In another implementation, the second substructure is designed to charge more slowly than the first substructure during a voltage contrast inspection. In another aspect, the second substructure is designed to have a different intensity level than the first substructure during a voltage contrast inspection. Preferably, the conductive structure of the second substructure has a size selected so that a partial open may be detected within the second substructure during the voltage contrast inspection. In an alternative embodiment, a method of fabricating one or more of the above described test structure embodiments is also disclosed. The test structure is designed for voltage contrast inspection.
  • the invention in another embodiment, pertains to a method of inspecting a test structure. Two or more initial portions of the test structure are initially scanned with a charged particle beam to determine whether there is a defect present within the test structure based on whether there is an unexpected pattern of voltage potentials present within the test structure as a result of the initial scanning. When a defect is present, one or more potential defect portions of the test structure are sequentially stepped to, and the one or more potential defect portions of the test structure are scanned with a charged particle beam to thereby locate the defect.
  • the stepping is in the form of a binary search pattern for locating the defect.
  • the operation of initially scanning two or more initial portions of the test structure with a charged particle beam to determine whether there is a defect present is accomplished by scanning a first end of the test structure to obtain a first potential for the first end, scanning a second end of the test structure to obtain a second potential for the second end, and determining that the test structure has an open defect when the first end potential differs from the second end potential.
  • the operation of stepping to one or more potential defect portions of the test structure and scanning the one or more potential defect portions of the test structure with a charged particle beam to thereby locate the defect includes a) stepping to a first current portion of the test structure and scanning the first current portion of the test structure for a defect, b) when the defect is not found and a transition in intensity occurs between the previous scan and current scan, stepping to a next portion of the test structure that is between the previous scan and the current scan, and c) when the defect is not found and a transition in intensity does not occur between the previous scan and current scan, stepping to a next portion of the test structure that is not between the previous scan and the current scan.
  • the next portion is halfway between the previous and current scan when the defect is not found and a transition in intensity occurs between the previous scan and current scan
  • the next position is halfway between the current scan and an end of the test structure that is not between the previous and current scan when defect is not found and a transition in intensity does not occur between the previous scan and current scan.
  • it is determined whether the current scan includes a transition in intensity point for the current scan that is not the defect and the stepping to the next portion operation is performed in a new direction when the current scan includes the transition in intensity point that is not the defect.
  • the new direction of the next scan is perpendicular to a direction of the previous scan.
  • operation (b) and (c) are repeated until the defect is found.
  • the defect can be an open defect, and the open defect is found when a transition in intensity occurs within the test structure itself.
  • the open defect can be a partially open defect.
  • the defect can be a short defect and the defect is found when a physical short is found within the test structure.
  • the invention pertains to an inspection system for detecting defects within a test structure.
  • the system includes a beam generator for generating an electron beam, a detector for detecting electrons, and a controller arranged to perform one or more of the above described methods.
  • Figure 1 is a diagrammatic top view representation of a voltage contrast image of a test structure in accordance with one embodiment of the present invention.
  • Figure 2A is a diagrammatic top view representation of a voltage contrast image of a test structure having an open defect in accordance with one embodiment of the present invention.
  • Figure 2B is a diagrammatic top view representation of a voltage contrast image of a test structure having a short defect in accordance with one embodiment of the present invention.
  • Figure 3A illustrates a binary search mechanism for locating an open type defect in a test structure in accordance with one embodiment of the present invention
  • Figure 3B is a flowchart illustrating a procedure for locating a defect in accordance with one embodiment of the present invention.
  • Figure 4 is a diagrammatic representation of a system in which the techniques of the present invention may be implemented.
  • one embodiment of the present invention provides voltage contrast based test structures that can be fabricated in a single photolithography masking step and/or can be used to detect partial opens.
  • the test structure contains at least two substructures. The two substructures are designed to produce different voltage contrast intensities without having to couple one of the substructures to ground (e.g., to the substrate).
  • Figure 1 is a diagrammatic top view representation of a voltage contrast image of a test structure 100 in accordance with one embodiment of the present invention. As shown, the test structure includes a first substructure 102 that is coupled with a large conductive pad 110 and a second substructure 104 formed from a plurality of floating conductive lines (e.g., 104a through 104g).
  • first substructure is described as being coupled with a large conductive pad, of course, any suitable conductive structure may be used that results in a different potential being produced in the first substructure during a voltage contrast scan, as compared in the second substructure. Since the first and second substructures are formed within the same conductive layer, the entire test structure may be fabricated with a single photolithography step. Photolithography techniques are well known to those skilled in the art.
  • the large conductive pad 110 of the first substructure has a size that is selected to result in a different potential and intensity (i.e., in secondary and backscattered electrons) when scanned with an electron beam, as compared with the second substructure. That is, the large conductive pad 110 is sized so that the first substructure to which it is coupled charges differently than the second substructure that is not coupled to the pad 110. Different amounts of secondary or backscattered electrons are emitted from the differently charged portions of the test structure in response to the incident electron beam.
  • the conductive lines 104a through 104g of the second substructure charge quickly and produce a dark image during the voltage contrast scan.
  • the pad 110 is sized so that the first substructure 102 charges more slowly than the conductive lines of the second substructure 104.
  • the conductive pad 110 and the first substructure 102 together have an area that is significantly larger than the area of a single one of the conductive lines (e.g., 104a) of the second test structure.
  • the size of the conductive pad 110 may be determined experimentally or by simulation. For example, increasing sizes may be used for various conductive pads of test structures to determine whether the test structure's two substructures produce different potentials during voltage contrast inspection.
  • the size of the conductive pad 110 may be selected to be equal to or greater than the smallest sized conductive pad 110 that experimentally produced differing potentials.
  • the substructure 102 that is connected to the large metal pad 110 has a potential that charges slowly compared to a structure that is not connected to a large metal pad.
  • the large metal pad acts as a virtual ground and appears bright, while the floating conductive lines of the second substructure 104 appear dark.
  • the substructure 102 will approach the same potential as the second substructure 104 that is not connected to the large metal pad.
  • the voltage contrast difference is transient in nature.
  • the test structure appears as alternating dark and light substructures when there is no defect present.
  • Figure 2A is a diagrammatic top view representation of a voltage contrast image of a test structure 200 having an open defect 204 in accordance with one embodiment of the present invention.
  • the test structure 200 of Figure 2 is similar to the test structure 100 of Figure 1, except that the test structure 200 of Figure 2 has an open defect 212. More specifically, the test structure 200 of Figure 2 includes a first substructure 202 that has the open defect 212 and a second substructure 204 that does not include a defect.
  • the first substructure includes a first portion 202a that remains coupled to a conductive pad 210 and a second portion 202b that is not coupled to the conductive pad 210.
  • transient in nature, one can detect an open defect within the first substructure 202.
  • the portion 202a of the first substructure connected to the conductive pad 210 has a different potential then the portion 202b of the substructure 202 that is not connected to the large conductive pad 110.
  • the structure displays voltage contrast at the point of the physical break 212.
  • the test structure 200 does not have alternating dark and light substructures as expected.
  • the transient potential difference between the two different portions 202a and 202b of the first substructure may be characterized as an open defect.
  • Partial opens increase the resistance of the metal path. Consequently, under electron beam scanning, the paths to the pad 210 that contain a partial open will develop transient potential differences compared to the paths to the pad 210 which do not have a partial open defect. This transient potential difference can be detected as a transient voltage contrast signal. This transient potential difference may be determined to be a partial open defect.
  • the specific location of such defect may then be determined by determining where the first substructure transitions between different potentials.
  • a second scan may be required, for example, along a direction perpendicular to the first scan to determine the defect's specific location. Any suitable techniques for determining a defect's presence and such defect's specific position may be utilized.
  • other types of test structures may be easily modified to implement the present invention. That is, any suitable voltage contrast type test structure may be modified so that a first substructure is coupled with a relatively large conductive structure, instead of being coupled to the substrate.
  • FIG. 2B is a diagrammatic top view representation of a voltage contrast image of a test structure 250 having a short defect 262 in accordance with one embodiment of the present invention.
  • the test structure includes a serpentine substructure 252 coupled with a large conductive pad 260.
  • the test structure also includes a plurality of conductive line substructures 254, which are designed to remain floating or not coupled to the large conductive pad 560.
  • a short defect 262 has occurred between the serpentine substructures 252 and the conductive line 254c.
  • the substructures are expected to have alternating potentials which result in alternating bright and dark lines.
  • the conductive line 254c has the same potential and brightness level as adjacent strips of the serpentine substructure 252.
  • the short 262 may be found by scanning along direction 258.
  • a defect's position may be determined by performing a search that minimizes search time.
  • a defect may be located by stepping to various locations on the test structure, rather than continuously scanning along, for example, the entire length of the test structure.
  • the test structure is scanned by the electron beam (e.g., rastered).
  • This "accelerated search" technique may be implemented on any suitable test structure, in addition to the above described test structures with large conductive structures.
  • One example of such an accelerated search is a binary search.
  • any suitable search step may be utilized to quickly "step" to the defect's location in one or more steps.
  • the electron beam may be moved relative to the test structure in predefined incremental distances.
  • Figure 3A illustrates a binary search mechanism for locating an open type defect in a test structure 300 in accordance with one embodiment of the present invention.
  • Figure 3B is a flowchart illustrating a procedure for locating a defect in accordance with one embodiment of the present invention.
  • Figures 3 A and 3B will be described in conjunction.
  • the test structure is grounded, for example, at target pad 302.
  • it is determined whether an open defect is present with the test structure 300 by scanning the structure with a charged particle beam in operations 352 and 354.
  • the potential of target pad 302 is compared with the potential of reference pad 308 during a voltage contrast inspection.
  • the pads differ in potential, it is determined that an open defect is present within the test structure 300.
  • the pads have the same potential, it is determined that there is no defect present.
  • the procedure 350 ends.
  • the charged particle beam is stepped relative to the structure to a first portion of the structure to scan for an open defect in operation 356. For example, it is determined whether there is a light-to-dark intensity transition point in the test structure itself, which indicates an open defect's location.
  • a binary search for the defect is first performed along the x direction. Although the test structure is scanned along the top portion, any portion of the test structure may be scanned during the search. The electron beam is moved relative to the sample to location "1", which is in the middle of the test structure 300 along the x direction. It is then determined whether an open defect has been found in operation 358.
  • the defect it is determined whether the defect has been found by determining whether the transition point in the test structure itself has been found. If the defect has been found, the procedure ends. If the defect has not been found, it is then determined whether an intensity transition between dark and bright has occurred in the scan direction between the previous and current scan in operation 360. This determination is based on whether a transition point within the "scan direction" has been found, not whether the transition point has been found in the test structure itself.
  • the test structure may include a dark portion immediately followed by a light portion along the x direction, but the transition point within the test structure itself has not been found yet.
  • the transition point in the scan direction has not been found yet, it is then determined whether there is a transition in the test structure between the previous and current scan in operation 362. For instance, it is determined whether there is a transition between target pad 302 and current scan location 1. If it is determined that there is a transition between the target pad 302 and location 1, then it is determined that the defect is to the left of or "behind" the search location 1. In other words, it is determined that the defect is between the previous (e.g., pad 302) and current scan (e.g., location 1). The charged particle beam is then stepped to "behind” the current scan to a second portion of the test structure and this second portion is then scanned for an open defect in operation 364. Otherwise, the charged particle beam is then stepped in "front of the current scan to a second portion of the test structure and this second portion is then scanned for an open defect in operation 366.
  • the terms "behind” and "in front of are used herein as a position relative to the current stepping direction. For example, if the beam has stepped from the test pad 302 to location 1 in a +x direction, the beam moves to a position "behind” location 1 when it is moved in the -x direction. In contrast, the beam moves to a position "in front of location 1 when it is moved in the +x direction, which is in the same direction as the current stepping direction defined by moving the beam from the pad 302 to location 1.
  • the electron beam then moves relative to the test structure to a location 2 that is halfway between location 1 and the rightmost end of test structure (operation 364).
  • the procedure then repeats operation 358 to determine whether the defect has been found. In this example, it is determined that the defect has not been found. It is then determined that the transition point in the scan direction has not been found in operation 360. It is then determined that the transition is between the current scan and the previous scan in operation 362. As shown, a transition in brightness level has occurred between locations 1 and 2.
  • the electron beam then moves relative to the sample to a location 3 which is to the left of location 2 and halfway between locations 1 and 2 to scan for an open defect (operation 364) at location 3.
  • the electron beam then moves to location 4 which is to the left or "in front" of position 3 and halfway between locations 3 and 1 (operation 362).
  • the transition in the x direction is also found at location 4.
  • the electron beam is then moved relative to the test structure in a binary search along the y direction to find the location of the defect in operation 368. As shown, the electron beam is first moved relative to the test structure halfway down the length of the test structure to location 5. Since the brightness has transitioned between bright and dark from location 4 to 5, the next location 6 is halfway between locations 5 and 4. The actual transition point in the test structure itself is found at location 6. It may then be determined that the transition point is the location of the open defect.
  • a test structure may be scanned continuously in a first direction to detect the presence of a defect.
  • a charged particle beam is scanned continuously in direction 108. It is then determined whether there are alternating dark and bright of intensity levels for the conductive strips of the test structure. An alternating pattern of intensity pattern indicates that there is no defect present. However, when two adjacent strips have a same brightness level, it is determined that there is a defect present within on the adjacent strips having the same brightness level.
  • the defect's location may then be determined using a stepping search algorithm, such as a binary search, along direction 106 as described above with relation to Figures 3A and 3B.
  • An open defect's position is found when there is a transition in a strip from dark to light intensity value, or visa versa.
  • a short defect is found when the physical short is found between the two strips.
  • Figure 4 is a diagrammatic representation of a scanning electron microscope (SEM) system in which the techniques of the present invention may be implemented.
  • SEM scanning electron microscope
  • the detail in Figure 4 is provided for illustrative purposes.
  • Figure 4 shows the operation of a particle beam with a continuously moving stage.
  • the test structures and product structures and many of the inspection techniques described herein are also useful in the context of other testing devices, including particle beams operated in step and repeat mode.
  • the beam may be moved by deflecting the field of view with an electromagnetic lens.
  • the beam column to be moved with respect to the stage.
  • Sample 1057 can be secured automatically beneath a particle beam 1020.
  • the particle beam 1020 can be a particle beam such as an electron beam.
  • the sample handler 1034 can be configured to automatically orient the sample on stage 1024.
  • the stage 1024 can be configured to have six degrees of freedom including movement and rotation along the x-axis, y-axis, and z-axis.
  • the stage 1024 is aligned relative to the particle beam 1020 so that the x-directional motion of the stage is corresponds to an axis that is perpendicular to a longitudinal axis of inspected conductive lines. Fine alignment of the sample can be achieved automatically or with the assistance of a system operator.
  • stage 1024 during the analysis of sample 1057 can be controlled by stage servo 1026 and interferometers 1028. While the stage 1024 is moving in the x-direction, the inducer 1020 can be repeatedly deflected back and forth in the y direction. According to various embodiments, the inducer 1020 is moving back and forth at approximately 100 kHz. Alternatively, a relatively wide beam may be used to scan across a particular swath or area of the test structure without rastering of the beam.
  • a detector 1032 can also be aligned alongside the particle beam 1020 to allow further defect detection capabilities.
  • the detector 1032 as well as other elements can be controlled using a controller 1050.
  • Controller 1050 may include a variety of processors, storage elements, and input and output devices.
  • the controller may be configured to implement the defect detection and location techniques of the present invention.
  • the controller may also be configured to correlate the coordinates of the electron beam with respect to the sample with coordinates on the sample to thereby determine, for example, a location of a determined defect.
  • the controller is a computer system having a processor and one or more memory devices.
  • controller may employ one or more memories or memory modules configured to store data, program instructions for the general-purpose inspection operations and/or the inventive techniques described herein.
  • the program instructions may control the operation of an operating system and/or one or more applications, for example.
  • the memory or memories may also be configured to store images of scanned samples, reference images, defect classification and position data, as well as values for particular operating parameters of the inspection system.
  • the present invention relates to machine readable media that include program instructions, state information, etc. for performing various operations described herein.
  • machine-readable media include, but are not limited to, magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROM disks; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and perform program instructions, such as read-only memory devices (ROM) and random access memory (RAM).
  • ROM read-only memory devices
  • RAM random access memory
  • the invention may also be embodied in a carrier wave travelling over an appropriate medium such as airwaves, optical lines, electric lines, etc.
  • program instructions include both machine code, such as produced by a compiler, and files containing higher level code that may be executed by the computer using an interpreter.

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

An improved voltage contrast test structure (100, 200, 250, 300) is disclosed. In general terms, the test structure can be fabricated in a single photolithography step or with a single reticle or mask. The test structure includes substructures (102, 104, 202, 204, 252, 254, 302, 304) which are designed to have a particular voltage potential pattern during a voltage contrast inspection. For example, when an electron beam is scanned across the test structure, an expected pattern of intensities are produced and imaged as a result of the expected voltage potentials of the test structure. However, when there is an unexpected pattern of voltage potentials present during the voltage contrast inspection, this indicates that a defect is present within the test structure. To produce different voltage potentials, a first set of substructures (102, 202, 252, 302) are coupled to a relatively large conductive structure (110, 210, 260, 302, 308), such as a large conductive pad, so that the first set of substructures charges more slowly than a second set of substructures that are not coupled to the relatively large conductive structure. Mechanisms for fabricating such a test structure are also disclosed. Additionally, searching mechanisms for quickly locating defects within such a test structure, as well as other types of voltage contrast structures, during a voltage contrast inspection are also provided.

Description

APPARATUS AND METHODS FOR SEMICONDUCTOR IC
FAILURE DETECTION
BACKGROUND OF THE INVENTION
This invention relates to methods and apparatus for detecting electrical defects in a semiconductor device or test structure having a plurality of features that are specifically designed to produce varying voltage potentials during a voltage contrast inspection. More particularly, it relates to voltage contrast techniques for detecting open and short type defects within the features of the circuit or test structure.
A voltage contrast inspection of a test structure is accomplished with a scanning electron microscope. The voltage contrast technique operates on the basis that potential differences in the various locations of a sample under examination cause differences in secondary electron emission intensities when the sample is the target of an electron beam. The potential state of the scanned area is acquired as a voltage contrast image such that a low potential portion of, for example, a wiring pattern might be displayed as bright (intensity of the secondary electron emission is high) and a high potential portion might be displayed as dark (lower intensity secondary electron emission). Alternatively, the system may be configured such that a low potential portion might be displayed as dark and a high potential portion might be displayed as bright.
A secondary electron detector is used to measure the intensity of the secondary electron emission that originates from the path swept by the scanning electron beam. Images may then be generated from these electron emissions. A defective portion can be identified from the potential state or appearance of the portion under inspection. The portion under inspection is typically designed to produce a particular potential and resulting brightness level in an image during the voltage contrast test. Hence, when the scanned portion's potential and resulting image appearance differs significantly from the expected result, the scanned portion is classified a defect. Several inventive test structures designed by the present assignee are disclosed in co-pending U.S. Patent Application Number 09/648,380 (Attorney Docket No. KLA1P016B), entitled TEST STRUCTURES AND METHODS FOR INSPECTION OF SEMICONDUCTOR INTEGRATED CIRCUITS, filed 25 August 2000, by Akella V.S. Satya et al., which application is incorporated herein by reference in its entirety. In one embodiment, a test structure is designed to have alternating high and low potential conductive lines during a voltage contrast inspection. In one inspection application, the low potential lines are at ground potential, while the high potential lines are at a floating potential. If a line that is meant to remain floating shorts to an adjacent grounded line, both lines will now produce a low potential during a voltage contrast inspection. If there is an open defect present within a line that is supposedly coupled to ground, this open will cause a portion of the line to be left at a floating potential to thereby produce a high potential during the voltage contrast inspection. Both open and short defects causes two adjacent lines to have a same potential during the voltage inspection.
Unfortunately, conventional voltage contrast test structures have associated disadvantages. For example, at least two photolithography masking steps are required to fabricate these test structures. One masking step is required for creating the contacts to the substrate, which is grounded, and another masking step is required for fabricating the metal layer of the test structure which is being tested. The time required to fabricate a conventional voltage contrast test structure could be important in some applications, such as using the voltage contrast based test structures for quickly qualifying and/or monitoring a process tool's status.
Another more significant deficiency of the conventional voltage contrast test structures is that they can only detect hard opens and shorts. This becomes an extremely significant issue, for example, in Cu metallization processing because a significant percentage of the defects are partial opens. These partial opens, in vias or in metal lines, are a reliability concern and also degrade the parametric performance of the semiconductor chip.
Accordingly, there is a need for improved test structures which may be quickly fabricated. Additionally, there is a need for improved test structures in which partial open and short defects may be detected. SUMMARY
In one embodiment of the present invention, an improved voltage contrast test structure are provided. In general terms, the test structure can be fabricated in a single photolithography step or with a single reticle or mask. The test structure includes substructures which are designed to have a particular voltage potential pattern during a voltage contrast inspection. For example, when an electron beam is scanned across the test structure, an expected pattern of intensities are produced and imaged as a result of the expected voltage potentials of the test structure. However, when there is an unexpected pattern of voltage potentials present during the voltage contrast inspection, this indicates that a defect is present within the test structure. To produce different voltage potentials, a first set of substructures are coupled to a relatively large conductive structure, such as a large conductive pad, so that the first set of substructures charges more slowly than a second set of substructures that are not coupled to the relatively large conductive structure. Mechanisms for fabricating such a test structure are also disclosed. Additionally, searching mechanisms for quickly locating defects within such a test structure, as well as other types of voltage contrast structures, during a voltage contrast inspection are also provided.
In one embodiment, a test structure that is designed for voltage contrast inspection is disclosed. The test structure includes a first substructure having a plurality of floating conductive structures that are designed to charge to a first potential during a voltage contrast inspection and a second substructure that is coupled with a conductive structure having a size selected to cause the second substructure to charge to a second potential that differs from the first potential during the voltage contrast inspection. In one preferred embodiment, the first and second substructure are formed in a single photolithography step.
In one implementation, the first and second substructure are not coupled to the substrate. In another aspect, the first and second substructure are both on a same level. In a specific embodiment, the second substructure includes a plurality of parallel strip segments that are each adjacent to a one of the conductive lines of the first substructure. In a further aspect, the second substructure forms a serpentine shape. In another implementation, the second substructure is designed to charge more slowly than the first substructure during a voltage contrast inspection. In another aspect, the second substructure is designed to have a different intensity level than the first substructure during a voltage contrast inspection. Preferably, the conductive structure of the second substructure has a size selected so that a partial open may be detected within the second substructure during the voltage contrast inspection. In an alternative embodiment, a method of fabricating one or more of the above described test structure embodiments is also disclosed. The test structure is designed for voltage contrast inspection.
In another embodiment, the invention pertains to a method of inspecting a test structure. Two or more initial portions of the test structure are initially scanned with a charged particle beam to determine whether there is a defect present within the test structure based on whether there is an unexpected pattern of voltage potentials present within the test structure as a result of the initial scanning. When a defect is present, one or more potential defect portions of the test structure are sequentially stepped to, and the one or more potential defect portions of the test structure are scanned with a charged particle beam to thereby locate the defect.
In one implementation, the stepping is in the form of a binary search pattern for locating the defect. In another implementation, the operation of initially scanning two or more initial portions of the test structure with a charged particle beam to determine whether there is a defect present is accomplished by scanning a first end of the test structure to obtain a first potential for the first end, scanning a second end of the test structure to obtain a second potential for the second end, and determining that the test structure has an open defect when the first end potential differs from the second end potential.
In another aspect, the operation of stepping to one or more potential defect portions of the test structure and scanning the one or more potential defect portions of the test structure with a charged particle beam to thereby locate the defect includes a) stepping to a first current portion of the test structure and scanning the first current portion of the test structure for a defect, b) when the defect is not found and a transition in intensity occurs between the previous scan and current scan, stepping to a next portion of the test structure that is between the previous scan and the current scan, and c) when the defect is not found and a transition in intensity does not occur between the previous scan and current scan, stepping to a next portion of the test structure that is not between the previous scan and the current scan. In one aspect, the next portion is halfway between the previous and current scan when the defect is not found and a transition in intensity occurs between the previous scan and current scan, and the next position is halfway between the current scan and an end of the test structure that is not between the previous and current scan when defect is not found and a transition in intensity does not occur between the previous scan and current scan. In a further aspect, it is determined whether the current scan includes a transition in intensity point for the current scan that is not the defect, and the stepping to the next portion operation is performed in a new direction when the current scan includes the transition in intensity point that is not the defect. In one implementation, the new direction of the next scan is perpendicular to a direction of the previous scan. In a specific aspect, operation (b) and (c) are repeated until the defect is found.
In one embodiment, the defect can be an open defect, and the open defect is found when a transition in intensity occurs within the test structure itself. In a further embodiment, the open defect can be a partially open defect. In another implementation, the defect can be a short defect and the defect is found when a physical short is found within the test structure.
In another embodiment, the invention pertains to an inspection system for detecting defects within a test structure. The system includes a beam generator for generating an electron beam, a detector for detecting electrons, and a controller arranged to perform one or more of the above described methods.
These and other features of the present invention will be presented in more detail in the following specification of the invention and the accompanying figures which illustrate by way of example the principles of the invention.
BRIEF DESCRIPTION OF THE DRAWINGS
Figure 1 is a diagrammatic top view representation of a voltage contrast image of a test structure in accordance with one embodiment of the present invention. Figure 2A is a diagrammatic top view representation of a voltage contrast image of a test structure having an open defect in accordance with one embodiment of the present invention.
Figure 2B is a diagrammatic top view representation of a voltage contrast image of a test structure having a short defect in accordance with one embodiment of the present invention.
Figure 3A illustrates a binary search mechanism for locating an open type defect in a test structure in accordance with one embodiment of the present invention
Figure 3B is a flowchart illustrating a procedure for locating a defect in accordance with one embodiment of the present invention.
Figure 4 is a diagrammatic representation of a system in which the techniques of the present invention may be implemented.
DETAILED DESCRIPTION OF SPECIFIC EMBODIMENTS
Reference will now be made in detail to a specific embodiment of the invention. An example of this embodiment is illustrated in the accompanying drawings. While the invention will be described in conjunction with this specific embodiment, it will be understood that it is not intended to limit the invention to one embodiment. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.
In general terms, one embodiment of the present invention provides voltage contrast based test structures that can be fabricated in a single photolithography masking step and/or can be used to detect partial opens. In one implementation, the test structure contains at least two substructures. The two substructures are designed to produce different voltage contrast intensities without having to couple one of the substructures to ground (e.g., to the substrate). Figure 1 is a diagrammatic top view representation of a voltage contrast image of a test structure 100 in accordance with one embodiment of the present invention. As shown, the test structure includes a first substructure 102 that is coupled with a large conductive pad 110 and a second substructure 104 formed from a plurality of floating conductive lines (e.g., 104a through 104g). Although the first substructure is described as being coupled with a large conductive pad, of course, any suitable conductive structure may be used that results in a different potential being produced in the first substructure during a voltage contrast scan, as compared in the second substructure. Since the first and second substructures are formed within the same conductive layer, the entire test structure may be fabricated with a single photolithography step. Photolithography techniques are well known to those skilled in the art.
The large conductive pad 110 of the first substructure has a size that is selected to result in a different potential and intensity (i.e., in secondary and backscattered electrons) when scanned with an electron beam, as compared with the second substructure. That is, the large conductive pad 110 is sized so that the first substructure to which it is coupled charges differently than the second substructure that is not coupled to the pad 110. Different amounts of secondary or backscattered electrons are emitted from the differently charged portions of the test structure in response to the incident electron beam. In the illustrated embodiment, the conductive lines 104a through 104g of the second substructure charge quickly and produce a dark image during the voltage contrast scan. In this case, the pad 110 is sized so that the first substructure 102 charges more slowly than the conductive lines of the second substructure 104. Thus, the conductive pad 110 and the first substructure 102 together have an area that is significantly larger than the area of a single one of the conductive lines (e.g., 104a) of the second test structure. The size of the conductive pad 110 may be determined experimentally or by simulation. For example, increasing sizes may be used for various conductive pads of test structures to determine whether the test structure's two substructures produce different potentials during voltage contrast inspection. Thus, the size of the conductive pad 110 may be selected to be equal to or greater than the smallest sized conductive pad 110 that experimentally produced differing potentials. As an electron beam is passed over these substructures 102 and 104 (e.g., in direction 106), the substructure 102 that is connected to the large metal pad 110 has a potential that charges slowly compared to a structure that is not connected to a large metal pad. Thus, the large metal pad acts as a virtual ground and appears bright, while the floating conductive lines of the second substructure 104 appear dark. After the beam scans the substructure 102 for a period of time, the substructure 102 will approach the same potential as the second substructure 104 that is not connected to the large metal pad. Hence, the voltage contrast difference is transient in nature. However, as an electron beam is scanned initially, for example, in direction 206 along width 208, the test structure appears as alternating dark and light substructures when there is no defect present.
Figure 2A is a diagrammatic top view representation of a voltage contrast image of a test structure 200 having an open defect 204 in accordance with one embodiment of the present invention. The test structure 200 of Figure 2 is similar to the test structure 100 of Figure 1, except that the test structure 200 of Figure 2 has an open defect 212. More specifically, the test structure 200 of Figure 2 includes a first substructure 202 that has the open defect 212 and a second substructure 204 that does not include a defect. The first substructure includes a first portion 202a that remains coupled to a conductive pad 210 and a second portion 202b that is not coupled to the conductive pad 210.
Although transient in nature, one can detect an open defect within the first substructure 202. During a scan the portion 202a of the first substructure connected to the conductive pad 210 has a different potential then the portion 202b of the substructure 202 that is not connected to the large conductive pad 110. Hence, the structure displays voltage contrast at the point of the physical break 212. In other words, when an electron beam is scanned, for example, in direction 206 along width 208, the test structure 200 does not have alternating dark and light substructures as expected. The transient potential difference between the two different portions 202a and 202b of the first substructure may be characterized as an open defect.
The same principle can be employed for detecting partial opens with the first substructure 202. Partial opens increase the resistance of the metal path. Consequently, under electron beam scanning, the paths to the pad 210 that contain a partial open will develop transient potential differences compared to the paths to the pad 210 which do not have a partial open defect. This transient potential difference can be detected as a transient voltage contrast signal. This transient potential difference may be determined to be a partial open defect.
If the initial scan width 208 includes the defect, the specific location of such defect may then be determined by determining where the first substructure transitions between different potentials. Alternatively, if the initial scan width did not contain the defect, a second scan may be required, for example, along a direction perpendicular to the first scan to determine the defect's specific location. Any suitable techniques for determining a defect's presence and such defect's specific position may be utilized. Additionally, other types of test structures may be easily modified to implement the present invention. That is, any suitable voltage contrast type test structure may be modified so that a first substructure is coupled with a relatively large conductive structure, instead of being coupled to the substrate.
Figure 2B is a diagrammatic top view representation of a voltage contrast image of a test structure 250 having a short defect 262 in accordance with one embodiment of the present invention. As shown, the test structure includes a serpentine substructure 252 coupled with a large conductive pad 260. The test structure also includes a plurality of conductive line substructures 254, which are designed to remain floating or not coupled to the large conductive pad 560. However, a short defect 262 has occurred between the serpentine substructures 252 and the conductive line 254c. During a voltage contrast scan in direction 256, the substructures are expected to have alternating potentials which result in alternating bright and dark lines. However, when two adjacent lines have a same potential, it is determined that there is a defect in one of the substructures. As shown, the conductive line 254c has the same potential and brightness level as adjacent strips of the serpentine substructure 252. The short 262 may be found by scanning along direction 258.
In particular types of voltage contrast test structures, a defect's position may be determined by performing a search that minimizes search time. In one embodiment of the present invention, a defect may be located by stepping to various locations on the test structure, rather than continuously scanning along, for example, the entire length of the test structure. At each step location, the test structure is scanned by the electron beam (e.g., rastered). This "accelerated search" technique may be implemented on any suitable test structure, in addition to the above described test structures with large conductive structures. One example of such an accelerated search is a binary search. Of course, any suitable search step may be utilized to quickly "step" to the defect's location in one or more steps. For example, the electron beam may be moved relative to the test structure in predefined incremental distances.
Figure 3A illustrates a binary search mechanism for locating an open type defect in a test structure 300 in accordance with one embodiment of the present invention. Figure 3B is a flowchart illustrating a procedure for locating a defect in accordance with one embodiment of the present invention. Figures 3 A and 3B will be described in conjunction. The test structure is grounded, for example, at target pad 302. Initially, it is determined whether an open defect is present with the test structure 300 by scanning the structure with a charged particle beam in operations 352 and 354. For example, the potential of target pad 302 is compared with the potential of reference pad 308 during a voltage contrast inspection. When the pads differ in potential, it is determined that an open defect is present within the test structure 300. When the pads have the same potential, it is determined that there is no defect present.
When it is determined that the test structure has no defects present, the procedure 350 ends. When a defect's presence is found, the charged particle beam is stepped relative to the structure to a first portion of the structure to scan for an open defect in operation 356. For example, it is determined whether there is a light-to-dark intensity transition point in the test structure itself, which indicates an open defect's location. In the illustrated embodiment of Figure 3 A, a binary search for the defect is first performed along the x direction. Although the test structure is scanned along the top portion, any portion of the test structure may be scanned during the search. The electron beam is moved relative to the sample to location "1", which is in the middle of the test structure 300 along the x direction. It is then determined whether an open defect has been found in operation 358. In the illustrated embodiment, it is determined whether the defect has been found by determining whether the transition point in the test structure itself has been found. If the defect has been found, the procedure ends. If the defect has not been found, it is then determined whether an intensity transition between dark and bright has occurred in the scan direction between the previous and current scan in operation 360. This determination is based on whether a transition point within the "scan direction" has been found, not whether the transition point has been found in the test structure itself. For example, the test structure may include a dark portion immediately followed by a light portion along the x direction, but the transition point within the test structure itself has not been found yet.
If the transition point in the scan direction has not been found yet, it is then determined whether there is a transition in the test structure between the previous and current scan in operation 362. For instance, it is determined whether there is a transition between target pad 302 and current scan location 1. If it is determined that there is a transition between the target pad 302 and location 1, then it is determined that the defect is to the left of or "behind" the search location 1. In other words, it is determined that the defect is between the previous (e.g., pad 302) and current scan (e.g., location 1). The charged particle beam is then stepped to "behind" the current scan to a second portion of the test structure and this second portion is then scanned for an open defect in operation 364. Otherwise, the charged particle beam is then stepped in "front of the current scan to a second portion of the test structure and this second portion is then scanned for an open defect in operation 366.
The terms "behind" and "in front of are used herein as a position relative to the current stepping direction. For example, if the beam has stepped from the test pad 302 to location 1 in a +x direction, the beam moves to a position "behind" location 1 when it is moved in the -x direction. In contrast, the beam moves to a position "in front of location 1 when it is moved in the +x direction, which is in the same direction as the current stepping direction defined by moving the beam from the pad 302 to location 1.
Since the transition does not occur between locations 1 and the target pad, the electron beam then moves relative to the test structure to a location 2 that is halfway between location 1 and the rightmost end of test structure (operation 364). The procedure then repeats operation 358 to determine whether the defect has been found. In this example, it is determined that the defect has not been found. It is then determined that the transition point in the scan direction has not been found in operation 360. It is then determined that the transition is between the current scan and the previous scan in operation 362. As shown, a transition in brightness level has occurred between locations 1 and 2. The electron beam then moves relative to the sample to a location 3 which is to the left of location 2 and halfway between locations 1 and 2 to scan for an open defect (operation 364) at location 3. Since no defect is found at location 3 and it is determined that the transition is between location 3 and 1 (i.e., not between the previous and current scan), the electron beam then moves to location 4 which is to the left or "in front" of position 3 and halfway between locations 3 and 1 (operation 362). The transition in the x direction is also found at location 4.
After the brightness transition is found in a first direction, the electron beam is then moved relative to the test structure in a binary search along the y direction to find the location of the defect in operation 368. As shown, the electron beam is first moved relative to the test structure halfway down the length of the test structure to location 5. Since the brightness has transitioned between bright and dark from location 4 to 5, the next location 6 is halfway between locations 5 and 4. The actual transition point in the test structure itself is found at location 6. It may then be determined that the transition point is the location of the open defect.
In an alternative embodiment, a test structure may be scanned continuously in a first direction to detect the presence of a defect. In the test structure of Figure 1 , a charged particle beam is scanned continuously in direction 108. It is then determined whether there are alternating dark and bright of intensity levels for the conductive strips of the test structure. An alternating pattern of intensity pattern indicates that there is no defect present. However, when two adjacent strips have a same brightness level, it is determined that there is a defect present within on the adjacent strips having the same brightness level. The defect's location may then be determined using a stepping search algorithm, such as a binary search, along direction 106 as described above with relation to Figures 3A and 3B. An open defect's position is found when there is a transition in a strip from dark to light intensity value, or visa versa. A short defect is found when the physical short is found between the two strips.
Figure 4 is a diagrammatic representation of a scanning electron microscope (SEM) system in which the techniques of the present invention may be implemented. The detail in Figure 4 is provided for illustrative purposes. One skilled in the art would understand that variations to the system shown in Figure 4 fall within the scope of the present invention. For example, Figure 4 shows the operation of a particle beam with a continuously moving stage. However, the test structures and product structures and many of the inspection techniques described herein are also useful in the context of other testing devices, including particle beams operated in step and repeat mode. As an alternative to moving the stage with respect to the beam, the beam may be moved by deflecting the field of view with an electromagnetic lens. Alternatively, the beam column to be moved with respect to the stage.
Sample 1057 can be secured automatically beneath a particle beam 1020. The particle beam 1020 can be a particle beam such as an electron beam. The sample handler 1034 can be configured to automatically orient the sample on stage 1024. The stage 1024 can be configured to have six degrees of freedom including movement and rotation along the x-axis, y-axis, and z-axis. In a preferred embodiment, the stage 1024 is aligned relative to the particle beam 1020 so that the x-directional motion of the stage is corresponds to an axis that is perpendicular to a longitudinal axis of inspected conductive lines. Fine alignment of the sample can be achieved automatically or with the assistance of a system operator. The position and movement of stage 1024 during the analysis of sample 1057 can be controlled by stage servo 1026 and interferometers 1028. While the stage 1024 is moving in the x-direction, the inducer 1020 can be repeatedly deflected back and forth in the y direction. According to various embodiments, the inducer 1020 is moving back and forth at approximately 100 kHz. Alternatively, a relatively wide beam may be used to scan across a particular swath or area of the test structure without rastering of the beam.
A detector 1032 can also be aligned alongside the particle beam 1020 to allow further defect detection capabilities. The detector 1032 as well as other elements can be controlled using a controller 1050. Controller 1050 may include a variety of processors, storage elements, and input and output devices. The controller may be configured to implement the defect detection and location techniques of the present invention. The controller may also be configured to correlate the coordinates of the electron beam with respect to the sample with coordinates on the sample to thereby determine, for example, a location of a determined defect. In one embodiment, the controller is a computer system having a processor and one or more memory devices.
Regardless of the controller's configuration, it may employ one or more memories or memory modules configured to store data, program instructions for the general-purpose inspection operations and/or the inventive techniques described herein. The program instructions may control the operation of an operating system and/or one or more applications, for example. The memory or memories may also be configured to store images of scanned samples, reference images, defect classification and position data, as well as values for particular operating parameters of the inspection system.
Because such information and program instructions may be employed to implement the systems/methods described herein, the present invention relates to machine readable media that include program instructions, state information, etc. for performing various operations described herein. Examples of machine-readable media include, but are not limited to, magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROM disks; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and perform program instructions, such as read-only memory devices (ROM) and random access memory (RAM). The invention may also be embodied in a carrier wave travelling over an appropriate medium such as airwaves, optical lines, electric lines, etc. Examples of program instructions include both machine code, such as produced by a compiler, and files containing higher level code that may be executed by the computer using an interpreter.
Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Therefore, the described embodiments should be taken as illustrative and not restrictive, and the invention should not be limited to the details given herein but should be defined by the following claims and their full scope of equivalents.

Claims

WHAT IS CLAIMED IS:
1. A test structure that is designed for voltage contrast inspection, comprising: a first substructure having a plurality of floating conductive structures that are designed to charge to a first potential during a voltage contrast inspection; and a second substructure that is coupled with a conductive structure having a size selected to cause the second substructure to charge to a second potential that differs from the first potential during the voltage contrast inspection.
2. A test structure as recited in claim 1, wherein the first and second substructure are formed in a single photolithography step.
3. A test structure as recited in claims 1 or 2, wherein the first and second substructure are not coupled to the substrate.
4. A test structure as recited in any of claims 1-3, wherein the first and second substructure are both on a same level.
5. A test structure as recited in any of claims 1-4, wherein the second substructure includes a plurality of parallel strip segments that are each adjacent to a one of the conductive lines of the first substructure.
6. A test structure as recited in claim 5, wherein the second substructure forms a serpentine shape.
7. A test structure as recited in any of claims 1-6, wherein the second substructure is designed to charge more slowly than the first substructure during a voltage contrast inspection.
8. A test structure as recited in any of claims 1-7, wherein the second substructure is designed to have a different intensity level than the first substructure during a voltage contrast inspection.
9. A test structure as recited in any of claims 1-8, wherein the conductive structure of the second substructure has a size selected so that a partial open may be detected within the second substructure during the voltage contrast inspection.
10. A method of fabricating a test structure that is designed for voltage contrast inspection, comprising: forming a first substructure having a plurality of floating conductive structures that are designed to charge to a first potential when they are scanned with an electron beam; and forming a second substructure that is coupled with a conductive structure having a size selected to cause the second substructure to charge to a second potential that differs from the first potential when it is scanned with the electron beam, wherein the first and second substructures are both formed within a single photolithography step.
11. A method as recited in claim 10, wherein the first and second substructure are formed in a single photolithography step.
12. A method as recited in claims 10 or 11, wherein the first and second substructure are not coupled to the substrate.
13. A method as recited in any of claims 10-12, wherein the first and second substructure are both on a same level.
14. A method as recited in any of claims 10-13, wherein the second substructure includes a plurality of parallel strip segments that are each adjacent to a one of the conductive lines of the first substructure.
15. A method as recited in claim 14, wherein the second substructure forms a serpentine shape.
16. A method as recited in any of claims 10-15, wherein the second substructure is designed to charge more slowly than the first substructure during a voltage contrast inspection.
17. A method as recited in any of claims 10-16, wherein the second substructure is designed to have a different intensity level than the first substructure during a voltage contrast inspection.
18. A method as recited in any of claims 10-17, wherein the conductive structure of the second substructure has a size selected so that a partial open may be detected within the second substructure during the voltage contrast inspection.
19. A method as recited in any of claims 10-18, further comprising inspecting the first and second substructures by scanning a portion of such substructures with an electron beam and obtaining a voltage contrast image of such scanned portion.
20. A method as recited in claim 19, further comprising determining whether there is a defect present within the first and/or second substructure based on the voltage contrast image and when a defect is present, determining a position of such defect by stepping the electron beam to various portions of the first and/or second substructures.
21. A method of inspecting a test structure, comprising: initially scanning two or more initial portions of the test structure with a charged particle beam to determine whether there is a defect present within the test structure based on whether there is an unexpected pattern of voltage potentials present within the test structure as a result of the initial scanning; and when a defect is present, sequentially stepping to one or more potential defect portions of the test structure and scanning the one or more potential defect portions of the test structure with a charged particle beam to thereby locate the defect.
22. A method as recited in claim 21, wherein the stepping is in the form of a binary search pattern for locating the defect.
23. A method as recited in claims 21 or 22, wherein initially scanning two or more initial portions of the test structure with a charged particle beam to determine whether there is a defect present is accomplished by: scanning a first end of the test structure to obtain a first potential for the first end; scanning a second end of the test structure to obtain a second potential for the second end; and when the first end potential differs from the second end potential, determining that the test structure has an open defect.
24. A method as recited in any of claims 21-23, wherein the stepping to one or more potential defect portions of the test structure and scanning the one or more potential defect portions of the test structure with a charged particle beam to thereby locate the defect comprises: a) stepping to a first current portion of the test structure and scanning the first current portion of the test structure for a defect; b) when the defect is not found and a transition in intensity occurs between the previous scan and current scan, stepping to a next portion of the test structure that is between the previous scan and the current scan; and c) when the defect is not found and a transition in intensity does not occur between the previous scan and current scan, stepping to a next portion of the test structure that is not between the previous scan and the current scan.
25. A method as recited in claim 24, wherein the next portion is halfway between the previous and current scan when the defect is not found and a transition in intensity occurs between the previous scan and current scan, and the next position is halfway between the current scan and an end of the test structure that is not between the previous and current scan when defect is not found and a transition in intensity does not occur between the previous scan and current scan.
26. A method as recited in claim 25, the method further comprising determining whether the current scan includes a transition in intensity point for the current scan that is not the defect, wherein the stepping to the next portion operation is performed in a new direction when the current scan includes the transition in intensity point that is not the defect.
27. A method as recited in claim 26, wherein the new direction of the next scan is perpendicular to a direction of the previous scan.
28. A method as recited in claim 24, repeating operation (b) and (c) until the defect is found.
29. A method as recited in any of claims 21-28, wherein the defect can be an open defect and the defect is found when a transition in intensity occurs within the test structure itself.
30. A method as recited in claim 29, wherein the open defect can be a partially open defect.
31. A method as recited in any of claims 21-28, wherein the defect can be a short defect and the defect is found when a physical short is found within the test structure.
32. An inspection system for detecting defects within a test structure, the system comprising: a beam generator for generating an electron beam; a detector for detecting electrons; and a controller arranged to: initially scan two or more initial portions of the test structure with a charged particle beam to determine whether there is a defect present within the test structure based on whether there is an unexpected pattern of voltage potentials present within the test structure as a result of the initial scanning; and when a defect is present, sequentially step to one or more potential defect portions of the test structure and scanning the one or more potential defect portions of the test structure with a charged particle beam to thereby locate the defect.
33. An inspection system as recited in claim 32, wherein the sequential stepping to one or more potential defect portions of the test structure are in the form of a binary search pattern for locating the defect.
34. An inspection system as recited in claims 32 or 33, wherein the initial scan of the two or more initial portions of the test structure with a charged particle beam to determine whether there is a defect present is accomplished by: scanning a first end of the test structure to obtain a first potential for the first end; scanning a second end of the test structure to obtain a second potential for the second end; and when the first end potential differs from the second end potential, determining that the test structure has an open defect.
35. An inspection system as recited in any of claims 32-34, wherein the stepping to one or more potential defect portions of the test structure and scanning the one or more potential defect portions of the test structure with a charged particle beam to thereby locate the defect comprises: a) stepping to a first current portion of the test structure and scanning the first current portion of the test structure for a defect; b) when the defect is not found and a transition in intensity occurs between the previous scan and current scan, stepping to a next portion of the test structure that is between the previous scan and the current scan; and c) when the defect is not found and a transition in intensity does not occur between the previous scan and current scan, stepping to a next portion of the test structure that is not between the previous scan and the current scan.
36. An inspection system as recited in claim 35, wherein the next portion is halfway between the previous and current scan when the defect is not found and a transition in intensity occurs between the previous scan and current scan and the next position is halfway between an end of the test structure that is not between the previous and current scan when defect is not found and a transition in intensity does not occur between the previous scan and current scan.
37. An inspection system as recited in claim 36, the controller further arranged to determine whether the current scan includes a transition in intensity point for the current scan that is not the defect, wherein the stepping to the next portion operation is performed in a new direction when the current scan includes the transition in intensity point that is not the defect.
38. An inspection system as recited in claim 37, wherein the new direction of the next scan is perpendicular to a direction of the previous scan.
39. An inspection system as recited in claim 35, the controller further arranged to repeat operation (b) and (c) until the defect is found.
40. An inspection system as recited in any of claims 32-39, wherein the defect is an open defect and the defect is found when a transition in intensity occurs within the test structure itself.
41. An inspection system as recited in claim 40, wherein the open defect is a partially open defect.
42. An inspection system as recited in any of claims 32-39, wherein the defect is a short defect and the defect is found when a physical short is found within the test structure.
PCT/US2002/033154 2001-10-17 2002-10-16 Apparatus and methods for semiconductor ic failure detection WO2003034492A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003537118A JP4505225B2 (en) 2001-10-17 2002-10-16 Apparatus and method for semiconductor IC defect detection

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US32980401P 2001-10-17 2001-10-17
US60/329,804 2001-10-17
US10/265,051 US6995393B2 (en) 2000-08-25 2002-10-02 Apparatus and methods for semiconductor IC failure detection
US10/264,625 2002-10-02
US10/264,625 US7067335B2 (en) 2000-08-25 2002-10-02 Apparatus and methods for semiconductor IC failure detection
US10/265,051 2002-10-02

Publications (3)

Publication Number Publication Date
WO2003034492A2 true WO2003034492A2 (en) 2003-04-24
WO2003034492A3 WO2003034492A3 (en) 2003-07-10
WO2003034492A8 WO2003034492A8 (en) 2003-11-13

Family

ID=27401722

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/033154 WO2003034492A2 (en) 2001-10-17 2002-10-16 Apparatus and methods for semiconductor ic failure detection

Country Status (2)

Country Link
JP (1) JP4505225B2 (en)
WO (1) WO2003034492A2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9627371B1 (en) 2016-04-04 2017-04-18 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and AA-short-configured, NCEM-enabled fill cells
US9691672B1 (en) 2015-12-16 2017-06-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9721937B1 (en) 2016-04-04 2017-08-01 Pdf Solutions, Inc. Integrated circuit containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-tip short configured fill cells
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US9805994B1 (en) 2015-02-03 2017-10-31 Pdf Solutions, Inc. Mesh-style NCEM pads, and process for making semiconductor dies, chips, and wafers using in-line measurements from such pads
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US10199286B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one tip-to-side short or leakage, at least one chamfer short or leakage, and at least one corner short or leakage, where such measurements are obtained from non-contact pads associated with respective tip-to-side short, chamfer short, and corner short test areas
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6268717B1 (en) * 1999-03-04 2001-07-31 Advanced Micro Devices, Inc. Semiconductor test structure with intentional partial defects and method of use
US6452412B1 (en) * 1999-03-04 2002-09-17 Advanced Micro Devices, Inc. Drop-in test structure and methodology for characterizing an integrated circuit process flow and topography

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05144901A (en) * 1991-11-21 1993-06-11 Oki Electric Ind Co Ltd Detection of defective point of device having fine pattern
JP3356056B2 (en) * 1998-05-15 2002-12-09 日本電気株式会社 Wiring fault detecting circuit, wiring fault detecting semiconductor wafer, and wiring fault detecting method using the same
JP3708763B2 (en) * 1999-08-31 2005-10-19 株式会社東芝 Defect detection method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6268717B1 (en) * 1999-03-04 2001-07-31 Advanced Micro Devices, Inc. Semiconductor test structure with intentional partial defects and method of use
US6452412B1 (en) * 1999-03-04 2002-09-17 Advanced Micro Devices, Inc. Drop-in test structure and methodology for characterizing an integrated circuit process flow and topography

Cited By (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10199288B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one side-to-side short or leakage, at least one corner short or leakage, and at least one via open or resistance, where such measurements are obtained from non-contact pads associated with respective side-to-side short, corner short, and via open test areas
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9911649B1 (en) 2015-02-03 2018-03-06 Pdf Solutions, Inc. Process for making and using mesh-style NCEM pads
US10211111B1 (en) 2015-02-03 2019-02-19 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one tip-to-tip short or leakage, at least one tip-to-side short or leakage, and at least one corner short or leakage, where such measurements are obtained from non-contact pads associated with respective tip-to-tip short, tip-to-side sort, and corner short test areas
US9805994B1 (en) 2015-02-03 2017-10-31 Pdf Solutions, Inc. Mesh-style NCEM pads, and process for making semiconductor dies, chips, and wafers using in-line measurements from such pads
US10199286B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one tip-to-side short or leakage, at least one chamfer short or leakage, and at least one corner short or leakage, where such measurements are obtained from non-contact pads associated with respective tip-to-side short, chamfer short, and corner short test areas
US10199285B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one tip-to-tip short or leakage, at least one side-to-side short or leakages, and at least one via respective tip-to-tip short, side-to-side short, and via open test areas
US10199290B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one tip-to-tip short or leakage, at least one tip-to-side short or leakage, and at least one side-to-side short or leakage, where such measurements are obtained from cells with respective tip-to-tip short, tip-to-side short, and side-to-side short test areas, using a charged particle-beam inspector with beam deflection to account for motion of the stage
US10199287B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one tip-to-side short or leakage, at least one chamfer short or leakage, and at least one via open or resistance, where such measurements are obtained from non-contact pads associated with respective tip-to-side short, chamfer short, and via open test areas
US10199284B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one tip-to-tip short or leakage, at least one tip-to-side short or leakage, and at least one chamfer short or leakage, where such measurements are obtained from non-contact pads associated with respective tip-to-tip short, tip-to-side short, and chamfer short test areas
US10199293B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor water using non-contact electrical measurements indicative of at least one tip-to-tip short or leakage, at least one side-to-side short or leakage, and at least one chamfer short or leakage, where such measurements are obtained from non-contact pads associated with respective tip-to-tip short, side to side short, and chamfer short test areas
US10854522B1 (en) 2015-02-03 2020-12-01 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one tip-to-side short or leakage, at least one corner short or leakage, and at least one via open or resistance, where such measurements are obtained from non-contact pads associated with respective tip-to-side short, corner short, and via open test areas
US10777472B1 (en) 2015-02-03 2020-09-15 Pdf Solutions, Inc. IC with test structures embedded within a contiguous standard cell area
US10199294B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of a least one side-to-side short or leakage, at least one via-chamfer short or leakage, and at least one corner short or leakage, where such measurements are obtained from cells with respective side-to-side short, via-chamfer short, and corner short test areas, using a charged particle-beam inspector with beam deflection to account for motion of the stage
US10290552B1 (en) 2015-02-03 2019-05-14 Pdf Solutions, Inc. Methods for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one tip-to-tip short or leakage, at least one via-chamfer short or leakage, and at least one corner short or leakage, where such measurements are obtained from cells with respective tip-to-tip short, via-chamfer short, and corner short test areas, using a charged particle-beam inspector with beam deflection to account for motion of the stage
US10211112B1 (en) 2015-02-03 2019-02-19 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one tip-to-tip short or leakage, at least one tip-to-side short or leakage, and at least one side-to-side short or leakage, where such measurements are obtained from non-contact pads associated with respective tip-to-tip short, tip-to-side short, and side-to-side short test areas
US10199289B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wafer using non-contact electrical measurements indicative of at least one chamfer short or leakage, at least one corner short or leakage, and at least one via open or resistance, where such measurements are obtained from non-contact pads associated with respective chamfer short, corner short, and via open test areas
US9728553B1 (en) 2015-12-16 2017-08-08 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATE-short-configured, and TS-short-configured, NCEM-enabled fill cells
US11075194B1 (en) 2015-12-16 2021-07-27 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US11081476B1 (en) 2015-12-16 2021-08-03 Pdf Solutions, Inc. IC with test structures and e-beam pads embedded within a contiguous standard cell area
US11081477B1 (en) 2015-12-16 2021-08-03 Pdf Solutions, Inc. IC with test structures and e-beam pads embedded within a contiguous standard cell area
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
US9741741B1 (en) 2015-12-16 2017-08-22 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATE-short-configured, and GATECNT-short-configured, NCEM-enables fill cells
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US11018126B1 (en) 2015-12-16 2021-05-25 Pdf Solutions, Inc. IC with test structures and e-beam pads embedded within a contiguous standard cell area
US9711421B1 (en) 2015-12-16 2017-07-18 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of GATE-snake-open-configured, NCEM-enabled fill cells
US11107804B1 (en) 2015-12-16 2021-08-31 Pdf Solutions, Inc. IC with test structures and e-beam pads embedded within a contiguous standard cell area
US9831141B1 (en) 2015-12-16 2017-11-28 Pdf Solutions, Inc. Integrated circuit containing DOEs of GATE-snake-open-configured, NCEM-enabled fill cells
US9984944B1 (en) 2015-12-16 2018-05-29 Pdf Solutions, Inc. Integrated circuit containing DOEs of GATECNT-tip-to-side-short-configured, NCEM-enabled fill cells
US9793253B1 (en) 2015-12-16 2017-10-17 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least Via-open-configured, AACNT-short-configured, GATE-short-configured, and TS-short-configured NCEM-enabled fill cells
US9953889B1 (en) 2015-12-16 2018-04-24 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using non-contact measurements obtained from DOEs of NCEM-enabled fill cells on test wafers that include multiple means/steps for enabling NC detection of GATECNT-GATE via opens
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US9691672B1 (en) 2015-12-16 2017-06-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9761573B1 (en) 2015-12-16 2017-09-12 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATE-short-configured, and TS-short-configured, NCEM-enabled fill cells
US9905487B1 (en) 2015-12-16 2018-02-27 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using non-contact measurements obtained from DOEs of NCEM-enabled fill cells on test wafers that include multiple means/steps for enabling NC detection of V0 via opens
US9870966B1 (en) 2015-12-16 2018-01-16 Pdf Solutions, Inc. Process for making semiconductor dies, chips and wafers using non-contact measurements obtained from DOEs of NCEM-enabled fill cells on test wafers that include multiple means/steps for enabling NC detection of AACNT-TS via opens
US9773775B1 (en) 2016-04-04 2017-09-26 Pdf Solutions, Inc. Integrated circuit containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US9786650B1 (en) 2016-04-04 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including metal island open configured fill cells
US9871028B1 (en) 2016-04-04 2018-01-16 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including tip-to-tip short configured fill cells, and the second DOE including chamfer short configured fill cells
US9825018B1 (en) 2016-04-04 2017-11-21 Pdf Solutions, Inc. Integrated circuit containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including tip-to-tip short configured fill cells, and the second DOE including chamfer short configured fill cells
US9870962B1 (en) 2016-04-04 2018-01-16 Pdf Solutions, Inc. Integrated circuit including NCEM-enabled, interlayer overlap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9881843B1 (en) 2016-04-04 2018-01-30 Pdf Solutions, Inc. Integrated circuit including NCEM-Enabled, tip-to-tip gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9899276B1 (en) 2016-04-04 2018-02-20 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-enabled, interlayer overlap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9818738B1 (en) 2016-04-04 2017-11-14 Pdf Solutions, Inc. Integrated circuit containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells with first DOE including tip-to-side short configured fill cells and second DOE including chamfer short configured fill cells
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9818660B1 (en) 2016-04-04 2017-11-14 Pdf Solutions, Inc. Integrated circuit containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including metal island open configured fill cells
US9911669B1 (en) 2016-04-04 2018-03-06 Pdf Solutions, Inc. Integrated circuit including NCEM-enabled, diagonal gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9911670B1 (en) 2016-04-04 2018-03-06 Pdf Solutions, Inc. Integrated circuit including NCEM-enabled, via-open/resistance-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gate
US9911668B1 (en) 2016-04-04 2018-03-06 Pdf Solutions, Inc. Integrated circuit including NCEM-enabled, corner gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9922968B1 (en) 2016-04-04 2018-03-20 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including chamfer short configured fill cells
US9922890B1 (en) 2016-04-04 2018-03-20 Pdf Solutions, Inc. Integrated circuit including NCEM-enabled, snake-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9929136B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-Enabled fill cells, with the first DOE including tip-to-side short configured fill cells, and the second DOE including chamfer short configured fill cells
US9947601B1 (en) 2016-04-04 2018-04-17 Pdf Solutions, Inc. Integrated circuit including NCEM-enabled, side-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9799640B1 (en) 2016-04-04 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US9785496B1 (en) 2016-04-04 2017-10-10 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using non-contact measurements obtained from DOEs of NCEM-enabled fill cells on wafers that include multiple steps for enabling NC detecteion of AACNT-TS via opens
US10096529B1 (en) 2016-04-04 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including metal island open configured fill cells
US9627370B1 (en) 2016-04-04 2017-04-18 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and TS-short-configured, NCEM-enabled fill cells
US10109539B1 (en) 2016-04-04 2018-10-23 Pdf Solutions, Inc. Integrated circuit including NCEM-enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9646961B1 (en) 2016-04-04 2017-05-09 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, TS-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9786648B1 (en) 2016-04-04 2017-10-10 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least chamfer-short-configured, AACNT-short-configured, GATECNT-short-configured, and TS-short-configured, NCEM-enabled fill cells
US9653446B1 (en) 2016-04-04 2017-05-16 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, TS-short-configured, and AA-short-configured, NCEM-enabled fill cells
US9780083B1 (en) 2016-04-04 2017-10-03 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, TS-short-configured, metal-short configured, and AA-short-configured, NCEM-enabled fill cells
US9778974B1 (en) 2016-04-04 2017-10-03 Pdf Solutions, Inc. Integrated circuit containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including metal island open configured fill cells
US9773773B1 (en) 2016-04-04 2017-09-26 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least chamfer-short-configured, AACNT-short-configured, GATE-short-configured, and GATECNT-short-configured, NCEM-enabled fill cells
US9627371B1 (en) 2016-04-04 2017-04-18 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and AA-short-configured, NCEM-enabled fill cells
US9711496B1 (en) 2016-04-04 2017-07-18 Pdf Solutions, Inc. Integrated circuit containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configured fill cells
US9768156B1 (en) 2016-04-04 2017-09-19 Pdf Solutions, Inc. Integrated circuit containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including chamfer short configured fill cells
US9721937B1 (en) 2016-04-04 2017-08-01 Pdf Solutions, Inc. Integrated circuit containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-tip short configured fill cells
US9766970B1 (en) 2016-04-04 2017-09-19 Pdf Solutions, Inc. Integrated circuit containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including metal island open configured fill cells
US9761575B1 (en) 2016-04-04 2017-09-12 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least chamfer-short-configured, AACNT-short-configured, GATE-short-configured, and TS-short-configured, NCEM-enabled fill cells
US9761574B1 (en) 2016-04-04 2017-09-12 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATECNT-short-configured, metal-short-configured, and AA-short-configured, NCEM-enabled fill cells
US9761502B1 (en) 2016-04-04 2017-09-12 Pdf Solutions, Inc. Integrated circuit containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including merged-via configured fill cells
US9721938B1 (en) 2016-04-04 2017-08-01 Pdf Solutions, Inc. Integrated circuit containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including tip-to-tip short configured fill cells, and the second DOE including corner short configured fill cells
US9741703B1 (en) 2016-04-04 2017-08-22 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and ilbrary-compatible, NCEM-enabled fill cells, including at least via-open-configured, gate-short-configured, TS-short-configured, and AA-short-conigured, NCEM-enabled fill cells
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells

Also Published As

Publication number Publication date
WO2003034492A8 (en) 2003-11-13
WO2003034492A3 (en) 2003-07-10
JP2005519260A (en) 2005-06-30
JP4505225B2 (en) 2010-07-21

Similar Documents

Publication Publication Date Title
US7067335B2 (en) Apparatus and methods for semiconductor IC failure detection
US6995393B2 (en) Apparatus and methods for semiconductor IC failure detection
US6861666B1 (en) Apparatus and methods for determining and localization of failures in test structures using voltage contrast
US7198963B2 (en) Methodologies for efficient inspection of test structures using electron beam scanning and step and repeat systems
JP4657394B2 (en) Method and apparatus for detecting defects in a wafer
KR100775437B1 (en) Pattern inspection device and method
US6918101B1 (en) Apparatus and methods for determining critical area of semiconductor design data
US7012439B2 (en) Multiple directional scans of test structures on semiconductor integrated circuits
US7656170B2 (en) Multiple directional scans of test structures on semiconductor integrated circuits
US6855568B2 (en) Apparatus and methods for monitoring self-aligned contact arrays using voltage contrast inspection
US6433561B1 (en) Methods and apparatus for optimizing semiconductor inspection tools
US6445199B1 (en) Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6948141B1 (en) Apparatus and methods for determining critical area of semiconductor design data
US7656171B2 (en) Method and apparatus for reviewing defects by detecting images having voltage contrast
US7495449B2 (en) Non-destructive testing apparatus and non-destructive testing method
US6732002B1 (en) Apparatus and methods for predicting multiple product chip yields through critical area matching
US6642726B2 (en) Apparatus and methods for reliable and efficient detection of voltage contrast defects
US7733099B2 (en) Monitoring pattern for detecting a defect in a semiconductor device and method for detecting a defect
US6524873B1 (en) Continuous movement scans of test structures on semiconductor integrated circuits
WO2003034492A2 (en) Apparatus and methods for semiconductor ic failure detection
WO2003036549A1 (en) Apparatus and methods for managing reliability of semiconductor devices
Satya Microelectronic test structures for rapid automated contactless inline defect inspection
JP2005519260A5 (en)
US6900065B2 (en) Apparatus and method for enhanced voltage contrast analysis
JP5238659B2 (en) Apparatus and method for semiconductor IC defect detection

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

WR Later publication of a revised version of an international search report
WWE Wipo information: entry into national phase

Ref document number: 2003537118

Country of ref document: JP