WO2003001297A2 - Procede de determination de la focalisation et de l'exposition lithographiques - Google Patents

Procede de determination de la focalisation et de l'exposition lithographiques Download PDF

Info

Publication number
WO2003001297A2
WO2003001297A2 PCT/US2002/020876 US0220876W WO03001297A2 WO 2003001297 A2 WO2003001297 A2 WO 2003001297A2 US 0220876 W US0220876 W US 0220876W WO 03001297 A2 WO03001297 A2 WO 03001297A2
Authority
WO
WIPO (PCT)
Prior art keywords
focus
exposure
shape
values
parameters
Prior art date
Application number
PCT/US2002/020876
Other languages
English (en)
Other versions
WO2003001297A3 (fr
Inventor
Walter Dean Mieher
Thaddeus Gerard Dziura
Ady Levy
Chris Mack
Original Assignee
Kla-Tencor Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla-Tencor Corporation filed Critical Kla-Tencor Corporation
Priority to JP2003507631A priority Critical patent/JP2005513757A/ja
Publication of WO2003001297A2 publication Critical patent/WO2003001297A2/fr
Publication of WO2003001297A3 publication Critical patent/WO2003001297A3/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • G03F7/706Aberration measurement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Definitions

  • the present invention relates generally to photolithography methods and systems, and more specifically to improved techniques for determining focus and exposure settings of a photolithographic system.
  • Photolithography or optical lithography systems used in the manufacture of integrated circuits have been around for some time. Such systems have proven extremely effective in the precise manufacturing and formation of very small details in the product.
  • a circuit image is written on a substrate by transferring a pattern via a light or radiation beam (e.g., UN or ultraviolet light).
  • the lithography system may include a light or radiation source that projects a circuit image through a reticle and onto a silicon wafer coated with photoresist, i.e., a material sensitive to irradiation.
  • the exposed photoresist typically forms a pattern that after development masks the layers of the wafer during subsequent processing steps, as for example deposition and/or etching.
  • Focus generally deals with clarity with which an optical subsystem of the lithography system renders an image and exposure generally deals with the amount or dosage of light (or radiation) that is used to form the pattern (such as the light produced by a light source of the lithography system). Both affect the circuit pattern in a non-trivial way. For example, changes in focus and exposure may cause changes in the resist profile, i.e., the shape of the circuit printed in the photoresist.
  • the resist profile is often described by three parameters related to a trapezoidal approximation of the profile: the linewidth or critical dimension (CD), the sidewall angle and the height.
  • the final circuit may not run properly or it may not run at all.
  • linewidth is one factor that determines the speed and the timing across the circuit and thus changes thereto may cause one portion of the circuit to run faster or slower than another portion of the circuit (thereby reducing the selling price of the chip since the circuit is clocked to the slower portion).
  • the quality of the resist profile is directly related to the quality of the etched or deposited features formed therethrough.
  • changes to the resist profile may cause open or shorted circuits such that the circuit may need to be discarded or reworked.
  • the optimal focus and exposure settings of the lithography system are determined using a focus exposure matrix (FEM), i.e., by exposing a wafer with multiple combinations of focus and exposure, and then inspecting the resultant pattern for the best resist profiles - the resist profiles that more closely match the desired or optimal resist profiles.
  • FEM focus exposure matrix
  • the inspection is generally performed by a CD scanning electron microscope (CD-SEM) that measures the CD of the resist profile.
  • CD-SEM CD scanning electron microscope
  • the focus-exposure matrix may be visualized using a Bossung Plot.
  • the Bossung Plot generally plots CD vs. focus position for varying levels of exposure, i.e., the varying levels of exposure are plotted as contour lines with linewidth representing the Y axis and focus position representing the X axis of the graph.
  • the method described above has several drawbacks.
  • the focus and exposure tests are performed periodically and thus the process may drift out of control between tests.
  • An out of control process may lead to wafers that may need to be scrapped or reworked thus reducing yield and increasing costs.
  • these tests may be performed at 12 hr increments, 1 day increments, 1 week increments and the like.
  • the lithography system has to stop production in order to perform the tests. That is, the production run must be stopped so that a focus exposure matrix test wafer can be inserted into the system. As should be appreciated, stopping the production run reduces the throughput of the lithography system thereby increasing cycle time and cost.
  • CD-SEM is typically only capable of measuring CD (e.g., unless using tilted beam CD-SEM).
  • Another method for monitoring focus is generically based on the phenomenon of line end shortening.
  • the invention relates, in one embodiment, to a method for determining process parameter settings of a photolithographic system.
  • the method includes correlating the values of a first set of one or more shape parameters with the values of a first set of one or more process parameters to produce dependencies.
  • the method also includes determining the values of a second set of one or more shape parameters associated with one or more structures.
  • the method further includes determining the values of a second set of one or more process parameters associated with forming the one or more structures by comparing the second set of one or more shape parameters with the correlated dependencies.
  • the invention relates, in another embodiment, to a method of focus exposure monitoring of a lithographic system.
  • the method includes measuring scatterometry measurement sites on a product or test wafer using a scatterometry system.
  • the method also includes interpreting the scatterometry measurements into shape parameter information associated with the scatterometry measurement sites.
  • the method further includes determining the focus and exposure values used to process the test or product wafer by matching the shape parameter information with focus exposure dependencies.
  • the present invention is illustrated by way of example, and not by way of limitation.
  • Fig. 1 is a flow diagram of process parameter estimating, in accordance with one embodiment of the present invention.
  • Fig. 2 is a method for determining optimal processing conditions, in accordance with one embodiment of the present invention.
  • Fig. 3 is a method for determining dependences of shape parameters, in accordance with one embodiment of the present invention.
  • Fig. 5 is a simplified diagram of a line space grating, in accordance with one embodiment of the present invention.
  • Fig. 6 is a simplified diagram of a grid space grating, in accordance with one embodiment of the present invention.
  • Fig. 7 is a Bossung Plot of MidCD (linewidth at 50% of profile height), in accordance with one embodiment of the invention.
  • Fig. 8 is a Bossung Plot of profile height, in accordance with another embodiment of the invention.
  • Figs. 9a and 9b are Bossung Plots of sidewall angle, in accordance with another embodiment of the invention.
  • Figs. 10a and 10b are overlapped Bossung Plots, in accordance with another embodiment of the invention.
  • Fig. 11 is an example of an overlapped Bossung Plot, in accordance with one embodiment of the present invention.
  • Fig. 12 is an example of an overlapped Bossung Plot, in accordance with one embodiment of the present invention.
  • Fig. 13 is a schematic presentation of a method of monitoring focus and exposure, in accordance with one embodiment of the present invention.
  • Fig. 14 is a simplified top view diagram of a scatterometry target that may be used to determine the optical aberrations of a lithographic system, in accordance with one embodiment of the present invention.
  • Fig. 15 is a simplified top view of a scatterometry target that may be used to determine the process parameters or optical aberrations of a lithographic system, in accordance with one embodiment of the present invention.
  • Fig. 16 is a simplified top view of a scatterometry target that may be used to determine the process parameters or optical aberrations of a lithographic system, in accordance with one embodiment of the present invention.
  • Fig. 17 is a simplified top view of a scatterometry target that may be used to determine the process parameters or optical aberrations of a lithographic system, in accordance with one embodiment of the present invention. Detailed Description of the Invention
  • the invention generally pertains a method for determining focus and/or exposure settings of a photolithographic system (e.g., stepper or scanner).
  • a photolithographic system e.g., stepper or scanner.
  • One aspect of the invention relates to determining focus and/or exposure simultaneously.
  • Another aspect of the invention relates to using more than one shape parameter to solve for focus and exposure.
  • Another aspect of the invention relates to using shape information derived from scatterometry to determine best focus and/or exposure. This is generally accomplished by measuring and analyzing focus-exposure matrix wafer (or wafers). In some cases, a single target type is used, and in other cases, multiple target types are used.
  • Another aspect of the invention relates to using shape information derived from scatterometry to determine the stepper or scanner focus and/or exposure on nominally processed wafers. In some cases, this is accomplished with a single target type, and in other cases, this is accomplished with multiple target types.
  • Fig. 1 is a flow diagram of process parameter estimating 10, in accordance with one embodiment of the present invention.
  • Process parameter estimating 10 is generally configured to estimate or predict process parameter settings, which were used to form a pattern on a substrate during a lithographic process (e.g., photolithographic).
  • Process parameters generally refer to parameters used to control the processing conditions of the lithography system.
  • Process parameters generally effect the pattern in a non-trivial way.
  • process parameters may correspond to focus offset, exposure dose, resist thickness, develop time and temperature, post exposure bake time and temperature and the like.
  • the estimated settings of the process parameters in process parameter estimating 10 may be used to improve the control of subsequent lithographic patterning and to determine whether the quality of the photolithographic pattern meets specified requirements.
  • Process parameter estimating 10 may be suitable for a wide variety of photolithography processes, as for example, photolithographic processes pertaining to semiconductor manufacturing, optical device manufacturing, micro-mechanical device manufacturing, magnetic recording data storage manufacturing and the like. Although process parameter estimating 10 may be used in any of the above processes, the invention described herein is directed at semiconductor manufacturing for ease of discussion.
  • Process parameter estimating 10 generally begins at block 12 where calibration data is produced by correlating one or more shape parameters with one or more process parameters.
  • the shape parameters are generally associated with the shape of a structure disposed on a wafer (e.g., a target structure or some portion of a device structure).
  • the structure may be in the form of a grating that is typically periodic.
  • the grating may be periodic in one direction (X or Y) , as for example a line space grating, or it may be periodic in two directions (X and Y), as for example a grid space grating.
  • the shape parameters may include line width (width at a specific height), side wall angle, height, pitch, top-profile (degree of top rounding or T topping), bottom profile (footing) and the like.
  • the shape parameters may also include 3 dimensional shape information of structures that are periodic in both X and Y directions (as in grid space gratings).
  • the lithography process parameters are generally associated with the stepper or scanner parameters used to form the structure on the wafer.
  • the process parameters may correspond to focus, exposure and the like.
  • correlating it is generally meant that a relationship is made between the one or more shape parameters and the one or more process parameters.
  • the relationship may be in the form of dependencies.
  • the shape parameters are typically dependent on the process parameters used to form them and thus changes in the process parameters generally cause changes in the shape parameters. For example, changes in focus may cause changes in line width.
  • the changes may be characterized over a wide range of values thereby forming dependencies that describe the impact of these parameters on each other (e.g., variables or numbers that are contingent on other variables).
  • the dependencies may be widely varied. However, in most cases, the dependencies are often described using equations or graphical plots, as for example Bossung Plots (see Figs. 7-12).
  • the dependencies include one or more shape parameters as a function of one or more process parameters (e.g., process parameters are dependent on shape parameters).
  • the dependencies may include a single shape parameter as a function of a single process parameter (e.g., width as a function of focus), a single shape parameter as a function of more than one process parameter (e.g., height as a function of focus and exposure), a plurality of shape parameters as a function of a single process parameter (e.g., -height and width as a function of focus) or a plurality of shape- parameters as a function of a plurality of process parameter (e.g., height as a function of focus and exposure, wall angle as a function of focus and exposure and width as a function of focus and exposure).
  • the dependencies include more than one shape parameter as a function of both focus and exposure.
  • line width as a function of focus and exposure e.g., CD (F,E)
  • height as a function of focus and exposure e.g., Ht (F,E)
  • wall angle as a function of focus and exposure e.g., S A (F,E)
  • the dependencies include one or more process parameters as a function of one or more shape parameters.
  • the dependencies may include a single process parameter as a function of a single shape parameter (e.g., focus as a function of width), a single process parameter as a function of more than one shape parameter (e.g., focus as a function of width and height), a plurality of process parameters as a function of a single process parameter (e.g., focus and exposure as a function of wall angle) or a plurality of process parameters as a function of a plurality of shape parameter (e.g., focus as a function of width and height, and exposure as a function of wall angle and width).
  • dependencies may be produced by deriving one or more shape parameters as a function of one or more process parameters (as discussed above), and then converting these functions to form one or more process parameters as a function of one or more shape parameters. This may generally be accomplished by performing a matrix inversion with the previous functions.
  • the dependencies include focus and exposure as a function of more than one shape parameter. For example, focus as a function of height and line width (e.g., F (Ht, CD)), and exposure as a function of height and line width (e.g., E g (Ht, CD)).
  • inversions may change the graphs so that instead of having curves of constant exposure, the graphs have curves of constant shape parameters (e.g., line width).
  • constant shape parameters e.g., line width
  • the calibration data may be produced using a variety of techniques.
  • the calibration data may be produced using lithographic simulation methods or lithographic measurement methods.
  • Lithographic simulation methods generally produce calibration data by performing calculations to obtain the predicted profile as a function of lithographic process parameters. The theoretical profiles may then be translated into shape parameters that approximate the profile. Thus the dependencies of the shape parameters on the process parameters may be obtained.
  • the lithography and resist processing simulations are calibrated such that the calculated profile accurately corresponds to the measured profile obtained on a real wafer.
  • One example of a lithography simulation program that can be used to calculate the resist profiles for varying lithography parameters and resist process conditions is PROLITH manufactured by KLA-Tencor of San Jose, CA.
  • the lithographic measurement methods generally produce calibration data by printing a plurality of structures on one or more test wafers using various process parameters, determining the shape parameters associated with each of the structures, and correlating the shape parameters with the various process parameters (so as to form dependencies).
  • the shape parameters are typically dependent on the process parameters and thus changes in the process parameters generally cause changes in the shape parameters. For instance, a first process parameter value may produce a first shape parameter value and a second process parameter value may produce a second shape parameter value (multiple data points at multiple levels). These changes may be characterized over a wide range of values thereby forming equations or graphical plots that describe the impact of these parameters on each other.
  • test matrix process parameters
  • each structure may be printed with different process parameter levels
  • the structures may be printed with varying levels of exposure and focus - for different exposure fields across the wafer, vary focus in one direction and exposure in the other direction so as to produce a matrix of different values of exposure and focus across the wafer (e.g., focus-exposure test matrix).
  • the same or different test reticles may be used.
  • the different test reticles may have patterns with different dimensions so as to produce a wider range of test data.
  • the pattern configuration may be widely varied.
  • the pattern configuration may be configured to produce a grating structure.
  • the method of determining the shape parameters may also be widely varied.
  • the shape parameters of the printed structures may be determined using CD-SEM, scatterometry and other related techniques. Scatterometry is generally preferred since scatterometry can be used to determine multiple shape parameters at the same time.
  • scatterometry measurements may contain information about linewidth (CD), height, side wall angle and the like (CD-SEM typically only measures CD). Scatterometry techniques will be described in greater detail below.
  • the dependencies produced in block 12 are used to determine the best process parameter settings for driving the process. In essence, they help to determine the process parameters, which will be programmed into the lithography system at the start of a production run.
  • the best process parameters may be determined using overlapping Bossung Plots (see Figs 10-12). Overlapping plots typically reduces errors found in each individual graph and it may overcome the problems with multiple solutions for a particular process parameter, i.e., even if exposure is known, there are typically two solutions for focus (that will produce the same line width defined at a given height). For example, Exposure vs. Focus plots having two sets of overlapping contour lines, each set representing a different shape parameter, may be used.
  • the best exposure and focus may also be determined using an error minimization method for a wider range of desired values of shape parameters (e.g., all values within 5 % of the desired value).
  • test data is produced by determining the values of one or more shape parameters associated with one or more printed structures.
  • the term printed structures generally refers to structures that are printed on a wafer (e.g., a target structure or some portion of a device structure).
  • the printed structures may be printed in a variety of different wafer layers. In most cases, however, the printed structures are printed in a layer of photoresist using standard photolithograghic processes (e.g., projecting a circuit image through a reticle and onto a silicon wafer coated with photoresist).
  • the wafer may be a test wafer with layers of materials that correspond to the materials typically present on product wafers at that step in the test process.
  • the wafer may be a product wafer that has the potential to produce working devices.
  • the wafer may be a simple test wafer used for testing the lithography system.
  • the process parameters used to form the printed structures are generally configured to keep the shape parameters within desired specifications.
  • the printed structures may be printed on a test wafer as a part of a test procedure or they may be printed on a production wafer during production: In production, the printed structures are typically printed in the scribeline between device structures (e.g., dies that define the IC) disposed on a production wafer. During tests, the printed structures may be printed across the entire test wafer.
  • the printed structures may be widely varied (e.g., the printed structures generally vary according to the methods used to determine the values of one or more shape parameters).
  • the printed structures may take the form of a grating structure, as for example a line space grating or a grid space grating.
  • the shape parameters may include line width (width at a specific height), side wall angle, height, pitch, top-profile (degree of top rounding or T topping), bottom profile (footing) and the like.
  • the number of printed structures may also be widely varied. When using multiple printed structures, each may have the same or different patterns.
  • the shape parameters that are determined in block 14 correspond to the same shape parameters that were calibrated in block 12. For example, if line width and height were calibrated in block 12, then line width and height are determined in block 14. It should be noted, however, that this is not a limitation and that more parameters may be calibrated in the calibration mode than determined in the test mode. For example, a large database or library of a large number of shape parameters as a function of one or more process parameters may be obtained in the calibration mode, while only a small number of the shape parameters may be determined in the test mode.
  • the test data may be produced using a variety of techniques. In most cases, the test data is produced by measuring the printed structures with a measurement system and converting the measurements into shape parameter values. Any suitable measurement technique may be used so long as the measurements obtained are capable of being converted into shape information, i.e., the raw measured data is converted into shape data.
  • a measurement system e.g., CD-SEM, scatterometry, atomic force microscopy, cross sectional SEM techniques and the like may be used.
  • the shape parameters of the printed structures are measured via scatterometry since scatterometry is capable of measuring multiple shape parameters such as line width, wall angle and height (CD-SEM typically only measures line width) at the same time.
  • scatterometry may be used in-line on production wafers thus eliminating the need to stop production. That is, metrology tools based on scatterometry may be used to perform focus and/or exposure monitoring on product wafers to enable ongoing focus/exposure process control and to reduce the requirement for time-consuming FEM test wafers.
  • Scatterometry is a measurement technique that is capable of characterizing multiple shape parameters of a pattern.
  • the pattern is typically in the form of grating structure such as a periodic grating structure.
  • scatterometry one or more light or radiation beams are made incident on the grating structure and the scattered, reflected and/or diffracted beams emanating from the grating structure are measured.
  • the incident light may be directed toward the pattern normally or at some angle to the normal.
  • the light emanating from the grating structure is typically scattered, reflected and/or diffracted at various orders, i.e., angles relative to the incident light.
  • the characteristics of the scattered, reflected and/or diffracted light (e.g., intensity, phase, polarization, and the like) at the various orders is measured thereby forming a measurement signal or measured spectra.
  • the characteristics of the scattered, reflected and/or diffracted light generally reveal information about the shape of the grating structure. That is, the scattered, reflected and/or diffracted light typically changes in accordance with the shape of the structures and thus the scattered, reflected and/or diffracted light may be used to determine the shape parameters of the structures, i.e., there is a unique relationship between the measured spectra and the profile of the grating structure.
  • the shape parameters are generally extracted from the measured spectra by comparing the measured spectra to a library containing up to hundreds of thousands of spectra that has been calibrated to hundreds of thousands of profiles .
  • the obj ective is to find a profile whose calibrated spectra matches the measured spectra.
  • Each profile is defined by shape parameters and thus the shape parameters are known once the profile is found.
  • Thelibrary may be produced using actual measurements (calculated reference spectra) or simulation techniques (simulated reference spectra).
  • the method described herein does not directly compare spectra (e.g., it compares shape information rather than spectra), it should be noted that this is not a limitation and that the spectra may be compared.
  • the scatterometry technique used to measure the grating structure e.g., periodic
  • reflectometry, spectroscopic ellipsometry, multiwavelength reflectometry, polarized spectroscopic reflectometry, multiangle multiwavelength reflectometry or angle resolved scatterometry may be used. Further, the measurement may be performed in a fixed angle spectroscopic ellipsometry mode, in an angle scanning single or multiple wavelength mode, or in a multiple angle multiple wavelength mode.
  • the beam(s) may be brought in at a single or multiple angles and they may be brought in at a single wavelength or at multiple wavelengths.
  • the beams may be detected at a single angle or multiple angles and they may be detected at single or multiple wavelengths.
  • the intensity, polarization and/or optical phase of the beams may be measured at different angles and/or different wavelengths.
  • wavelengths of greater than 65 percent of the feature size in interest are used during scatterometry measurements.
  • wavelengths that are equal to the feature size in interest are used during scatterometry measurements.
  • wavelengths that are greater than the feature size in interest are used during scatterometry measurements (wavelength that are greater than feature size are generally easier to implement than if they are smaller than the feature size).
  • the wavelengths are 250 -750 nm for feature sizes of 50-250 nm. It should be noted, however, that this is not a limitation and that the wavelengths and feature sizes may vary according to the specific needs of each device.
  • scatterometry techniques that may be used are described in greater detail in a pending U.S. Patent Application No. 09/036,557, titled “METHOD AND APPARATUS OF SPECTROSCOPIC SCATTEROMETER FOR CD AND PROFILE MEASUREMENT OF PERIODIC STRUCTURES AND PROCESS MONITOR,” filed on March 6, 1998, and which is herein incorporated by reference.
  • Scatterometry measurements (e.g., block 12 or block 14) may be performed using SpectraCD TM manufactured by KLA-Tencor of San Jose, CA.
  • the SpectraCD or equivalents thereof may be integrated with a lithography cell to obtain information on the process that can enable better process control and higher device profit margin than is possible with currently available metrology. Determining optimum focus/exposure settings from analysis of scatterometry measurements on focus/exposure test wafers may be implemented with ProData TM and Process Window Monitoring (PWMTM) lithography process analysis systems manufactured by KLA-Tencor of San Jose, CA. Extracting profile information from measured scatterometry spectra may be performed by comparing the measured scatterometry spectra with libraries generated with SpectraLG TM library generartion system manufactured by KLA-Tencor of San Jose, CA. These and other details of scatterometry are described in greater detail in "Spectroscopic CD Metrology for Sub-lOOnm Lithography Process Control" to Mieher et al., which is herein incorporated by reference.
  • the process flow proceeds to block 16 where the values of one or more process parameters associated with forming the printed structures are determined by comparing the test data with the calibration data.
  • the determined values of the shape parameters in block 14 are compared with the calibrated values of the shape parameters in block 12 so as to determine the values of the process parameters for the determined values. That is, the shape parameter values of block 14 are matched to similar shape parameter values of block 12 and the corresponding process parameters that produced those shape parameters in block 12 are chosen as the process parameters that formed the shape parameters in block 14.
  • conversion calculations or graphical comparisons using the functions obtained in the calibration mode and the measurements obtained in the test mode are performed.
  • the process parameters used in block 14 may be determined by plugging the values of the shape parameters determined in block 14 into an equation representative of the functions determined in block 12.
  • the determined values of line width and height of the measured grating structure may be inserted into the function F (CD,Ht) to produce a "measured" focus value representative of the actual focus value used to produce the grating structure.
  • the determined values of line width and height of the measured grating structure may be inserted into the function E/(CD,Ht) to produce a "measured" exposure value representative of the actual exposure value used to produce the grating structure.
  • the process parameters used in block 14 may be determined by referring to graphical plots representative of the functions.
  • overlapping Bossung Plots may show the value of the process parameter(s) at the intersection of the line contours corresponding to the shape parameter(s) value determined in block 14. That is, the point of intersection between CD and Ht at the determined values may show the values of focus and/or exposure, i.e., the curves will cross at one height and one CD thus yielding one answer for focus and exposure. Additionally or alternatively, the measured focus and exposure could be arrived at by interpolating between neighboring intersections of the different contours (e.g., CD and Ht contours).
  • post estimating steps may be performed.
  • the estimated or predicted values of the process parameters determined in block 16 may be used to control subsequent processing to keep the process within desired limits.
  • the determined values of the process parameters in block 16 are compared with the optimal values found in block 12 to produce a process parameter correction.
  • the correction may be used to help control the lithographic process (by adjusting the process parameters). These corrections may be produced in a die to die mode, a wafer to wafer mode, other feed back control system and the like.
  • the method described above is used to determine the focus and exposure settings of a photolithographic system (e.g., stepper or scanner).
  • the general concept of this embodiment is to (1) determine the focus-exposure dependence of one or more shape parameters (e.g., line width, sidewall angle, height), (2) determine the numerical value of one or more of these shape parameters for a structure printed on a test or production wafer, and (3) compare the determined numerical value of the shape parameters to the previously determined focus- exposure dependence to determine the focus and /or exposure conditions that were used to pattern the structure printed on the wafer.
  • the focus exposure dependencies of shape parameters of the shape parameters may be determined empirically by measurements (e.g., scatterometry) obtained from a focus exposure matrix and/or from modeled focus-exposure processes using simulation software (such as PROLITH manufactured by KLA-Tencor of San Jose, CA).
  • the dependencies, as well as the numerical value of one or more of these shape parameters for a structure disposed on a wafer may be determined using scatterometry techniques.
  • the method may be used to monitor the focus and exposure of production wafers processed nominally at standard focus and exposure settings.
  • the method may also be used to monitor focus and exposure of production wafers processed with the majority of the fields processed nominally at a standard focus and exposure setting with a minority of focus indicator fields processed at pre-determined focus offsets.
  • the method described above is configured to simultaneously solve for multiple process parameters using multiple shape parameters. This is generally accomplished in block 16 using a plurality of dependencies determined in block 12 and a plurality of shape parameters determined in block 14. As should be appreciated, determining a plurality of shape parameters in block 14 provides more than one input and may allow for a solution for more than one process parameter, i.e., in order to solve for more than one process variable, it is generally necessary to have more than one data input.
  • the number of shape parameters is generally greater than or equal to the number or process parameters.
  • the method is configured to simultaneously solve for first and second process parameters using first and second shape parameters (e.g., solving for two unknowns variables using two known inputs).
  • the method is configured to simultaneously solve for first and second process parameters using more than two shape parameters, as for example first, second and third shape parameters.
  • an optimal solution may be calculated.
  • Least squares minimization is one possible error minimization method that may be used to calculate the optimal solution.
  • Weighting factors may be assigned to the different shape parameters to calculate the optimal solution. The weighting factors may include the sensitivity of the process parameter to the shape parameter, the uncertainty of the shape parameter, and the like.
  • Simultaneous solutions using multiple shape parameters generally overcome problems associated with process parameter dependencies on shape parameters that allow multiple solutions of the process parameters for a given shape parameter value. For example, in the case of line width, the focus exposure dependence is non linear in focus and allows for multiple solutions for focus, even if the exposure dose is known accurately. Simultaneous solutions also remove the degeneracy between solutions that may be encountered when solving for focus and/or exposure with information from only one parameter (different shape parameters may have different dependences on focus and exposure). Using calibration curves determined from many focus exposure matrix measurements averages out measurement errors, stepper errors, and the like.
  • the method is configured to simultaneously solve for focus and exposure using multiple focus-exposure dependencies of multiple shape parameters.
  • the basic idea is that in order to solve for two unknowns, Focus and Exposure, you need to have 2 or more equations and two or more pieces of information.
  • the different pieces of information can be different, substantially orthogonal shape parameters like CD, HT or SWA.
  • the unique solutions are visualized using Bossung plots.
  • the unique solutions may be demonstrated by the intersections of curves of constant line width and curves of constant line height at only one point of focus and exposure as shown in Figs. 10A and 10B. This implementation will be described in greater detail below.
  • the unique solutions are characterized by equations. Examples of equations modeled after the above mentioned method will now be described:
  • SP ⁇ (PP ⁇ ,PP 2 ) is a first shape parameter SPi as a function of first and second process parameters PPi and PP as determined in the calibration mode
  • SPi m e asured is the first shape parameter measured in the test mode
  • SP 2 (PP l5 PP 2 ) is a second shape parameter SP 2 as a function of first and second process parameters VP ⁇ and PP , and
  • SP 2 measured is the second shape parameter measured in the test mode.
  • various values of the first and second process parameters are supplied to the functions until a minimum error is produced (e.g., closest to zero).
  • the values that produce the minimum error correspond to the values PPi mea sured an PP 2 measured, where PPi measured is equal to the value of the first process parameter that produced the printed pattern and PP 2 measured is equal to the value of the second process parameter that produced the printed pattern.
  • the shape parameters may correspond to linewidths (CD), line height, wall angle, and the like, and the process parameters may correspond to focus, exposure and the like.
  • a matrix inversion calculation may be performed using the functions obtained in the calibration mode.
  • the matrix inversion calculation generally inverts the functions obtained in calibration mode so as to produce different functions.
  • the shape parameters as a function of process parameters may be inverted to produce process parameters as a function of shape parameters.
  • a simplified example of a matrix inversion is shown below:
  • the measured shape parameters SPi easured, SP m ea su re d , SP 3 me asure d of the printed pattern obtained in the test mode are supplied to the inverted functions to produce PPi measured and PP 2 measured, where PPi measured is equal to the value of the first process parameter that produced the printed pattern and PP 2 measured is equal to the value of the second process parameter that produced the printed pattern.
  • the shape parameters may correspond to linewidths (CD), line height, wall angle, pitch and the like, and the process parameters may correspond to focus, exposure and the like.
  • PPimeasured and PP 2 measured may be compared to PPi opt i ma i and PP 2 opt i mal to form corrections for adjusting the process parameters to keep the shape parameters within desired specifications.
  • the optimal values are typically determined in block 12.
  • a simplified comparison equation is shown below:
  • the measured values of the shape parameters may be compared to the optimal values of the shape parameters.
  • the difference between these two values may be used along with the inverted equation mentioned above to form corrections for the respective process parameters.
  • Fig. 2 is a method for determining optimal processing conditions 100, in accordance with one embodiment of the present invention.
  • the method generally begins at block 102 where scatterometry measurement sites on a focus exposure matrix wafer (or wafers) are measured with a scatterometry system.
  • the scatterometry measurement sites are typically printed in a layer of photoresist on the focus exposure matrix wafer via a lithography system.
  • the scatterometry measurement sites may be any periodic structure, as for example, one dimensional periodic structures situated in X or Y (such as line space gratings), or two dimensional periodic structures situated in X and Y (such as grid space gratings).
  • the scatterometry measurement sites may be dedicated targets disposed around the device structures or they may a portion of the device structure (e.g., a periodic portion). As should be appreciated, using a portion of the device structure may be more difficult, but it tends to be more accurate since it is a portion of the device structure. Either way, the scatterometry measurment sites may be formed using a product mask or a test mask.
  • the scatterometry measurement sites are generally located across the focus exposure matrix wafer. In fact, there is generally one or more scatterometry measurement sites per field. The number of fields within each wafer may be widely varied. However, there is typically two or more fields per wafer. Each field is typically formed using a different combination of focus and exposure (or may be focus or exposure only). For example, a first field may be produced using a first combination, and a second field may be produced using a second combination that is different than the first combination. The multiple combinations can be produced using varying focus and varying exposure, varying focus - constant exposure, constant focus - varying exposure, and the like. In most cases, the scatterometry measurement sites have an identical pattern so that the different combinations may be properly characterized.
  • a first test matrix may be performed using a first grating type and a second test matrix may be performed using a second grating type that is different than the first grating type.
  • the scatterometry system is configured to form measured spectra for each scatterometry measurement site.
  • the measured spectra generally includes intensity, polarization, phase and wavelength information associated with each site.
  • the measured spectra typically varies with the varying focus and exposure conditions used at each site. That is, the different focus and exposure conditions form different site profiles and different site profiles scatter, reflect and diffract the light of the scatterometry measurement in different ways.
  • the scatterometry system may be widely varied. For example, reflectometry, spectroscopic ellipsometry, multiwavelength reflectometry or angle resolved scatterometry and the like may be used.
  • the scatterometry system may be a tool that stands separate from the process tool or it may be linked (internal or external -with some mechanism for automated wafer handling) with the process tool. That is, the tool may be offline, inline or partially inline with the process tool. By partially, it is meant that a portion of the tool is inline while another portion may be offline.
  • the process flow proceeds to block 104 where the scatterometry data (e.g., measure spectra) is interpreted into shape parameter information.
  • the scatterometry data e.g., measure spectra
  • This may be accomplished using iterative regression techniques and/or by library matching techniques such as those previously described, i.e., match the measured spectra with libraries that link profiles with spectra.
  • iterative regression one or more simulated spectra are compared to a measured spectrum creating a difference of error signal, then another simulated spectrum is calculated and compared to the measured spectrum. This process is repeated (iterated) until the error is reduced (regressed) to the specified value.
  • One common method of iterative regression is nonlinear regression.
  • Block 102 may be performed by a computer system that may or may not reside in the scatterometry tool.
  • the analysis performed by the computer system may be configured to produce results in real time (within 0 to 10 second of measurement), i.e., as each site is tested, or it may be delayed.
  • focus exposure dependencies of shape parameters are determined. This is generally accomplished by by matching the different focus-exposure combinations used to form the measurement sites with the appropriate profiles of the measured spectra. By appropriate, it is meant that the profiles and focus-exposure combinations come from the same measurement sites.
  • the profiles contain a plurality of different shape parameters and thus the different focus exposure combinations may also be matched to the different shape parameters of the corresponding profile.
  • the matched data e.g., multiple data points
  • the focus exposure dependencies on shape parameters may be take the form of graphical plots or equations. Also, focus exposure dependencies on shape parameters may take the form of learned relationships such as those generated by artificial intelligence, neural networks and the like.
  • the graphical plots may be Bossung Plots that plot individual shape parameters vs. focus position for varying levels of exposure dose, i.e., the varying levels of exposure are plotted as contour lines with the shape parameter representing the Y axis and focus position representing the X axis of the graph.
  • the Bossung Plot may be inverted such that it plots exposure vs. focus for varying values of an individual shape parameter, i.e., the values of the shape parameter are plotted as contour lines with exposure representing the Y axis and focus position representing the X axis of the graph.
  • each plot may represent a different equation.
  • the Bossung plots may be overlapped to form a process window.
  • the overlapped bossung plots may be from the same grating type or they may be from different grating types.
  • the process flow proceeds to block 108 where the optimum focus and exposure of the lithography system is determined.
  • This is generally accomplished by choosing a desired value of one or more shape parameters, i.e., the one that is desired to be printed on the wafer, and matching it to the dependencies so as find the optimum focus and exposure for the desired value of the shape parameter.
  • the optimum focus exposure conditions can be used to control the lithographic process.
  • Multiple shape parameters may be used simultaneously to find a unique solution or to form a process window that keeps the shape parameters within desired tolerances. An optimal solution may be found in the process window via error minimization.
  • multiple shape parameters are plotted on the same Bossung Plot to find the optimum focus and exposure, i.e., the intersection of the desired shape parameter contour lines.
  • Fig. 3 is a method for determining dependences of shape parameters 120, in accordance with one embodiment of the present invention.
  • the method generally begins at block 122 where a resist process model is obtained.
  • the resist process model is used with the lithography simulation program to calculate simulated resist profiles that are determined to be substantially accurate by comparison to measured resist profiles.
  • the resist process model is configured to relate or integrate resist information about a particular process. For example, it may relate resist preparation, resist thickness, resist sensitivity to immulination, resist development, and resist thermal processes, and the like.
  • Fig. 4 is a flow diagram of focus exposure monitoringl50, in accordance with one embodiment of the present invention.
  • the method generally begins at block 152 where scatterometry measurement sites on a wafer are measured with a scatterometry system.
  • the scatterometry measurement sites and scatterometry system generally correspond to the scatterometry sites and scatterometry system described in Fig. 2. The difference is that the measurement sites are on a production wafer or a test wafer not a focus exposure matrix wafer.
  • the production and test wafers are being processed at nominal focus and exposure conditions rather than varying combinations thereof.
  • the optimal focus and exposure condition found in block 108 of Fig. 2 may be used as the nominal focus and exposure conditions.
  • the number of measurement sites may also differ. The number of sites per field is generally smaller on production wafers since the real estate on production wafers is so valuable. Also, fewer measurements are made on a product wafer than on a focus exposure matrix wafer due to time constraints in production. In one embodiment, a single site is measured per field. In another embodiment, multiple sites are measured per field.
  • scatterometry is one of the few types of metrology that has true in-situ potential for controlling focus and or exposure during a production run, i.e., it is suitable for die to die, wafer to wafer, run to run monitoring (and the like).
  • the process flow proceeds to block 154 where the scatterometry data obtained in block 152 is interpreted into shape parameter information. This may be accomplished in the same manner as block 104 in Fig. 2. As should be appreciated, even though scatterometry may be performed on one site, multiple shape parameters may be determined, i.e., scatterometry allows one measurement to produce multiple data inputs. For example, two or more shape parameters may be determined at one measurement site.
  • the process flow proceeds to block 156 where the shape parameter information determined in block 154 is matched with focus exposure dependencies of shape parameters to determine focus-exposure conditions used to process the measured wafer.
  • the shape information may be from a single measurement site or from multiple measurement sites. As should be appreciated, with two of these variables you are able to solve for two unknowns - focus and exposure.
  • the matching may performed using graphical plots or equations. In one embodiment, inverted plots or inverted equation are used. For example, an inverted Bossung Plot having Exposure vs. Focus for constant shape parameters is used. In order to solve for both focus and exposure, two or more plots may be overlapped. In addition, inverted equations such as Focus as a function of line width and height or Exposure as a function of height and sidewall angle may be used.
  • the process flow proceeds to block 158 where the focus- exposure correction required to optimize lithographic process is determined. This is generally accomplished by comparing the focus and exposure values obtained in the previous block with the focus and exposure values that were nominally set.
  • the correction may include information corresponding to the difference between the "measured" value (block 154) and the nominally set values. For example, if the nominally set exposure dose was 22, and the measured exposure dose was found to be 27, then the difference of 5 may be used to correct the process, i.e., to get the exposure dose to produce the correct shape parameters during subsequent runs.
  • the process flow proceeds to block 160 where the corrected focus-exposure information is inputted into the lithography system.
  • the corrected focus exposure information may be inputted manually by an operator or automatically by the system.
  • the corrections are fed into a program or computer that analyzes and decides what actual correction to make. For example, the decision may be to not make a correction because its too small.
  • resist loss (the difference between the original resist thickness or the thickness of large unpatterned resist area (> about 20 um square) and the grating height) can also be used as a parameter in focus exposure analysis. Measurement of resist film thickness after the resist develop process and direct calculation of the relative resist loss for the gratings can partially compensate for resist coating and development process variations that can make interpretation of resist height difficult. The resist thickness measurement can be done in the same sequence of measurements as the scatterometry grating measurements.
  • the multiple shape parameters found in any of the above methods may be shape parameters from two or more different type of scatterometry measurement sites including sites that differ in shape (line, contact, square or rectangular line segments), differ in pitch, differ in feature width or size but substantially equal in pitch, differ in mask construction (binary mask features vs. phase shift mask features), differ in position (placed at different locations on the mask), or differ in grating orientation (X, Y, X and Y).
  • different shapes and pitches exhibit different response to focus errors, and therefore it may be advantageous to measure distinct scatterometry measurement sites having different characteristics.
  • An example of solving for focus and exposure using linewidths (critical dimension or MCD) measured for two different line grating targets is given in Fig. 11.
  • An example of solving for focus and exposure using MCD data from one line grating target and sidewall angle and resist loss measured for a line grating target with different pitch is given in Fig. 12.
  • the shape information from multiple measurement sites in a stepper exposure field can also be analyzed to determine the imperfections or optical aberrations of the stepper lens system. This information can be used to adjust or repair the stepper lens system, to choose optimum stepper operating parameters to minimize the negative impact of optical aberrations, or to monitor the stability of the stepper lens system.
  • Fig. 5 is a simplified diagram of a line space grating 200, in accordance with one embodiment of the present invention.
  • the line space grating is generally provided to improve the focus and/or exposure control of subsequent lithographic patterning and to determine whether the quality of the photolithographic pattern meets specified requirements.
  • the line space grating may be used in any of the methods described herein.
  • the line space grating may be printed on a focus exposure test matrix wafer (block 12 in Fig. 1), a test wafer or a production wafer (block 14 in Fig. 1).
  • the focus exposure matrix wafer as well as the test wafer are generally used for testing the lithography equipment rather than for generating a product. Any number of line space gratings may be positioned on the wafers.
  • gratings per field may be used on production wafers while up to 1000's of gratings per field may be used on focus exposure matrix wafer and test wafers.
  • the line space grating(s) may be patterned using suitable photolithographic techniques. In most cases, the line space grating is printed in a layer of photoresist using a stepper or scanner lithography system. As should be appreciated line space gratings such as these are especially useful when using scatterometry measurement techniques.
  • the line space grating 200 is formed by a plurality of spaced apart parallel lines 202.
  • Each of the parallel lines is defined by several shape parameters.
  • the shape parameters may be related to the cross sectional shape of the line, as for example, line width, height, and wall angle or they may be related to a relationship between lines, as for example, pitch.
  • Height is the term used to describe the length of the line from its bottom to its top.
  • Line width (CD) is the term used to describe the width of the line.
  • the line width may be taken any where along the height of the line, for example, the bottom, middle or top or anywhere therebetween (width is generally along the dimension parallel to the wafer and perpendicular to the line - in the same direction that defined the grating pitch or period).
  • the wall angle is the term used to describe the angle between the line's sidewall and the line's base.
  • Pitch is the term used to describe the distance between lines. Pitch may be measured from the center or edges of the lines.
  • the trapezoid may be some shape altogether different than a trapezoid or it may be a variation of the trapezoid ( it may be rounded at the top corners or at the bottom corners, it may have bowed or curved sidewalls, and the like).
  • Theses parameters e.g., the radius of curvature of the rounded corners
  • the shapes may also be described in terms of shapes calculated using lithographic process simulation software such as PROLITH from KLA-Tencor or other software capable of simulating the resist profile.
  • the line space grating may be modified to form a grid space grating with spacing and shape parameters in both X and Y dimensions (XY plane is defined as the plane parallel to the wafer or substrate surface).
  • XY plane is defined as the plane parallel to the wafer or substrate surface.
  • Fig. 6 is a simplified diagram of a grid space grating 210, in accordance with one embodiment of the present invention. As shown, the grid space grating includes a plurality of spaced apart elements 212. Each of these elements is defined by several shape parameters.
  • the shape parameters of a grid space grating may be related to height, CD (which is similar to linewidth), wall angle, Y-pitch, X-pitch and XY cross sectional area. Although, only these shape parameters are shown, it should be noted that these shape parameters are not a limitation.
  • a cylindrical or truncated profile is shown in Fig. 5, other profiles such as rectangular, square, triangular, oval, hexagonal, truncated cone, cross, "L"-shape, rounded rectangle and the like (any arbitrary shape) may be used.
  • a symmetrical pattern is shown (the pitch is equal in the X and Y directions), it should be noted that asymmetrical patterns may be used.
  • the pitch may not be equal in the X and Y directions (e.g., longer in X, shorter in Y, etc.).
  • the pattern is shown in consecutive linear columns and rows, it should be noted that this is not a limitation and that diagonal rows and columns may also be used (or any combination thereof).
  • the pattern may take the form of a hexagonal array.
  • Other examples of patterns that may be measured with scatterometry include segmented lines (rectangular arrays of nominally rectangular line segments) or off-set or staggered "brick-wall" patterns familiar to those skilled in the art.
  • Fig. 7 is a Bossung Plot 220, in accordance with one embodiment of the invention.
  • the Bossung Plot illustrates curves of the focus exposure dependence of line width at 50% of height for a line space grating designed for 150 nm line width and 750 nm pitch. Each curve represents the focus dependence of line width for a constant exposure. The different curves are for exposure ranging from 22 to 32 exposure units in increments of 0.5.
  • the Bossung plot may be generated from data produced by a focus exposure test matrix using scatterometry techniques.
  • Fig. 8 is a Bossung Plot 230, in accordance with another embodiment of the invention.
  • the Bossung Plot illustrates curves of the focus exposure dependence of height for a line space grating designed for 150 nm line width and 750 nm pitch. Each curve represents the focus dependence of height for a constant exposure. The different curves are for exposure ranging from 22 to 32 exposure units in increments of 0.5.
  • the Bossung plot may be generated from data produced by a focus exposure test matrix using scatterometry techniques.
  • the linewidth data points in Fig. 6 and the height data points in Fig. 7 may be determined from the same or different scatterometry measurement(s) (e.g., same measurement site or different measurement sites).
  • Figs. 9a and 9b are Bossung Plots 240 and 250 respectively, in accordance with another embodiment of the invention.
  • Fig. 8b shows a subset of the focus exposure range of Fig. 8a.
  • the Bossung Plots illustrate curves of the focus exposure dependence of sidewall angle for a line space grating designed for 150 nm line width and 750 nm pitch. Each curve represents the focus dependence of height for a constant exposure. The different curves are for exposure ranging from 22 to 32 exposure units in increments of 0.5.
  • the Bossung plot may be generated from data produced by a focus exposure test matrix using scatterometry techniques.
  • the linewidth data points in Fig. 6, the height data points in Fig. 7 and the side wall angle data points in Fig. 8 may be determined from the same or different scatterometry measurement(s) (e.g., same measurement site or different measurement sites).
  • Figs. 10a and 10b are overlapped Bossung Plots 260 and 270 respectively, in accordance with another embodiment of the invention.
  • Fig. 10a shows an example of a subset of the data contained in Fig. 10b.
  • the overlapped Bossung Plots are inverted and include a first Bossung Plot 262 and a second bossung plot 264.
  • the first bossung plot may be the inverted version of the bossung plot shown in Fig. 7
  • the second bossung plot may be the inverted version of the bossung plot shown in Fig. 8.
  • the overlapped Bossung plots illustrate contours of constant line height 265 and line width 263 at 50% line height for a line space grating designed for 150 nm line width and 750 nm pitch. Each curve represents either the linewidth or height.
  • the different curves of linewidth are for linewidths 120 nm, 123 nm, and 126 nm, respectively, and the different curves of height are for heights 284 nm, 290 nm, and 296 nm, respectively.
  • a pair of specific contours of line height and line width intersect at only one focus-exposure combination, demonstrating a unique simultaneous solution for both focus and exposure. For example, referring to Fig.
  • Fig. 11 is an example of an overlapped Bossung Plot 280, in accordance with one embodiment of the present invention.
  • the overlapped bossung plot is configured to demonstrate a unique solution for focus and exposure determined from middle CD measurements on two different line grating types (e.g., two different scatterometry measurement sites).
  • the different gratings had different pitches, around 1:5 line to space ratio (labeled ISO_MCD) and around 1:1.6 line to space ratio (labeled dns_MCD).
  • the overlap region contains the solution for the focus and exposure required to produce the linewidths (critical dimension, MCD) measured independently for the different grating types.
  • Fig. 12 is an example of an overlapped Bossung Plot 290, in accordance with one embodiment of the present invention.
  • the overlapped bossung plot is configured to demonstrate a unique solution for focus and exposure determined from middle CD measurements on a first line grating type and side wall angle (SWA) and resist loss (RL) measurement on a different line grating with a different pitch.
  • the different gratings had different pitches, around 1:5 line to space ratio (labeled ISOJV1CD) and around 1:1.6 line to space ratio (labeled dns_SWA, dns_RL).
  • the solution is graphically shown as the intersection or enclosed region indictaed by the "+” sign.
  • the "+” sign schematically indicates the solution for the focus and exposure required to produce the shape parameters (critical dimension, MCD, sidewall angle, resist loss) measured independently for the different grating types.
  • Fig. 13 is a schematic presentation of a method of monitoring focus and exposure 300, in accordance with one embodiment of the present invention.
  • the method 300 generally begins at step 302 where a first wafer is processed with varying levels of focus and exposure.
  • the processing generally includes of printing a plurality of scatterometry measurement sites in a layer of photoresist with a lithography system (e.g., on a focus exposure test matrix wafer).
  • the process flow proceeds to step 304 where scatterometry measurements are performed on the scatterometry measurement sites (e.g., periodic structures such as line space or grid space gratings). This is generally accomplished with a scatterometry measurement tool such as those based on spectroscopic ellipsometry or spectroscopic reflectrometry.
  • the scatterometry measurements are generally in the form of measured spectra.
  • the process flow proceeds to step 306 where the measured spectra is converted into shape parameters.
  • first and second shape parameters may be found for a given scatterometry measurement site profile (e.g., trapezoid).
  • the process flow proceeds to step 308 where focus and exposure dependencies of shape parameters are formed. For example, dependencies such as a first shape parameter as a function of focus and exposure, as well as a second shape parameter as a function of focus and exposure may be formed.
  • the method continues in a separate process flow 310 that generally occurs after steps 302-308. The separate process flow may occur during a production run.
  • the separate process flow 310 generally begins with step 312 where a second wafer is processed with nominal focus and exposure levels.
  • the nominal focus and exposure values generally correspond to whats believed to be the best focus and exposure.
  • the processing generally includes printing a plurality of scatterometry measurement sites in a layer of photoresist with a lithography system (e.g., on a production wafer).
  • the lithography system may be the same system used in step 302.
  • the process flow proceeds to step 314 where scatterometry measurements are performed on the scatterometry measurement sites (e.g., periodic structures such as line space or grid space gratings). This is generally accomplished with a scatterometry measurement tool such as those based on spectroscopic ellipsometry.
  • the scatterometry tool may be the same scatterometry tool used in step 304.
  • the scatterometry measurements are generally in the form of measured spectra.
  • the process flow proceeds to step 316 where the measured spectra is converted into shape parameters.
  • first and second shape parameters may be found for a given scatterometry measurement site profile (e.g., trapezoid) or they may be found for a plurality of scatterometry measurement site profiles.
  • step 316 the process flow proceeds to step 318 where the shape parameters found in step 316 are compared with the dependencies found in step 308. This generally results in a measured value for focus and exposure.
  • the measured values generally correspond to the actual values for focus and exposure of the lithography system although nominal values were set. As should be appreciated, focus and exposure settings may fluctuate or change over the course of a production run, from run to run, or the life of the lithography tool.
  • step 320 the measured focus and exposure values found in step 318 are compared with the nominal focus and exposure values used in step 312. This typically results in a correction factor for both focus and exposure.
  • step 320 the process flow proceeds to step 322 where the nominal focus and exposure values are corrected using the correction factor determined in step 320.
  • the second process flow 310 may be performed continuously or incrementally over a production run to ensure that the profiles of the integrated circuits printed thereon meet specified requirements.
  • the first process flow, steps 302-308, may be performed incrementally as needed to ensure that the dependencies are accurate.
  • One advantage of the invention is that it may provide a unique determination of focus and/or exposure. Another advantage of the invention is that it allows for simultaneous solution of focus and exposure. Another advantage of the invention is that it uses shape information derived from scatterometry to determine best focus and/or exposure and to determine the stepper or scanner focus and/or exposure on nominally processed wafers. Another advantage of the invention is that the scatterometry techniques may use wavelengths longer than feature size (it has been found that wavelengths smaller than feature size are difficult to obtain when the feature size is less than 250 nm). Another advantage of the invention is that it allows for a unique determination of focus and exposure from scatterometry shape information.
  • Another advantage of the invention is that it uses more of the available shape information to obtain a better determination of focus and/or exposure. Another advantage of the invention is that it allows for more complex grating targets than lines and spaces. Another advantage of the invention is that it not affected by image threshold settings as in CD-SEM. Another advantage of the invention is that it is more precise than optical imaging measurements of line end shortening, and is capable of compensating for changes in the underlying film thickness. Furthermore, in contrast to the present invention, CD-SEM and line end shortening methods cannot be performed with scatterometry, nor can they obtain good quality shape information outside of the XY plane. For example, they cannot obtain shape information on grating target elements in the Z direction normal to the wafer surface. Line end shortening methods for focus exposure monitoring do not simultaneously provide line width or other critical dimension information, thus requiring another set of measurements to determine these.
  • MidCD or middle CD which is the width at 50% of the profile height
  • the width at any height can be used as a shape parameter or the width at two or more heights can be used for two different shape parameters (the same can be said for some of the other shape parameters as well).
  • wafer was used throughout (e.g., semiconductor), it should be noted that the term wafer may also apply to workpieces, substrates, samples and the like (which are associated with other types of manufacturing) when the methods of the invention are used in other types of manufacturing.
  • shape parameters are not limited to those described herein, and may be widely varied therefrom.
  • shape parameters such as cross sectional area, volume, and the like may be used.
  • shape parameters such as cross sectional area, volume, and the like may be used.
  • the invention has been described in terms of photolithography that uses conventional radiation techniques, it should noted that other lithography techniques may also be used, for example, UN lithography (which uses ultra violet), X-ray lithography (which uses X-ray), e-beam lithography (which uses electron beam), and ion beam (which uses ion beam).
  • the shape information (that are obtained via scatterometry, for example) from multiple measurement sites (nominally identical) in a stepper or scanner exposure field can also be analyzed to determine the imperfections or optical aberrations of the stepper lens system. This information can be used to adjust or repair the stepper lens system, to choose optimum stepper operating parameters to minimize the negative impact of the optical aberrations, or to monitor the stability of the stepper lens system.
  • One method would convert the shape information for each measurement location to an effective focus error value at that measurement location (focal plan deviation). Another method would determine the best focus for each measurement location in the field by measuring a focus exposure matrix wafer at multiple sites in the field.
  • optical aberrations generally termed spherical aberration, coma, astigmatism, curvature of field, and distortion.
  • spherical aberration coma
  • astigmatism astigmatism
  • curvature of field and distortion.
  • optical aberrations Seidel aberrations and Zernike polynomials.
  • the scatterometry measurements can also be performed on measurements targets or measurement areas generated with different target orientations (vertical versus horizontal or any angle of the target symmetry axis), or stepper optical settings such as varying numerical aperture, coherence, illumination conditions, etc. to separate the contributions of different aberrations or different Zernike terms.
  • the scatterometry shape information from multiple target types differing in pitch, orientation, element shape or size, etc may also be combined to determine the optical aberrations.
  • Some aberrations, including coma may also create asymmetry in the resist profile resulting in a profile that may be better described as a "tilted trapezoid" with a "central line” that is not normal to the wafer surface.
  • the angle of this central line is another shape parameter that may be used to determine the aberrations of the system.
  • the average sidewall angle or the difference between the sidewall angles on the left and right sides of the line may also be used to determine the aberrations.
  • Scatterometry measurement masks features can also be designed to be more sensitive for specific aberrations.
  • the resist line widths of the left and right lines (or top and bottom lines) printed with a 2-bar target are commonly measured with CD-SEMs to evaluate coma aberrations. (Reference: M. Moers, et al, SPIE Optical Microlithography XIN, Nol 4346 p. 1379, (2001)).
  • a scatterometry measurement target can be constructed of repeating units of a 2 bar target (left line denoted A, right line denoted B, with space S between the lines A and B of the unit cell) distributed on a pitch P.
  • the pitch P should be as large as is practical for the scatterometry measurement system.
  • Scatterometry measurements can be performed on the scatterometry 2-bar target to determine the shape parameters for the lines A and B.
  • One measure of the effects of the aberrations could be the difference of the widths of the lines A and B, W(A) - W(B) or the normalized difference, ((W(A)-W(B))/((W(A)+(W(B)).
  • Another measure of the effects of the aberrations could be the difference in the heights of the lines A and B H(A) - H(B) or the normalized difference ((H(A)-H(B))/((H(A)+(H(B)).
  • the shape information determined from scatterometry measurements for multiple measurement locations in the stepper or scanner field is then evaluated to determine the aben-ations. It is useful to compare the measured shape parameters with resist profiles calculated with lithography simulation software that can include the effects of aberrations in the simulation.
  • Fig. 14 is a simplified top view diagram of a scatterometry target 400 that may be used to determine the optical aberrations of a lithographic system, i.e., the aberration target is sensitive to certain aberrations.
  • the aberration target 400 includes a plurality of units 402 that repeat at intervals of pitch 404.
  • the units 402 are formed by two lines or bars 406, 408 that are separated by a space S.
  • Fig. 15 is a simplified top view of a scatterometry target 410 that may be used to determine the process parameters or optical aberrations of a lithographic system.
  • the scatterometry target 410 includes an array of rectangular line segments 412.
  • This type of pattern is sometimes referred to as a checkerboard pattern.
  • the line lengths, line widths, and spacings therebtween in the x and y directions may be widely varied.
  • the linewidth is equal to d
  • the line length is equal to 2d
  • the spacing is equal to d.
  • the dimension d is equal to the design rule of the feature size.
  • Fig. 16 is a simplified top view of a scatterometry target 420 that may be used to determine the process parameters or optical aberrations of a lithographic system.
  • the scatterometry target 420 includes a staggered array of rectangular line segments 422. This type of pattern is sometimes referred to as a brickwall pattern.
  • the line lengths, line widths, and spacings therebtween in the x and y directions may be widely varied.
  • the amount of stagger between columns in the y direction may also be widely varied.
  • the linewidth is equal to d
  • the line length is equal to 2d
  • the spacing is equal to d.
  • the amount of stagger for each adjacent row 424 is equal to d.
  • Fig. 17 is a simplified top view of a scatterometry target 430 that may be used to determine the process parameters or optical aberrations of a lithographic system.
  • the scatterometry target 430 in Fig. 17 is similar the target shown in Fig. 16 in that it includes a staggered array of rectangular line segments 432 (e.g., brickwall pattern).
  • the lines 432 are interspersed.
  • Px and Py are the pattern pitch in the x and y directions, respectively
  • Sx and Sy are the line spacing in the x and y directions
  • L is the line segment length
  • A, B and C are the widths of the line segment measured at different locations along the length of the element.
  • the line length and spacings in x and y directions may be different than those shown in the example.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

L'invention concerne un procédé permettant de déterminer au moins un réglage des paramètres d'un système photolithographique.
PCT/US2002/020876 2001-06-26 2002-06-26 Procede de determination de la focalisation et de l'exposition lithographiques WO2003001297A2 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003507631A JP2005513757A (ja) 2001-06-26 2002-06-26 リソグラフィのフォーカスおよび露光を決定する方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US30127001P 2001-06-26 2001-06-26
US60/301,270 2001-06-26
US36183702P 2002-03-04 2002-03-04
US60/361,837 2002-03-04

Publications (2)

Publication Number Publication Date
WO2003001297A2 true WO2003001297A2 (fr) 2003-01-03
WO2003001297A3 WO2003001297A3 (fr) 2003-03-20

Family

ID=26972263

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/020876 WO2003001297A2 (fr) 2001-06-26 2002-06-26 Procede de determination de la focalisation et de l'exposition lithographiques

Country Status (1)

Country Link
WO (1) WO2003001297A2 (fr)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1416328A2 (fr) * 2002-11-01 2004-05-06 ASML Netherlands B.V. Procédé d'inspection et procédé pour la production d'un dispositif
WO2005106932A1 (fr) * 2004-04-28 2005-11-10 Nikon Corporation Méthode d'analyse, équipement d'exposition et système d'équipement d'exposition
US7042551B2 (en) 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
WO2006049037A1 (fr) * 2004-11-01 2006-05-11 Tokyo Electron Limited Procédé de correction de condition d’exposition, équipement de traitement de substrat et programme informatique
WO2006054496A1 (fr) * 2004-11-16 2006-05-26 Tokyo Electron Limited Méthode et système de détection de précision de synchronisation, méthode et système de détection d’aberration et programme informatique
US7151594B2 (en) 2002-11-01 2006-12-19 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
JP2008530579A (ja) * 2005-02-18 2008-08-07 東京エレクトロン株式会社 繰り返し構造のための光計測の最適化
US7656528B2 (en) 2001-04-10 2010-02-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
CN102498441A (zh) * 2009-07-31 2012-06-13 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
US9291920B2 (en) 2012-09-06 2016-03-22 Kla-Tencor Corporation Focus recipe determination for a lithographic scanner
US9702693B2 (en) 2000-08-30 2017-07-11 Kla-Tencor Corporation Apparatus for measuring overlay errors
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10096528B2 (en) 2016-05-13 2018-10-09 Tokyo Electron Limited Critical dimension control by use of a photo agent
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10551743B2 (en) 2016-05-13 2020-02-04 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
CN112631086A (zh) * 2016-07-11 2021-04-09 Asml荷兰有限公司 用于确定性能参数的指纹的方法和设备
US11163236B2 (en) 2019-08-16 2021-11-02 Tokyo Electron Limited Method and process for stochastic driven detectivity healing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4908656A (en) * 1988-01-21 1990-03-13 Nikon Corporation Method of dimension measurement for a pattern formed by exposure apparatus, and method for setting exposure conditions and for inspecting exposure precision
US5936738A (en) * 1998-08-03 1999-08-10 International Business Machines Corporation Focus monitor for alternating phase shifted masks
US6063531A (en) * 1998-10-06 2000-05-16 Advanced Micro Devices, Inc. Focus monitor structure and method for lithography process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4908656A (en) * 1988-01-21 1990-03-13 Nikon Corporation Method of dimension measurement for a pattern formed by exposure apparatus, and method for setting exposure conditions and for inspecting exposure precision
US5936738A (en) * 1998-08-03 1999-08-10 International Business Machines Corporation Focus monitor for alternating phase shifted masks
US6063531A (en) * 1998-10-06 2000-05-16 Advanced Micro Devices, Inc. Focus monitor structure and method for lithography process

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9702693B2 (en) 2000-08-30 2017-07-11 Kla-Tencor Corporation Apparatus for measuring overlay errors
US7656528B2 (en) 2001-04-10 2010-02-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
US10151584B2 (en) 2001-04-10 2018-12-11 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
US9835447B2 (en) 2001-04-10 2017-12-05 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
US9476698B2 (en) 2001-04-10 2016-10-25 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
US9234745B2 (en) 2001-04-10 2016-01-12 Kla-Tencor Corporation Periodic patterns and techniques to control misalignment between two layers
US7312860B2 (en) 2002-11-01 2007-12-25 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
EP1416328A3 (fr) * 2002-11-01 2006-11-15 ASML Netherlands B.V. Procédé d'inspection et procédé pour la production d'un dispositif
US7151594B2 (en) 2002-11-01 2006-12-19 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
EP1416328A2 (fr) * 2002-11-01 2004-05-06 ASML Netherlands B.V. Procédé d'inspection et procédé pour la production d'un dispositif
US7042551B2 (en) 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
JPWO2005106932A1 (ja) * 2004-04-28 2008-03-21 株式会社ニコン 解析方法、露光装置及び露光装置システム
WO2005106932A1 (fr) * 2004-04-28 2005-11-10 Nikon Corporation Méthode d'analyse, équipement d'exposition et système d'équipement d'exposition
WO2006049037A1 (fr) * 2004-11-01 2006-05-11 Tokyo Electron Limited Procédé de correction de condition d’exposition, équipement de traitement de substrat et programme informatique
WO2006054496A1 (fr) * 2004-11-16 2006-05-26 Tokyo Electron Limited Méthode et système de détection de précision de synchronisation, méthode et système de détection d’aberration et programme informatique
JP2008530579A (ja) * 2005-02-18 2008-08-07 東京エレクトロン株式会社 繰り返し構造のための光計測の最適化
CN102498441A (zh) * 2009-07-31 2012-06-13 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
US9081303B2 (en) 2009-07-31 2015-07-14 Asml Netherlands B.V. Methods and scatterometers, lithographic systems, and lithographic processing cells
US8994944B2 (en) 2009-07-31 2015-03-31 Asml Netherlands B.V. Methods and scatterometers, lithographic systems, and lithographic processing cells
US9291920B2 (en) 2012-09-06 2016-03-22 Kla-Tencor Corporation Focus recipe determination for a lithographic scanner
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
TWI651623B (zh) * 2016-02-19 2019-02-21 東京威力科創股份有限公司 光敏化化學放大光阻之模型校正
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10096528B2 (en) 2016-05-13 2018-10-09 Tokyo Electron Limited Critical dimension control by use of a photo agent
US10522428B2 (en) 2016-05-13 2019-12-31 Tokyo Electron Limited Critical dimension control by use of a photo agent
US10551743B2 (en) 2016-05-13 2020-02-04 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
CN112631086A (zh) * 2016-07-11 2021-04-09 Asml荷兰有限公司 用于确定性能参数的指纹的方法和设备
US11163236B2 (en) 2019-08-16 2021-11-02 Tokyo Electron Limited Method and process for stochastic driven detectivity healing

Also Published As

Publication number Publication date
WO2003001297A3 (fr) 2003-03-20

Similar Documents

Publication Publication Date Title
US7656512B2 (en) Method for determining lithographic focus and exposure
US10101674B2 (en) Methods and apparatus for determining focus
EP3391150B1 (fr) Métrologie optique de processus lithographiques utilisant des caractéristiques de sous-résolution asymétrique pour améliorer la mesure
US7352453B2 (en) Method for process optimization and control by comparison between 2 or more measured scatterometry signals
EP3031073B1 (fr) Procédés différentiels et appareil de métrologie de cibles semi-conductrices
KR101793538B1 (ko) 오버레이 오차를 결정하는 장치 및 방법
WO2003001297A2 (fr) Procede de determination de la focalisation et de l'exposition lithographiques
US8830447B2 (en) Inspection method for lithography
US7368208B1 (en) Measuring phase errors on phase shift masks
TW202004366A (zh) 判定基板上之一或多個結構之特性的度量衡設備及方法
US7119893B2 (en) Determination of center of focus by parameter variability analysis
JP2005513757A (ja) リソグラフィのフォーカスおよび露光を決定する方法
TW201716883A (zh) 檢查方法、微影裝置、光罩及基板
JP3981664B2 (ja) 検査方法およびデバイス製造方法
TW202132926A (zh) 對準方法與相關對準及微影裝置
Spaziani et al. Lithography process control using in-line metrology

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2003507631

Country of ref document: JP

122 Ep: pct application non-entry in european phase