WO1999065066A1 - Transfer method and aligner - Google Patents

Transfer method and aligner Download PDF

Info

Publication number
WO1999065066A1
WO1999065066A1 PCT/JP1999/002941 JP9902941W WO9965066A1 WO 1999065066 A1 WO1999065066 A1 WO 1999065066A1 JP 9902941 W JP9902941 W JP 9902941W WO 9965066 A1 WO9965066 A1 WO 9965066A1
Authority
WO
WIPO (PCT)
Prior art keywords
pattern
illumination
transfer method
patterns
linear
Prior art date
Application number
PCT/JP1999/002941
Other languages
French (fr)
Japanese (ja)
Inventor
Naomasa Shiraishi
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to AU40585/99A priority Critical patent/AU4058599A/en
Priority to JP2000553986A priority patent/JP4465644B2/en
Publication of WO1999065066A1 publication Critical patent/WO1999065066A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature

Definitions

  • the present invention transfers a mask pattern image onto a substrate such as a wafer during a lithography process for forming a fine pattern of an electronic device such as a semiconductor integrated circuit, an image pickup device (CCD or the like), or a liquid crystal display device.
  • the present invention relates to a transfer method and an exposure apparatus used for the transfer. Background art
  • a fine pattern of a semiconductor integrated circuit or the like is obtained by using a projection exposure apparatus (stepper or the like) to apply an image of an original pattern drawn on a reticle as a mask to a wafer coated with a photoresist as a photosensitive film. It is formed by subjecting a photosensitive resist film to a positive resist by development after projecting and exposing it to a substrate such as the above, followed by a predetermined processing step.
  • a projection exposure apparatus stepper or the like
  • the resolution of a projection optical system is generally proportional to ⁇ / ⁇ ⁇ , assuming the wavelength of illumination light (exposure light) and the numerical aperture as NA.
  • the currently mainstream exposure wavelength ⁇ is 248 nm of KrF excimer laser light, but the use of ArF excimer laser light (wavelength: 193 nm) will be considered in the future. ing.
  • the wavelength is further shortened, it is difficult to construct a projection optical system using a refraction system because there is no suitable glass material that can be used as a lens constituting the projection optical system.
  • NA of the current projection optical system is as large as about 0.7, further improvement of the numerical aperture NA cannot be expected.
  • Depth of focus is also important when actually transferring a fine pattern, but the depth of focus is reduced by either shortening the exposure wavelength ⁇ or improving the numerical aperture NA.
  • the depth of focus varies depending on the type of the pattern to be transferred, in the case of a dense pattern (periodic pattern) in which the patterns are arranged relatively close to each other, Japanese Patent Application Laid-Open No. H11-111408 and As disclosed in the corresponding U.S. Pat.No. 5,638,211, Japanese Patent Application Laid-Open No. H5-20607, and the corresponding U.S. Pat.No.
  • a linear pattern (fine line pattern) with a fine line width which is called an isolated line and is relatively isolated from other patterns, is a pattern in which it is particularly difficult to obtain a focal depth.
  • patterns called gate patterns that determine the performance of the devices include isolated lines.
  • auxiliary pattern method in which auxiliary patterns are added to both ends of the isolated line, and deformed illumination (including annular illumination) is also used.
  • deformed illumination including annular illumination
  • the imaging characteristics of the isolated line can be improved to some extent.
  • synthetic exposure a method for forming an isolated line by composite exposure (multiple exposure) of an isolated line and a periodic pattern (hereinafter referred to as “synthetic exposure”) Law). Also in this method, the resolution and the depth of focus are improved by using deformed illumination when exposing the periodic pattern. Therefore, the resolution and depth of focus of the isolated line image are greatly improved.
  • modified illumination is used to further improve the resolution and depth of focus. It is necessary to limit the luminous flux on the optical Fourier transform surface in the optical system to a small area as far away from the optical axis as possible. Thus, if the illumination light beam is narrowed down on the Fourier transform surface in the illumination optical system, the spread of the light beam in the projection optical system is correspondingly reduced, and as a result, the projection optical system is affected by the exposure light beam. Local heating causes local thermal expansion and changes in the refractive index, and the imaging characteristics of the projection optical system gradually degrade slightly.
  • the present invention provides a transfer method capable of transferring a circuit pattern image composed of a linear pattern such as a gate pattern and a pattern having a wide end portion onto a substrate such as a wafer with high accuracy.
  • the primary purpose is to provide.
  • a second object is to provide a transfer method that can be used.
  • a third object of the present invention is to provide a transfer method capable of suppressing deterioration of the imaging characteristics of the projection optical system when deformed illumination is used as part of the illumination conditions.
  • the present invention provides an exposure apparatus that can use such a transfer method, an efficient manufacturing method of the exposure apparatus, and a device manufacturing method that can manufacture a device with high accuracy using such a transfer method. It is also intended. Disclosure of the invention
  • a first transfer method is a transfer method that transfers an image of a pattern (P 1) having a predetermined shape including a predetermined linear pattern (P la) onto a substrate (16) via its projection optical system.
  • a plurality of transmission patterns (B 1) each having substantially the same line width as the linear pattern are formed in the width direction of the linear pattern so as to contact the portion (P la ′) corresponding to the linear pattern.
  • a second mask pattern (9B) that is periodically arranged and at least a region other than the transmission pattern in the vicinity of a portion corresponding to the linear pattern is used as a light-reducing portion (32) is used.
  • the images of the two masks are sequentially placed on the substrate via the projection optical system.
  • the light is transferred while being aligned with each other, and the illumination conditions for exposing the image of the second mask pattern are determined by the intensity distribution on the optical Fourier transform plane (5) for the pattern to be exposed by the illumination optical system.
  • strong deformed illumination is used.
  • a transmission pattern (B 1) that is periodically arranged only at a position corresponding to the vicinity of the linear pattern was formed, and the other portions were light reduction portions.
  • the shape of the first mask pattern may be substantially the same as the pattern of the predetermined shape to be transferred, and there is almost no pattern data to be newly created, while the second mask pattern has a line shape. It is only necessary to arrange them around the shape pattern, and the amount of creation for each day is small as a whole.
  • the pattern having the predetermined shape is a gate pattern, it is not necessary to form a wide pattern such as a pattern for superimposing the end of the linear pattern on the second mask pattern, and the second mask pattern is substantially formed. This means that the gate pattern is easily and easily decomposed into isolated lines and periodic patterns.
  • the transmission pattern included in the second mask pattern is only a minute periodic pattern portion around the linear pattern, and the transmittance (the ratio of the transmission pattern) of the entire pattern is low.
  • the amount of light transmitted through the projection optical system is reduced. Therefore, even if the imaging light flux is locally concentrated in the projection optical system due to the deformed illumination, there is no danger that the optical system will be locally heated and deformed, and the high-resolution deformed illumination should be used stably. Becomes possible.
  • the exposure amount when transferring the second mask pattern is set to be larger than the exposure amount when transferring the first mask pattern.
  • a second transfer method is a transfer method for transferring an image of an isolated linear pattern onto a substrate via a projection optical system, wherein the linear pattern is used as a light-reducing portion.
  • the periodic second pattern (B 1) consisting of the pattern is illuminated with the illumination light, and the dimming part of the first pattern and one dimming sandwiched between the plurality of transmission patterns on the substrate.
  • the substrate is subjected to multiple exposure using the first and second patterns so that the portions overlap.
  • the final line width of the isolated linear pattern is accurately defined by the periodic transfer of the second pattern, and unnecessary periodic patterns are covered by the transfer of the first pattern. It can transfer isolated linear patterns with high accuracy.
  • the exposure amount when transferring the first pattern and the exposure amount when transferring the second pattern be different.
  • the line width of the first pattern is approximately 1 to 2 times the line width of the linear pattern
  • the line width of the second pattern is desirably about the same as the line width of the linear pattern.
  • a third transfer method is a transfer method for transferring an image of an isolated linear pattern onto a substrate via a projection optical system, wherein the transfer pattern has substantially the same shape as the linear pattern.
  • a first pattern (A 1) of the above and a periodic second pattern (B 1) including a linear portion having substantially the same line width as the linear pattern are illuminated with illumination light, respectively.
  • the substrate is subjected to multiple exposure using the first and second patterns so that the first pattern and the linear portion of the second pattern overlap.
  • an isolated linear pattern can be transferred with high accuracy.
  • the exposure condition of the substrate when transferring the first pattern and the exposure condition of the substrate when transferring the second pattern be different.
  • the exposure condition is applied to the first and second patterns, respectively.
  • the illumination optical system includes the intensity distribution of the illumination light on the optical Fourier transform plane for the pattern in the illumination optical system that emits bright light. It is desirable to increase the intensity distribution of the illumination light outside the region including the optical axis of the illumination light.
  • the exposure conditions include the exposure amount of the substrate.
  • the second pattern preferably includes a transmissive portion that shifts the phase of the illumination light by approximately 180 °, and the transmissive portion is desirably a translucent portion that reduces the illumination light.
  • the line pattern has a line width at least at one end larger than that at the center.
  • the linear pattern is, for example, a gate electrode pattern.
  • the line width of the linear pattern is, for example, substantially equal to the resolution limit of the projection optical system.
  • a linear pattern is, for example, when illuminated under illumination conditions (normal illumination) using illumination light passing through a substantially circular area centered on the optical axis on the optical Fourier transform surface with respect to the mask pattern.
  • a pattern whose ideal projected image width is about 12 to 5 times the theoretical resolution limit of the projected optical system.
  • the exposure apparatus comprises an illumination optical system (1 to 4, 6A, 6B, 7) for illuminating a predetermined mask, and a projection optical system for transferring an image of a pattern of the mask onto a substrate.
  • the illumination conditions of the illumination optical system are set such that the intensity distribution of the pattern to be exposed on the optical Fourier transform plane (5) is stronger in a region outside the vicinity of the optical axis than in the vicinity of the optical axis.
  • An illumination condition control system (2 3, 4 2, 4 3) that switches between deformed illumination and other illumination, and a plurality of mask patterns (9 A, 9 B)
  • a pattern selecting device (11 to 13) for selecting one of the mask patterns and a mutual position of a plurality of mask patterns sequentially selected by the pattern selecting device;
  • An alignment system (8A, 8B, 25) that performs alignment, and an exposure system that switches the illumination conditions via the illumination condition control system according to the pattern selected by the pattern selection device to perform multiple exposure.
  • Control system (27) and With this exposure apparatus, the first and second transfer methods of the present invention can be performed.
  • the method of manufacturing an exposure apparatus includes an illumination optical system (1 to 4, 6A, 6B, 7) for illuminating a predetermined mask, and a projection for transferring an image of a pattern of the mask onto a substrate.
  • the illumination conditions of the optical system (14) and its illumination optical system are defined as follows: the intensity distribution of the pattern to be exposed on the optical Fourier transform surface (5) is higher than that of the vicinity of the optical axis.
  • An illumination condition control system (23, 42, 43) that switches to one of the other illuminations, and one of a plurality of mask patterns (9A, 9B) as the mask pattern
  • a pattern selection device (11 to 13)
  • an alignment system (8A, 8B, 25) for mutually aligning a plurality of mask patterns sequentially selected by the pattern selection device, and the pattern
  • the lighting condition control system according to the pattern selected by the selection device An exposure control system for performing multiple exposure (2 7) is switched the lighting conditions through, the one in which assembled in a predetermined positional relationship.
  • the device manufacturing method according to the present invention includes, in a certain layer, a pattern having a line width substantially equal to a resolution limit of a projection image of a projection optical system (14) of an exposure apparatus to be used.
  • a pattern having a line width approximately equal to the resolution limit of the projection optical system can be formed with high accuracy.
  • the linear pattern is a gate electrode pattern of a field-effect transistor.
  • FIG. 1 is a configuration diagram showing a projection exposure apparatus used in an example of an embodiment of the present invention.
  • FIG. 2 is a partially enlarged view showing an example of a circuit pattern of a certain layer of the device formed in the embodiment.
  • FIG. 3 is a diagram showing a pattern configuration of two reticles used to project an image of the circuit pattern of FIG.
  • FIG. 4A is a diagram showing a pattern of a second reticle used in another example of the embodiment of the present invention
  • FIG. 4B is a diagram showing a third reticle used in the embodiment.
  • FIG. FIG. 5 (A) is a cross-sectional view taken along line ⁇ of FIG.
  • FIG. 5 ( ⁇ ) showing a state where the ⁇ stop 44 is arranged on the exit surface of the fly-eye lens 41 of FIG. 1, and FIG. 5 ( ⁇ ) is a modified illumination
  • 5 (C) is a diagram showing a diaphragm 45 having a circular aperture
  • FIGS. 5 (D) and 5 ( ⁇ ) are ⁇ diaphragms 46 and 47 for deformed illumination, respectively.
  • FIG. FIG. 6 is a diagram showing a reticle in which only a periodic transmission pattern is configured by a transmission unit, only a portion between the transmission patterns is configured by a dimming type phase shift unit, and the other part is configured by a light shielding unit.
  • FIG. 7 is a flowchart showing an exposure operation according to an example of the embodiment of the present invention. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIG. 1 shows a projection exposure apparatus used in this example.
  • illumination light IL 0 as exposure light emitted from an exposure light source 1 is adjusted after a beam shape is adjusted by a relay optical system 2. Then, the light is reflected by the mirror 13 as illumination light IL 1 and enters the illuminance distribution shaping optical system 4.
  • an ArF excimer laser light source (wavelength: 193 nm) is used in this example. Otherwise the K r F excimer laser (wavelength 248 nm), F 2 laser (wavelength 1 57 nm), A r 2 laser (wavelength 1 26 nm), or harmonic generator such as a Y AG laser can also be used.
  • the illuminance distribution shaping optical system 4 of this example is provided with a fly-eye lens 41 as an optical integrator (homogenizer), and is rotatably disposed on the exit surface 5, and has a plurality of aperture stops ( A rotating plate 42 on which a rotating plate 42 is disposed, and a driving module 43 for rotating the rotating plate 42.
  • the exit surface 5 of the fly-eye lens 41 has an optical Fourier transform relationship with the pattern surface of the reticle as a mask to be exposed, and the center of the desired ⁇ stop is turned by rotating the rotating plate 42.
  • the rotating plate 42 has four light-shielding plates formed at equal angular intervals around the optical axis AX 1 while being installed on the emission surface 5 respectively.
  • a ⁇ stop 46 having small circular openings 46 a and 46 b, and a ⁇ stop 47 having openings 47 a and 47 b formed by rotating the ⁇ stop 46 by 90 ° are arranged.
  • FIG. 5 ( ⁇ ) is a sectional view taken along the line ⁇ of FIG. 5 (5).
  • the intensity distribution of the illumination light when passing through the optical Fourier transform surface of the reticle pattern to be exposed is defined by the positions of the apertures of the ⁇ stops 44 to 47, and the reticle pattern is incident on the reticle pattern.
  • the distribution of the incident angle and direction of the illumination light is specified.
  • the ⁇ stops 44, 46, and 47 are ⁇ stops for performing modified illumination, which is an illumination condition in which the illumination light passes through a region not including the optical axis AX1, on the optical Fourier transform plane.
  • the main control system 27, which supervises and controls the operation of the entire apparatus has an optimal ⁇ stop among ⁇ stops 44 to 47, depending on the period direction and fineness of the reticle pattern to be exposed.
  • the information is stored as a table. Therefore, before exposure, the main control system 27 supplies information of the ⁇ stop which is optimal for the reticle pattern to be exposed to the exposure control system 23, and the exposure control system 23 is optimized via the driving mode 43. Set the appropriate ⁇ stop on the exit surface 5 of the fly-eye lens 4 1.
  • the exposure control system 23 also controls the light emission state of the exposure light source 1. It should be noted that the optimum shape of the ⁇ stop for various reticle patterns is described in, for example, Japanese Patent Application Laid-Open No. Hei 4-111, U.S. Pat. No. 5,638,211 and U.S. Pat. No. 5,335,044, the disclosures of the above-mentioned publications and U.S. patents are hereby incorporated by reference, to the extent permitted by the national laws of the designated State designated in this International Application, or of the chosen elected State. Part of the text.
  • the illumination light IL 2 emitted from the illuminance distribution shaping optical system 4 passes through a condenser lens system 6 mm, a mirror 7 and a condenser lens 6 mm, and in the state of FIG. 1, the pattern of the first reticle 9 mm as a mask in FIG. Illuminates the illumination area of the surface.
  • an image of the pattern of the reticle 9A is projected through the projection optical system 14 at a projection magnification of 3 (for example, 1/4, 1/5, etc.), and the photoresist as a substrate is formed.
  • the surface of the wafer 16 coated with the wafer is projected and exposed.
  • the condenser lens system 6A actually includes a field stop (reticle blind) that defines the illumination area.
  • the exit surface 5 of the fly-eye lens 41 is an optical Fourier transform surface of the pattern surface of the reticle to be exposed with respect to the optical system including the condenser lens system 6A, the mirror 7, and the condenser lens 6B. I have.
  • An aperture stop 15 is arranged on an optical Fourier transform plane (pupil plane) for the pattern surface of the reticle 9A in the projection optical system 14.
  • the reticle 9 A is a reticle holder 10 A on the reticle stage 11, Adsorbed and held on 10 B.
  • exposure of a predetermined pattern image onto the wafer 16 is performed by composite exposure (multiple exposure) of a plurality of reticle patterns. Therefore, the second reticle 9B is sucked and held via the reticle holders 10B and 10C in an area near the reticle 9A on the reticle stage 11, and exposure is performed while exchanging these reticles. This is possible.
  • a description will be given taking an X axis parallel to the plane of FIG. 1 and a Y axis perpendicular to the plane of FIG. 1 in a plane perpendicular to the optical axis AX 2 of the projection optical system 14.
  • the reticles 9A and 9B are mounted on the reticle stage 11 in close proximity in the X direction.
  • the reticle stage 11 can be moved on the reticle base 12 with a long stroke in the X direction, and can be positioned in a predetermined range in the X direction, the Y direction, and the rotation direction.
  • the two-dimensional position of the reticle stage 11 is measured by a movable mirror 13 m and a laser interferometer 13 disposed opposite thereto, and based on the measured values and control information from the main control system 27.
  • the reticle stage drive system 21 controls the operation of the reticle stage 11.
  • a pair of reticle alignment microscopes (hereinafter referred to as “RA microscopes”) 8A and 8B are installed below the periphery of the condenser lens 6B, and the imaging signals of the RA microscopes 8A and 8B are transferred to an alignment signal processing system. Supplied to 26.
  • the RA microscopes 8A and 8B capture images of the alignment marks of the reticle 9A (or reticle 9B) and the corresponding reference marks on the wafer stage, respectively, and the alignment signal processing system 26 Then, the position shift amount of the two pairs of marks is calculated and supplied to the main control system 27.
  • the main control system 27 maintains the positional relationship between the reticle images of both reticles 9A and 9B by, for example, positioning the reticle stage 11 so that the amount of displacement is symmetrically minimized. And with high precision Can be aligned.
  • the position (including the X coordinate) of the reticle stage 11 is measured with high accuracy by the laser interferometer 13;
  • the reticle alignment of the reticle 9A, 9B need only be performed once when these reticles 9A, 9B are loaded onto the reticle stage 11 from a reticle opening system (not shown).
  • the reticle stage 11 may be simply positioned based on the measurement value of the laser interferometer 13.
  • the wafer 16 is held on a wafer stage 17 via a wafer holder (not shown), and the wafer stage 17 moves the wafer 16 stepwise in the X direction and the Y direction on the platen 18.
  • the focus position (position in the Z direction) and the tilt angle of the surface of the wafer 16 are adjusted to the image plane of the projection optical system 14 by an autofocus method based on the measurement values of an autofocus sensor (not shown).
  • the two-dimensional position of the wafer stage 17 is measured by a moving mirror 19 m and a laser interferometer 19, and based on the measured values and control information from the main control system 27, a wafer stage drive system 2 2 Controls the operation of wafer stage 17.
  • the projection exposure apparatus of this example is a stepper type (collective exposure type), but the present invention can be applied to a case where a scanning exposure type such as a step-and-scan method is used as the projection exposure apparatus. Needless to say.
  • an image processing type alignment cell using an off-axis method is used.
  • a sensor 25 is provided, and an imaging signal of the alignment sensor 25 is also supplied to the alignment signal processing system 26.
  • a reference mark used for performing reticle alignment via the RA microscopes 8 A and 8 B and a reference mark for the alignment sensor 25 are formed on the wafer stage 17.
  • a marking member 20 is also provided.
  • the first reticle 9A is used to perform an entire shot area on the wafer 16. Exposure, followed by exchanging the reticle for the second reticle 9B and again exposing the entire shot area on the wafer 16 would be better for the reticle 9A, 9B for each shot area.
  • the throughput is higher than when exposing by changing the exposure. Therefore, an operation for continuously exposing the entire shot area of the wafer for each reticle will be described below.
  • FIG. 2 is a partially enlarged view of a circuit pattern 31 of a certain layer of an electronic device formed in each shot area of a wafer in this example.
  • the overlapping pattern P 1 c, P 1 d with a wider width dY2 (dY2 is about 1.5 times dY1) is arranged at both ends of the thin line pattern P1a A first gate pattern P1 is formed.
  • a superposition pattern P of a wider width dX2 (dX2 is approximately 1.5 times dXl) is provided.
  • the second gate pattern P2 in the shape of c, and the thin wire pattern P3a, P3b with width dX1 extending in the Y direction.
  • the width dYl (i.e., dXl) of these isolated linear thin line patterns P1a, P2a, P3a, P3b is the solution of the projection optical system 14 of this example when no modified illumination is used.
  • the width is about the image limit or slightly smaller than the resolution limit, and the fine line patterns P la, P 2a, P 3a, and P 3b correspond to the linear pattern of the present invention. That is, assuming that the exposure wavelength is obtained and the numerical aperture of the projection optical system 14 is NA, the resolution limit of the projection optical system 14 when the modified illumination is not used is approximately k 1 ⁇ using a predetermined process coefficient k 1.
  • the width d ⁇ 1 (dX 1) is of the order of kl ′ AZNA or slightly smaller.
  • the width dY2 (ie, dX2) of the superposition patterns P1c, P2c, etc. is set to be about 1.5 times as large as the resolution limit k1 ⁇ ⁇ .
  • the thin line patterns ⁇ 1 a, P 2 a, P 3 a, and P 3 b of the gate patterns P l, ⁇ 2, and ⁇ 3 are patterns that become gate electrodes of, for example, field-effect transistors, It is necessary to form the gate patterns P1, P2, and P3 on the relevant layer in each shot area as a pattern (a pattern in which a metal film or the like remains only in that part). In actual devices, tens of millions or more of such gate patterns may be formed, but as the gate electrode becomes thinner and the line width becomes constant at all parts of the device, The electronic device can be operated at high speed.
  • a reticle having an enlarged light-shielding pattern (original pattern) having a similar shape to that of the reticle is created, and a reduced image thereof is formed on a wafer by a projection exposure apparatus.
  • original pattern an enlarged light-shielding pattern
  • a pattern image that is smaller than the resolution limit of about k1 ⁇ m can be exposed with high accuracy while maintaining an appropriate depth of focus. It is difficult to do. Therefore, in this example, two reticle patterns are generated from the original pattern obtained by enlarging the circuit pattern 31 in FIG. 2 by the reciprocal (1 // 3) times the projection magnification 3 of the projection optical system 14 in FIG.
  • reticle patterns are separately formed on reticles 9A and 9B in FIG.
  • the actual length of the reticle pattern is a value obtained by multiplying the target value of the length on the wafer by (1Z / 3) times.
  • the length of each part of the reticle pattern will be described below. Is displayed as a value converted to the length on the wafer.
  • the projection optical system 14 in FIG. 1 performs, for example, reverse projection, but for the sake of simplicity, a description will be given assuming that the reticle pattern and the projected image have the same direction.
  • FIGS. 3A and 3B show the reticle patterns drawn on the first reticle 9A and the second reticle 9B, respectively, and are drawn on the first reticle 9A.
  • As the reticle pattern light-shielding patterns A1 to A3 each formed of a light-shielding film having the same shape as the gate patterns P1 to P3 in FIG. It was done. That is, the light-shielding pattern A1 is composed of the patterns A1a, Alc, and Aid having the same shape as the fine line pattern P1a and the overlapping patterns P1c and P1d in FIG.
  • the width of the patterns A le and A id is d Y 2 which is the same as the width of the overlapping patterns P 1 c and P 1 d, but the width of the central pattern A 1 a is the width of the fine line pattern P 1 a It is represented by d Y 3 with respect to d Y 1.
  • the width dY3 of the pattern A1a corresponding to the fine line pattern P1a may be the same as the width dY1, but may be set between 1 and 2 times the width dY1. are doing.
  • the width dY3 of the pattern A la is it possible to reduce the line width of the image of the pattern A1a by exposing the image near the resolution limit under illumination conditions without using modified illumination? Or narrow line width due to slight misalignment of two reticle patterns. Is stopped. Even if the width dY3 of the pattern A la is set to be large, there is no problem because the final line width of the image of the pattern A1a is determined by the exposure of the pattern image of the second reticle 9B.
  • the light-shielding pattern A3 is composed of a first light-shielding pattern A3A composed of patterns A3a and A3c having the same shape as the thin line pattern P3a and the overlapping pattern P3c in FIG.
  • a second light-shielding pattern A 3 B composed of a pattern A 3 b and A 3 having the same shape as the fine line pattern P 3 b and the overlapping pattern P 3 d, and a pattern A 3 a having a width dX 3.
  • A3b are the same as the center intervals e X 1 of the thin line patterns P3a, P3b.
  • the pattern drawn on the second reticle 9B in FIG. 3B is an original of the fine line pattern P1a, the fine line pattern P2a, and the fine line patterns P3a and P3b in FIG.
  • a plurality of transmissive patterns Bl, B2, and B3 are arranged in predetermined directions at positions corresponding to the patterns, respectively, and the other areas are light-shielding portions 32.
  • the first transmission pattern B 1 sandwiches the original pattern P 1 a ′ which is elongated in the X direction shown by a dotted line obtained by accurately multiplying the thin line pattern PI a of FIG.
  • the third transmission pattern B 3 is an original pattern P 3 a ′ so that the original pattern P 3 a ′ and P 3 b ′ of the fine line pattern P 3 a, P 3 b ′ shown in FIG.
  • This is a pattern in which six transmissive patterns having the same shape as that of the above and having a width of approximately dX1 are arranged at a pitch of approximately 2 ⁇ dX1 in the X direction.
  • the transmission patterns Bl and B2 a pattern in which about 2 to 8 rectangular transmission patterns are periodically arranged can be used.
  • the transmission pattern B3 a pattern in which about 3 to 9 rectangular transmission patterns are periodically arranged can be used.
  • each transmission pattern B 1 to B 3 is the long side direction of the original pattern corresponding to each of the fine line patterns P 1 a to P 3 a and P 3 b (Y direction, Or the X direction), and the periodic direction of each of the transmission patterns B1 to B3 is a direction orthogonal to the long side direction of each corresponding thin line pattern.
  • the portions of the fine line patterns Pla to P3a and P3b in FIG. 2 corresponding to the original patterns Pla 'to P3a, ⁇ 3b' are light-shielding patterns.
  • each of the light-shielding patterns A1 to A3 included in the first reticle 9A and each of the transmission patterns B1 to B3 included in the second reticle 9B are arranged so that they overlap exactly. Therefore, although not shown, a pair of alignment marks are formed at predetermined intervals in the X direction in the pattern regions of the reticles 9A and 9B, respectively.
  • a positive type photoresist Bok is applied to one lots of wafers.
  • a predetermined circuit pattern is formed on the underlying layer of each shot area of the one-lot wafer in the steps up to that.
  • the wafers of the one lot are transferred to a wafer cassette (not shown) near the projection exposure apparatus of FIG.
  • one lock One of the wafers is loaded on the wafer stage 17 in FIG. 1, and the wafer is aligned via the alignment sensor 25 (step 102).
  • the reticle stage 11 is driven to move the first reticle 9A to the illumination area by the illumination light IL2, and the reticle alignment is performed using the RA microscope 8A, 8B or the laser interferometer 13. Do (Step 103).
  • the illuminating conditions are optimized for the reticle 9 mm by rotating the rotating plate 42 and setting the corresponding ⁇ stop on the exit surface 5 of the fly-eye lens 41. Since the light-shielding patterns A1 to A3 shown in FIG. 3A drawn on the reticle 9A have low periodicity, it is not necessary to use a deformed illumination, and the circular aperture shown in FIG. 5C is used.
  • a squeeze aperture 45 having 45 a is installed on its exit surface 5.
  • the opening 45a is, for example, a normal circular opening having a coffee reference factor ( ⁇ value) of about 0.3 to 0.7.
  • the illumination condition using the ⁇ -stop 45 is called “normal illumination” here. However, other shapes of apertures may be used if necessary. Under the illumination conditions, a pattern image of a reticle 9 ⁇ is projected and exposed on each shot area of the wafer.
  • step 105 the reticle stage 11 is driven to move the second reticle 9B to the illumination area, and reticle alignment is performed.
  • the illumination conditions are optimized to the periodic transmission patterns B1 to B3 of the reticle 9B in FIG. 3 (B).
  • the four apertures 44a to 44d shown in Fig. 5 (B) are used in order to obtain deformed illumination suitable for forming a pattern having periodicity in two orthogonal directions (X and Y directions). Is set to the exit surface 5.
  • the X direction and the ⁇ direction in FIGS. 5 ( ⁇ ) to ( ⁇ ) are directions corresponding to the X direction and the ⁇ direction on the wafer stage 17 in FIG. 1, respectively.
  • squeezing aperture 44 aperture 4 4a to 44d are centered on the optical axis AX1 with respect to the periodic direction (Y direction) of the transmission pattern B1 in FIG. 3B and the periodic direction (X direction) of the transmission patterns B2 and B3. It is a small circle centered on a position equidistant from the optical axis AX 1 along four directions rotated by 45 ° each.
  • the use of the ⁇ stop 44 can improve the resolution and the depth of focus of a pattern having periodicity in the X direction and the ⁇ direction.
  • the exit surface 5 on which the ⁇ stop 44 is disposed is an optical Fourier transform surface with respect to the pattern surface of the reticle 9 ⁇ as described above, the exit surface 5 is provided with an aperture stop 1 in the projection optical system 14. It is conjugate (imaging relationship) with the arrangement plane of 5. Then, the images of the apertures 44a to 44d of the ⁇ stop 44 in FIG. 5 ( ⁇ ) should be located as far as possible in the corresponding aperture stop 15 aperture, that is, as far away from the optical axis as possible. And the inner diameters of the openings 44a to 44d are made as small as possible, so that the transmission corresponding to the finer linear patterns Pla, P2a, P3a, P3b It is possible to transfer images of patterns B1 to B3 with high accuracy.
  • the deformed illumination used will be as described above.
  • the illumination optical system pupil plane is not limited to deformed illumination that is as far away from the optical axis as possible and uses an aperture as small as possible, and the intensity distribution of the illumination light on the illumination optical system pupil plane is close to the optical axis. It is also possible to use deformed illumination with relatively low concentration, which is weak and strong in other (outside) areas. Alternatively, annular illumination can be used.
  • a pattern image of a reticle 9 mm is projected and exposed on each shot area of the wafer.
  • Steps 102 to 106 are repeated until there are no unexposed wafers in step 107, and images of two reticles 9 9 and 9 ⁇ are combined and exposed on all wafers in one lot. (Double exposure).
  • the imaging light flux that has passed through the reticle usually passes while being focused on a specific location in the projection optical system.
  • the projection optical system is locally heated by the absorption of light, causing local deformation and a change in the refractive index, which may deteriorate the imaging characteristics.
  • the second reticle 9 ⁇ used for performing the deformed illumination only has a linear pattern ⁇ ⁇ 1 a, P 2 a, P 3 a, P 3 b near the portion corresponding to P 3 b.
  • the periodic transmission patterns B 1, B 2, and B 3 are provided, and the other portions are all light shielding portions 32.
  • the images of the patterns of the two reticles 9A and 9B are logically recorded on the photoresist on each shot area of each wafer. That is, the bright portion photoresist (transmission pattern) in a region is exposed to light at least one of the exposure, both times ⁇ photoresist (light-shielding pattern) at a region not exposed to light c then Proceeding to step 108, one lot of wafers after double exposure are imaged. Since the photoresist in this example is a positive type, it is insensitive after development. Only the light portions remain, and as a result, portions corresponding to the gate patterns P 1, P 2, and P 3 in FIG. 2 are formed as resist patterns.
  • the second reticle 9B is used in comparison with the conventional one-time exposure method, ie, the exposure method using only the first reticle 9A.
  • the resolution and the depth of focus of the fine line patterns P1a, P2a, P3a, P3b in the gate patterns P1, P2, P3 can be remarkably improved. Therefore, this characteristic is utilized even after the synthetic exposure, and the resolution and depth of focus of the images of the fine line patterns Pla, P2a, P3a, and P3b are achieved.
  • Each exposure amount in the above two exposures does not have to be equally divided into appropriate exposure amounts determined by the sensitivity of the photoresist, that is, it does not have to be half of the appropriate exposure amount, and the exposure amount at the time of exposure using the reticle 9B is larger. Setting it is more effective.
  • etching and the like are performed on one lot of wafers using the resist pattern left after development as a mask, whereby the gate pattern of FIG. 2 is formed on the layer.
  • a resist removal step to remove unnecessary resist after the processing step, by repeating the steps of resist application, exposure, imaging, processing, resist removal, etc., sequentially to the layer further above the wafer.
  • the wafer process ends.
  • the wafer process is completed, in the actual assembling process, a dicing process in which the wafer is cut into chips for each printed circuit, a bonding process in which wiring is performed on each chip, and a packaging process in which each chip is packaged The semiconductor device is finally manufactured through the process You.
  • FIGS. 4A and 4B respectively show a second reticle 9C and a third reticle 9D used in this example instead of the second reticle 9B of FIG. 3B. I have.
  • triple exposure synthetic exposure
  • the reticle 9C has a periodic transmission pattern B which is a pattern having a periodicity in the Y direction among the patterns drawn on the reticle 9B in FIG. 3 (B). Only one is drawn.
  • the reticle 9D has only the periodic transmission patterns B2 and B3, which are patterns having periodicity in the X direction, of the pattern drawn on the reticle 9B. Is drawn.
  • the portions other than the transmission patterns of both reticles 9 C and 9 D are light shielding portions 33 and 34.
  • the reticle 9C on the ⁇ axis (the ⁇ direction straight line passing through the optical axis AX1 of the illumination optical system) in FIG.
  • a diaphragm 46 having openings 46a and 46b at two locations equidistant from the optical axis AX1.
  • the light on the X-axis (a straight line in the X-direction passing through optical axis AX1 of the illumination optical system) shown in FIG.
  • a ⁇ stop 47 having openings 47a and 47b at two places equidistant from the axis AX1.
  • the wafer is triple-exposed using three reticles 9 ⁇ , 9C and 9D.
  • triple exposure may be performed using the two reticles 9 # and 9 # used in the above-described embodiment. That is, before performing exposure by the reticle 9B to the wafer exposed by the reticle 9 °, the illumination light IL2 is applied to only a predetermined area including the transmission pattern B1 on the reticle 9B. As described above, the illumination area of the reticle 9B by the illumination light IL 2 is adjusted by the field stop (reticle blind) placed on the surface almost conjugate with the reticle plane in the illumination optical system. I do.
  • the illuminating light IL2 is applied to the transmission pattern # 1 through the ⁇ stop 46, and the image of the transmission pattern # 1 is superimposed on the image of the light-shielding pattern A1 on the wafer W and transferred.
  • the illumination area on the reticle 9B is adjusted by the field stop so that the ⁇ stop is exchanged so that the illumination light IL is emitted only to the predetermined area including the transmission patterns B 2 and B 3.
  • the illuminating light IL 2 is applied to the transmission patterns ⁇ 2 and ⁇ 3 through the ⁇ stop 47, and the images of the transmission patterns B 2 and B 3 are superimposed on the images of the light shielding patterns A 2 and A 3 and transferred. .
  • the reticle 9B is not replaced, and the optimum illumination conditions are set for each of the one or more transmission patterns.
  • a transfer image can be formed on the wafer.
  • the wafer is exposed using the reticle 9A, and then the wafer is exposed using the reticle 9B (or the reticle 9C, 9D).
  • the order may be reversed. That is, the order of use of a plurality of reticles used for multiple exposure may be arbitrary.
  • small circular apertures 44 a to 44 d, 46 a, 46 b, 47 a, 47 of the ⁇ diaphragms 44, 46, 47 for the deformed illumination are used.
  • the inner diameter of b is small as described above, when the combination of the fly-eye lens 41 and the ⁇ stop as shown in FIG. 1 is used as the illuminance distribution shaping optical system 4, the ⁇ stop for deformed illumination can be obtained.
  • the efficiency (transmittance) of the illumination light passing through each small aperture is greatly reduced.
  • a combination of a light beam splitting system, a condensing optical system, and an illuminance uniforming optical system as disclosed in Japanese Patent Application Laid-Open No. 5-206007 is used.
  • a glass rod can be used as the illumination uniforming optical system (optical, integrator).
  • a pair of axicons may be used as a light beam splitting system, and the light amount distribution of the illumination light IL 2 on the Fourier transform surface in the illumination optical system may be formed in an annular shape, and the distance between the pair of axicons may be adjusted. The size can be changed.
  • the ⁇ stop 44 shown in FIG. 5 ( ⁇ ) is used together, the loss of light amount can be suppressed smaller than the combination of the fly-eye lens 41 and the ⁇ stop 44 described above.
  • a mechanism for changing the illumination condition that is, the light amount distribution (at least one of the shape and the size) of the illumination light IL2 on the Fourier transform plane in the illumination optical system may have any configuration.
  • all the reticle patterns are composed of the transmissive portion and the light shielding portion.
  • the phase of the transmitted light is shifted by 180 ° with respect to the transmissive portion.
  • a reticle plate may be employed as a dimming type (halftone type) phase shift unit having a transmittance of, for example, about 3 to 10%.
  • the resolution of the periodic pattern as shown in reticles 9 #, 9C, 9D can be further improved.
  • modified lighting including annular lighting
  • the light-shielding portions (non-pattern portions) of reticles 9B, 9C, and 9D are all dimming type phase shift portions
  • the portions corresponding to the overlapping patterns P 1 c, P 1 d, P 2 c, P 3 c, and P 3 d at the ends are slightly exposed by the transmitted light from the extinction type phase shift unit.
  • the Rukoto However, the amount of exposure is small due to the dimming action of the dimming type phase shift unit.
  • reticle 9E shown in FIG. May be used.
  • the reticles 9B to 9D may be phase shift reticles of the spatial frequency modulation type.
  • modified illumination including annular illumination
  • ⁇ value the coherence factor
  • Ordinary illumination using a ⁇ stop having a circular aperture of about 1 to 0.4 is adopted.
  • the long side direction of the pattern requiring more resolution is limited to the X direction or the ⁇ direction, but the long side direction is any direction other than the X direction and the ⁇ direction.
  • the long sides are 90 in each other.
  • Two patterns that intersect at an angle other than the above may be set as exposure targets.
  • the periodic direction of each periodic transmission pattern in the reticles 9 ⁇ , 9C, and 9D and the conditions of the deformed illumination should also be changed to the direction perpendicular to the long side direction in accordance with that. Is desirable.
  • at least three patterns whose long sides intersect each other may be used as exposure targets. In this case, annular illumination may be used.
  • the pattern to be multiple-exposed is drawn on different reticles, but the pattern to be multiple-exposed is drawn on different areas of the pattern surface of one reticle, and is exposed by a field stop at the time of exposure.
  • the pattern to be defined may be defined, and the wafer stage may be moved to perform the alignment.
  • a gate pattern is assumed as an example of a pattern to which the present invention is applied.
  • the present invention can be applied to other patterns and other steps.
  • the projection optical system may be any one of a refraction system, a reflection system, and a catadioptric system.
  • the infrared region oscillated from a DFB semiconductor laser or fiber laser a single wavelength laser in the visible region is amplified with a fiber amplifier doped with, for example, erbium (Er) (or both erbium and ytterbium (Yb)), and ultraviolet light is applied using a nonlinear optical crystal.
  • erbium (Er) or both erbium and ytterbium (Yb)
  • a harmonic converted into light may be used as illumination light for exposure.
  • a bright line generated from a mercury lamp eg, g-line, i-line, etc.
  • a soft X-ray region wavelength of about 5 to 50 nm
  • a wavelength of 13 EUV (Extreme Ultra Violet) light of 4 nm or 11.5 nm may be used as illumination light for exposure. That is, the wavelength of the illumination light for exposure used in the projection exposure apparatus to which the present invention is applied may be arbitrary.
  • a reflective reticle is used, and a projection optical system is used. It consists of only a plurality of, for example, 3 to 8 reflective optical elements (mirrors).
  • the present invention can be applied to a scanning projection exposure apparatus disclosed in, for example, Japanese Patent Application Laid-Open No. 4-19613 and corresponding US Pat. No. 5,473,410.
  • a scanning projection exposure apparatus disclosed in, for example, Japanese Patent Application Laid-Open No. 4-19613 and corresponding US Pat. No. 5,473,410.
  • the disclosure of the above gazette and US patent shall be incorporated herein by reference.
  • the illumination optical system including the illuminance distribution shaping optical system 4 of this example, and the projection optical system are incorporated in the main body of the projection exposure apparatus to perform optical adjustment, and the reticle stage and the wafer stage, which are composed of a large number of mechanical parts, are connected to the projection exposure apparatus.
  • the projection exposure apparatus of the present embodiment can be manufactured by attaching to a main body, connecting wiring and piping, and further performing overall adjustment (electrical adjustment, operation confirmation, and the like). It is desirable to manufacture the projection exposure apparatus in a clean room where the temperature, cleanliness, etc. are controlled.
  • the application of the projection exposure apparatus is not limited to a projection exposure apparatus for semiconductor manufacturing.
  • a projection exposure apparatus for a liquid crystal for exposing a liquid crystal display element panel to a square glass plate For manufacturing thin-film magnetic heads Can be widely applied to projection exposure apparatuses.
  • the present invention can be applied to a step-and-stitch type reduction projection exposure apparatus which is used for manufacturing a photomask reticle and uses, for example, far ultraviolet light or vacuum ultraviolet light as exposure illumination light. .
  • a first mask pattern on which a pattern to be transferred is formed and a second mask pattern in which a portion corresponding to the linear pattern is a periodic transmission pattern are used.
  • the second mask pattern for performing the deformed illumination an area other than the transmission pattern is used as a dimming part, and the amount of the image forming light flux passing through the projection optical system is small.
  • a method it is possible to suppress the deterioration of the imaging characteristics of the projection optical system.
  • a pattern image such as an isolated line can be transferred with high accuracy.
  • the exposure apparatus of the present invention such an exposure method can be used, and according to the device manufacturing method of the present invention, there is an advantage that a device can be manufactured with high accuracy using such an exposure method. is there.

Abstract

A transfer method for exposing with high accuracy an image of a circuit pattern consisting of a gate-pattern-like linear pattern and a wide pattern at the end of the linear pattern, wherein a first reticle pattern, in which light shielding patterns (A1 to A3) having substantially the same shape as an original pattern are formed in a transmission unit (35), and a second reticle pattern, in which transmission patterns (B1 to B3) are formed periodically in a light shielding unit (32) so as to sandwich portions corresponding to patterns (A1a, A2a, A3a, A3b) which are as wide as the resolution limit of a projection optical system in light shielding patterns (A1 to A3), are formed from the original pattern of the circuit pattern to be transferred. After an image of the first reticle pattern is exposed onto a wafer via the projection optical system by using an illuminating light from a circular aperture stop, an image of the second reticle pattern is superposingly exposed onto the wafer under a deformed illumination.

Description

明 細 書 転写方法及び露光装置 技術分野  Description Transfer method and exposure apparatus
本発明は、 例えば半導体集積回路、 撮像素子 (C C D等) 、 又は液晶 表示素子等の電子デバイスの微細パターンを形成するためのリソグラフ ィ工程中で、 マスクパターンの像をウェハ等の基板上に転写する際に使 用される転写方法、 及び露光装置に関する。 背景技術  The present invention transfers a mask pattern image onto a substrate such as a wafer during a lithography process for forming a fine pattern of an electronic device such as a semiconductor integrated circuit, an image pickup device (CCD or the like), or a liquid crystal display device. The present invention relates to a transfer method and an exposure apparatus used for the transfer. Background art
従来より半導体集積回路等の微細パターンは、 投影露光装置 (ステツ パー等) を用いて、 マスクとしてのレチクル上に描画された原版パター ンの像を感光膜としてのフォトレジス卜が塗布されたウェハ等の基板上 に投影露光した後、 現像によってポジレジストであれば感光部分の膜を 除去してから、 所定の加工工程を経ることによって形成されている。 そ の半導体集積回路等のパターンの微細化、 即ち集積度向上のためには、 投影露光装置に備えられている投影光学系の解像度の向上が必要である。 投影光学系の解像度は、 照明光 (露光光) の波長をえ、 開口数を N A として、 一般に λ / Ν Αに比例する。 現在主流となっている露光波長 λ は、 K r Fエキシマレ一ザ光の 2 4 8 n mであるが、 今後は A r Fェキ シマレ一ザ光 (波長 1 9 3 n m) の使用も検討されている。 ただし、 こ れ以上短波長化すると、 投影光学系を構成するレンズとして使用できる 適当な硝材が無いことから、 屈折系を用いて投影光学系を構成するのは 困難となる。 一方、 現在の投影光学系の開口数 N Aは、 0 . 7程度に大 きくなつているため、 開口数 N Aの更なる向上は望めなくなつている。 また、 実際の微細パターンの転写に際しては焦点深度 (D O F ) も重 要であるが、 焦点深度は、 露光波長 λの短波長化及び開口数 N Aの向上 の何れによっても減少してしまう。 焦点深度は、 転写するパターンの種 類によっても異なるが、 パターンが比較的近接して並ぶ密集パターン (周期パターン) の場合には、 日本国特開平 4一 1 0 1 1 4 8号公報、 及び対応する米国特許第 5 6 3 8 2 1 1号、 日本国特開平 5— 2 0 6 0 0 7号公報及び対応する米国特許第 5 7 1 9 7 0 4号に開示されている ように、 照明光学系中のレチクルパターンに対する光学的なフ一リェ変 換面において、 照明光の光量分布の形状を制御する、 即ち照明光のレチ クルへの入射角を制御する変形照明を行うことによって、 その解像度及 び焦点深度を大幅に向上させることができる。 Conventionally, a fine pattern of a semiconductor integrated circuit or the like is obtained by using a projection exposure apparatus (stepper or the like) to apply an image of an original pattern drawn on a reticle as a mask to a wafer coated with a photoresist as a photosensitive film. It is formed by subjecting a photosensitive resist film to a positive resist by development after projecting and exposing it to a substrate such as the above, followed by a predetermined processing step. In order to miniaturize the pattern of the semiconductor integrated circuit or the like, that is, to improve the degree of integration, it is necessary to improve the resolution of the projection optical system provided in the projection exposure apparatus. The resolution of a projection optical system is generally proportional to λ / Α 、, assuming the wavelength of illumination light (exposure light) and the numerical aperture as NA. The currently mainstream exposure wavelength λ is 248 nm of KrF excimer laser light, but the use of ArF excimer laser light (wavelength: 193 nm) will be considered in the future. ing. However, if the wavelength is further shortened, it is difficult to construct a projection optical system using a refraction system because there is no suitable glass material that can be used as a lens constituting the projection optical system. On the other hand, since the numerical aperture NA of the current projection optical system is as large as about 0.7, further improvement of the numerical aperture NA cannot be expected. Depth of focus (DOF) is also important when actually transferring a fine pattern, but the depth of focus is reduced by either shortening the exposure wavelength λ or improving the numerical aperture NA. Although the depth of focus varies depending on the type of the pattern to be transferred, in the case of a dense pattern (periodic pattern) in which the patterns are arranged relatively close to each other, Japanese Patent Application Laid-Open No. H11-111408 and As disclosed in the corresponding U.S. Pat.No. 5,638,211, Japanese Patent Application Laid-Open No. H5-20607, and the corresponding U.S. Pat.No. 5,719,704, On the optical Fourier transform surface for the reticle pattern in the illumination optical system, by controlling the shape of the distribution of the amount of illumination light, that is, by performing modified illumination to control the angle of incidence of the illumination light on the reticle, Its resolution and depth of focus can be greatly improved.
これに対して、 孤立線と呼ばれ、 他のパターンに対して比較的孤立的 に配置される微小線幅の線状パターン (細線パターン) は、 特に焦点深 度の得にくいパターンである。 そして、 半導体集積回路及び液晶表示素 子等の電子デバイスにおいては、 そのデバイスの性能を決定するゲート パターンと呼ばれるパターンが、 孤立線を含んでいる。  On the other hand, a linear pattern (fine line pattern) with a fine line width, which is called an isolated line and is relatively isolated from other patterns, is a pattern in which it is particularly difficult to obtain a focal depth. In electronic devices such as semiconductor integrated circuits and liquid crystal display elements, patterns called gate patterns that determine the performance of the devices include isolated lines.
孤立線に対して、 解像度及び焦点深度を向上させる技術としては、 例 えば日本国特開平 4一 2 6 8 7 1 4号公報及び対応する米国特許第 5 3 5 7 3 1 1号に開示されているように、 孤立線の両端に補助パターンを 付加し、 更に変形照明 (輪帯照明を含む) を併用する方法 (以下 「補助 パターン法」 と呼ぶ) がある。 この方法により孤立線の結像特性を或る 程度改善することはできる。 更には、 日本国特開平 4一 2 7 3 4 2 7号 公報に開示されている如く、 孤立線を、 孤立線と周期パターンとの合成 露光 (多重露光) によって形成する方法 (以下 「合成露光法」 と呼ぶ) も提案されている。 この方法においても、 周期パターンの露光に際して は変形照明を使用することで解像度及び焦点深度を向上させており、 総 合的に、 孤立線の像の解像度や焦点深度が大幅に向. Techniques for improving the resolution and depth of focus for isolated lines are disclosed, for example, in Japanese Patent Application Laid-Open No. Hei 4-268781 and the corresponding U.S. Patent No. 5,357,311. As described above, there is a method (hereinafter referred to as “auxiliary pattern method”) in which auxiliary patterns are added to both ends of the isolated line, and deformed illumination (including annular illumination) is also used. By this method, the imaging characteristics of the isolated line can be improved to some extent. Further, as disclosed in Japanese Patent Application Laid-Open No. Hei 4-2743427, a method for forming an isolated line by composite exposure (multiple exposure) of an isolated line and a periodic pattern (hereinafter referred to as “synthetic exposure”) Law). Also in this method, the resolution and the depth of focus are improved by using deformed illumination when exposing the periodic pattern. Therefore, the resolution and depth of focus of the isolated line image are greatly improved.
上記の如く従来より、 ゲートパターン等に含まれる孤立線の像の解像 度や焦点深度を向上させるための方法が提案されている。 しかしながら、 前者の補助パターン法では、 孤立線の像の解像度、 及び焦点深度の向上 が十分ではない場合があった。 また、 後者の合成露光法は、 従来要求さ れている結像特性に対してはほぼ対応できていたが、 今後一層微細化す る回路パターンの露光を高精度に行う上では、 次のような問題点がある。 その一つは、 実際のゲートパターンは単なる孤立線ではなく、 孤立線 のどちらかの端部又は両方の端部に、 配線パターンとの接続のための巾; の広い重ね合わせ用パターンを有しているため、 特に今後一層微細化す るゲートパ夕一ンを孤立線と周期パターンとに分解することが容易でな いことである。  As described above, conventionally, methods for improving the resolution and depth of focus of an image of an isolated line included in a gate pattern or the like have been proposed. However, in the former auxiliary pattern method, the resolution of the isolated line image and the depth of focus may not be sufficiently improved. In addition, the latter synthetic exposure method was able to almost cope with the imaging characteristics required in the past, but in order to perform high-precision exposure of circuit patterns that will be further miniaturized in the future, the following methods are required. There is a problem. One is that the actual gate pattern is not just an isolated line, but has a wide overlapping pattern at either or both ends of the isolated line for connection with the wiring pattern. Therefore, it is not easy to disassemble the gate pattern, which will be further miniaturized, into an isolated line and a periodic pattern.
もう一つの問題点として、 合成される 2種類のパターンの一方の周期 パターンの露光に際しては、 解像度及び焦点深度の一層の向上のために 変形照明を使用しているが、 その際に上記の照明光学系中の光学的フー リェ変換面における照明光束を、 できるだけ光軸から離れた小さな領域 に制限する必要のあることが挙げられる。 このようにその照明光学系中 のフーリエ変換面で、 照明光束が小さく絞られていると、 それに応じて 投影光学系中での光束の広がりも小さくなり、 その結果、 投影光学系が 露光光束により局所的に加熱されて、 局所的な熱膨張や屈折率変化が生 じ、 投影光学系の結像特性が次第に微妙に劣化してしまう。  Another problem is that when exposing one periodic pattern of the two types of patterns to be synthesized, modified illumination is used to further improve the resolution and depth of focus. It is necessary to limit the luminous flux on the optical Fourier transform surface in the optical system to a small area as far away from the optical axis as possible. Thus, if the illumination light beam is narrowed down on the Fourier transform surface in the illumination optical system, the spread of the light beam in the projection optical system is correspondingly reduced, and as a result, the projection optical system is affected by the exposure light beam. Local heating causes local thermal expansion and changes in the refractive index, and the imaging characteristics of the projection optical system gradually degrade slightly.
本発明は斯かる点に鑑み、 ゲートパターンのように線状パターンとこ の端部の幅の広いパターンとからなるような回路パターンの像を高精度 にウェハ等の基板上に転写できる転写方法を提供することを第 1の目的 とする。  In view of the above, the present invention provides a transfer method capable of transferring a circuit pattern image composed of a linear pattern such as a gate pattern and a pattern having a wide end portion onto a substrate such as a wafer with high accuracy. The primary purpose is to provide.
更に本発明は、 孤立線のようなパターンの像を高精度に基板上に転写 できる転写方法を提供することを第 2の目的とする。 Furthermore, the present invention transfers a pattern image such as an isolated line onto a substrate with high accuracy. A second object is to provide a transfer method that can be used.
更に本発明は、 照明条件の一部に変形照明を用いるような場合に、 投 影光学系の結像特性の劣化を抑制することができる転写方法を提供する ことを第 3の目的とする。  A third object of the present invention is to provide a transfer method capable of suppressing deterioration of the imaging characteristics of the projection optical system when deformed illumination is used as part of the illumination conditions.
更に本発明は、 そのような転写方法を使用できる露光装置、 この露光 装置の効率的な製造方法、 及びそのような転写方法を使用して高精度に デバイスを製造できるデバイスの製造方法を提供することをも目的とす る。 発明の開示  Further, the present invention provides an exposure apparatus that can use such a transfer method, an efficient manufacturing method of the exposure apparatus, and a device manufacturing method that can manufacture a device with high accuracy using such a transfer method. It is also intended. Disclosure of the invention
本発明による第 1の転写方法は、 所定の線状パターン (P l a) を含 む所定形状のパターン (P 1) の像をその投影光学系を介して基板 (1 6) 上に転写する転写方法であって、 その所定形状のパターンに対応す る部分 (A 1) が減光部とされ、 その他の部分 (35) が透過部とされ た第 1のマスクパターン (9A) と、 その線状パターンに対応する部分 (P l a' ) に接するように、 それぞれその線状パターンと実質的に同 程度の線幅を有する複数個の透過パターン (B 1) がその線状パターン の幅方向に周期的に配列され、 かつ、 少なくともその線状パターンに対 応する部分の近傍のその透過パターン以外の領域が減光部 (32) とさ れた第 2のマスクパターン (9 B) とを用い、 それら 2つのマスクパ夕 一ンの像をその投影光学系を介してその基板上に順次互いに位置合わせ して転写すると共に、 その第 2のマスクパターンの像を露光する際の照 明条件を、 照明光学系の露光対象のパターンに対する光学的フーリェ変 換面 (5) での強度分布が、 光軸近傍よりもこの外側の領域で強い変形 照明とするものである。  A first transfer method according to the present invention is a transfer method that transfers an image of a pattern (P 1) having a predetermined shape including a predetermined linear pattern (P la) onto a substrate (16) via its projection optical system. A first mask pattern (9A) in which a portion (A1) corresponding to the pattern having a predetermined shape is a light-reducing portion, and the other portion (35) is a transmissive portion; A plurality of transmission patterns (B 1) each having substantially the same line width as the linear pattern are formed in the width direction of the linear pattern so as to contact the portion (P la ′) corresponding to the linear pattern. A second mask pattern (9B) that is periodically arranged and at least a region other than the transmission pattern in the vicinity of a portion corresponding to the linear pattern is used as a light-reducing portion (32) is used. The images of the two masks are sequentially placed on the substrate via the projection optical system. Next, the light is transferred while being aligned with each other, and the illumination conditions for exposing the image of the second mask pattern are determined by the intensity distribution on the optical Fourier transform plane (5) for the pattern to be exposed by the illumination optical system. However, in this region outside the vicinity of the optical axis, strong deformed illumination is used.
斯かる本発明において、 変形照明を用いることによって、 それ以外の 照明のもとでの解像限界よりも微細な周期パターンの像を深い焦点深度 で高精度に投影できるため、 その所定形状のパターンを第 1及び第 2の マスクパターンに分割するに際して、 第 2のマスクパターンにはその線 状パターンの近傍に相当する位置にのみ周期的に配置される透過パター ン (B 1 ) を形成し、 その他の部分は減光部とした。 In the present invention, by using the modified illumination, Since an image of a periodic pattern finer than the resolution limit under illumination can be projected with a high depth of focus with high precision, when dividing the pattern of the predetermined shape into the first and second mask patterns, In this mask pattern, a transmission pattern (B 1) that is periodically arranged only at a position corresponding to the vicinity of the linear pattern was formed, and the other portions were light reduction portions.
その結果、 第 1のマスクパターンの形状は、 転写すべき所定形状のパ ターンと実質的に同一で良く、 新たに作成するパターンデ一夕は殆ど無 レ 一方、 第 2のマスクパターンは、 線状パターンの周囲にのみ配置す れば良く、 全体としてパ夕一ンデ一夕の作成量は僅かで済む。 更に、 そ の所定形状のパターンがゲートパターンである場合、 その第 2のマスク パターンには、 線状パターンの端部の重ね合わせ用パターンのような幅 の広いパターンは形成する必要がなく、 実質的に容易にゲ一トパターン が孤立線と周期パターンとに分解されたことになる。  As a result, the shape of the first mask pattern may be substantially the same as the pattern of the predetermined shape to be transferred, and there is almost no pattern data to be newly created, while the second mask pattern has a line shape. It is only necessary to arrange them around the shape pattern, and the amount of creation for each day is small as a whole. Further, when the pattern having the predetermined shape is a gate pattern, it is not necessary to form a wide pattern such as a pattern for superimposing the end of the linear pattern on the second mask pattern, and the second mask pattern is substantially formed. This means that the gate pattern is easily and easily decomposed into isolated lines and periodic patterns.
また、 第 2のマスクパターンに含まれる透過パターンは、 線状パター ンの周囲の微少な周期パターン部のみであり、 パターン全体の透過率 (透過パターンの占める割合) は低くなるため、 第 2のマスクパターン を使用して変形照明を行う際に、 投影光学系中を透過する光量は少なく なる。 このため、 変形照明によって投影光学系中で局所的に結像光束が 集中しても、 光学系が局所的に加熱されて変形する恐れはなくなり、 高 解像度の変形照明を安定して使用することが可能になる。  Also, the transmission pattern included in the second mask pattern is only a minute periodic pattern portion around the linear pattern, and the transmittance (the ratio of the transmission pattern) of the entire pattern is low. When performing deformed illumination using a mask pattern, the amount of light transmitted through the projection optical system is reduced. Therefore, even if the imaging light flux is locally concentrated in the projection optical system due to the deformed illumination, there is no danger that the optical system will be locally heated and deformed, and the high-resolution deformed illumination should be used stably. Becomes possible.
また、 その第 2のマスクパターンを転写するときの露光量を、 その第 1のマスクパターンを転写するときの露光量よりも大きく設定すること が望ましい。  Further, it is desirable that the exposure amount when transferring the second mask pattern is set to be larger than the exposure amount when transferring the first mask pattern.
次に、 本発明による第 2の転写方法は、 投影光学系を介して孤立的な 線状パターンの像を基板上に転写する転写方法において、 その線状パ夕 —ンを減光部とした孤立的な第 1パターン (A 1 ) と、 複数の透過パ夕 ーンからなる周期的な第 2パターン (B 1 ) とをそれぞれ照明光で照明 し、 その基板上でその第 1パターンの減光部と、 その複数の透過パター ンに挟まれる 1つの減光部とが重なるように、 その第 1及び第 2パター ンを用いてその基板を多重露光するものである。 Next, a second transfer method according to the present invention is a transfer method for transferring an image of an isolated linear pattern onto a substrate via a projection optical system, wherein the linear pattern is used as a light-reducing portion. Isolated first pattern (A 1) and multiple transparent patterns The periodic second pattern (B 1) consisting of the pattern is illuminated with the illumination light, and the dimming part of the first pattern and one dimming sandwiched between the plurality of transmission patterns on the substrate. The substrate is subjected to multiple exposure using the first and second patterns so that the portions overlap.
この発明によれば、 周期的な第 2パターンの転写によってその孤立的 な線状パターンの最終的な線幅が正確に規定され、 不要な周期的パター ンは第 1パターンの転写によって覆われるため、 孤立的な線状パターン を高精度に転写できる。  According to the present invention, the final line width of the isolated linear pattern is accurately defined by the periodic transfer of the second pattern, and unnecessary periodic patterns are covered by the transfer of the first pattern. It can transfer isolated linear patterns with high accuracy.
また、 その第 1パターンを転写するときの露光量とその第 2パターン を転写するときの露光量とを異ならせることが望ましい。  It is desirable that the exposure amount when transferring the first pattern and the exposure amount when transferring the second pattern be different.
また、 その第 1パターンの線幅は前記線状パターンの線幅のほぼ 1倍 〜 2倍であり、 その第 2パターンの線幅は前記線状パターンの線幅と同 程度であることが望ましい。  Further, the line width of the first pattern is approximately 1 to 2 times the line width of the linear pattern, and the line width of the second pattern is desirably about the same as the line width of the linear pattern. .
次に、 本発明による第 3の転写方法は、 投影光学系を介して孤立的な 線状パターンの像を基板上に転写する転写方法において、 その線状パ夕 ーンと実質的に同一形状の第 1パターン (A 1 ) と、 その線状パターン と実質的に同一線幅の直線部を含む周期的な第 2パターン (B 1 ) とを それぞれ照明光で照射し、 その基板上でその第 1パターンとその第 2パ ターンの直線部とが重なるように、 その第 1及び第 2パターンを用いて その基板を多重露光するものである。 斯かる本発明の第 3の転写方法に よれば、 本発明の第 2の転写方法と同様に、 孤立的な線状パ夕一ンを高 精度に転写できる。  Next, a third transfer method according to the present invention is a transfer method for transferring an image of an isolated linear pattern onto a substrate via a projection optical system, wherein the transfer pattern has substantially the same shape as the linear pattern. A first pattern (A 1) of the above and a periodic second pattern (B 1) including a linear portion having substantially the same line width as the linear pattern are illuminated with illumination light, respectively. The substrate is subjected to multiple exposure using the first and second patterns so that the first pattern and the linear portion of the second pattern overlap. According to the third transfer method of the present invention, as in the second transfer method of the present invention, an isolated linear pattern can be transferred with high accuracy.
また、 その第 1パターンを転写するときその基板の露光条件と、 その 第 2パターンを転写するときのその基板の露光条件とを異ならせること が望ましい。  In addition, it is desirable that the exposure condition of the substrate when transferring the first pattern and the exposure condition of the substrate when transferring the second pattern be different.
また、 その露光条件は、 その第 1及び第 2パターンにそれぞれその照 明光を照射する照明光学系内の、 そのパターンに対する光学的なフーリ ェ変換面上でのその照明光の強度分布を含み、 その第 2パターンを用い てその基板を露光するとき、 その照明光学系の光学軸を含む領域よりも その外側でその照明光の強度分布を高めることが望ましい。 Also, the exposure condition is applied to the first and second patterns, respectively. When the substrate is exposed using the second pattern, the illumination optical system includes the intensity distribution of the illumination light on the optical Fourier transform plane for the pattern in the illumination optical system that emits bright light. It is desirable to increase the intensity distribution of the illumination light outside the region including the optical axis of the illumination light.
また、 その露光条件は、 その基板の露光量を含むことが望ましい。  It is desirable that the exposure conditions include the exposure amount of the substrate.
また、 その第 2パターンは、 その照明光の位相をほぼ 1 8 0 ° シフト させる透過部を含み、 その透過部は、 その照明光を減光する半透明部で あることが望ましい。  The second pattern preferably includes a transmissive portion that shifts the phase of the illumination light by approximately 180 °, and the transmissive portion is desirably a translucent portion that reduces the illumination light.
また、 その線状パターンは少なくとも一端での線幅が中心部よりも太 くなつていることが望ましい。 そして、 その線状パターンは、 一例とし てゲート電極パターンである。  Further, it is desirable that the line pattern has a line width at least at one end larger than that at the center. The linear pattern is, for example, a gate electrode pattern.
上記の各発明において、 その線状パターンの線幅は、 一例として投影 光学系の実質的に解像限界程度の線幅である。 このような線状パターン とは、 一例としてマスクパターンに対する光学的フーリェ変換面におい て光軸を中心としたほぼ円形の領域を通過する照明光を用いる照明条件 (通常照明) で照明したときに、 その理想的な投影像の幅がその投影光 学系の理論的な解像限界の 1 2〜 5倍程度であるパターンを言う。 次に、 本発明による露光装置は、 所定のマスクを照明する照明光学系 ( 1〜4, 6 A , 6 B , 7 ) と、 そのマスクのパターンの像を基板上に 転写する投影光学系 ( 1 4 ) と、 を有する露光装置において、 その照明 光学系の照明条件を、 露光対象のパターンの光学的フーリエ変換面 (5 ) での強度分布が、 光軸近傍よりもこの外側の領域で強い変形照明と、 そ れ以外の照明との何れかに切り換える照明条件制御系 (2 3 , 4 2, 4 3 ) と、 そのマスクのパターンとして複数のマスクパターン (9 A, 9 B ) の内の何れかを選択するパターン選択装置 ( 1 1〜 1 3 ) と、 この パターン選択装置で順次選択される複数のマスクパターンの相互の位置 合わせを行うァライメント系 (8A, 8 B, 2 5) と、 そのパターン選 択装置によって選択されたパターンに応じて、 その照明条件制御系を介 してその照明条件を切り換えて多重露光を行う露光制御系 (2 7) と、 を有するものである。 この露光装置によって、 本発明の第 1及び第 2の 転写方法が実施できる。 In each of the above inventions, the line width of the linear pattern is, for example, substantially equal to the resolution limit of the projection optical system. Such a linear pattern is, for example, when illuminated under illumination conditions (normal illumination) using illumination light passing through a substantially circular area centered on the optical axis on the optical Fourier transform surface with respect to the mask pattern. A pattern whose ideal projected image width is about 12 to 5 times the theoretical resolution limit of the projected optical system. Next, the exposure apparatus according to the present invention comprises an illumination optical system (1 to 4, 6A, 6B, 7) for illuminating a predetermined mask, and a projection optical system for transferring an image of a pattern of the mask onto a substrate. In the exposure apparatus having (1) and (2), the illumination conditions of the illumination optical system are set such that the intensity distribution of the pattern to be exposed on the optical Fourier transform plane (5) is stronger in a region outside the vicinity of the optical axis than in the vicinity of the optical axis. An illumination condition control system (2 3, 4 2, 4 3) that switches between deformed illumination and other illumination, and a plurality of mask patterns (9 A, 9 B) A pattern selecting device (11 to 13) for selecting one of the mask patterns and a mutual position of a plurality of mask patterns sequentially selected by the pattern selecting device; An alignment system (8A, 8B, 25) that performs alignment, and an exposure system that switches the illumination conditions via the illumination condition control system according to the pattern selected by the pattern selection device to perform multiple exposure. Control system (27) and With this exposure apparatus, the first and second transfer methods of the present invention can be performed.
次に、 本発明による露光装置の製造方法は、 所定のマスクを照明する 照明光学系 ( 1〜4, 6 A, 6 B, 7 ) と、 そのマスクのパターンの像 を基板上に転写する投影光学系 ( 1 4) と、 その照明光学系の照明条件 を、 露光対象のパターンの光学的フーリエ変換面 (5) での強度分布が、 光軸近傍よりもこの外側の領域で強い変形照明と、 それ以外の照明との 何れかに切り換える照明条件制御系 (2 3, 42, 43) と、 そのマス クのパターンとして複数のマスクパターン (9 A, 9 B) の内の何れか を選択するパターン選択装置 ( 1 1〜 1 3) と、 このパターン選択装置 で順次選択される複数のマスクパターンの相互の位置合わせを行うァラ ィメント系 (8 A, 8 B, 2 5) と、 そのパターン選択装置によって選 択されたパターンに応じて、 その照明条件制御系を介してその照明条件 を切り換えて多重露光を行う露光制御系 (2 7) と、 を所定の位置関係 で組み上げるものである。  Next, the method of manufacturing an exposure apparatus according to the present invention includes an illumination optical system (1 to 4, 6A, 6B, 7) for illuminating a predetermined mask, and a projection for transferring an image of a pattern of the mask onto a substrate. The illumination conditions of the optical system (14) and its illumination optical system are defined as follows: the intensity distribution of the pattern to be exposed on the optical Fourier transform surface (5) is higher than that of the vicinity of the optical axis. , An illumination condition control system (23, 42, 43) that switches to one of the other illuminations, and one of a plurality of mask patterns (9A, 9B) as the mask pattern A pattern selection device (11 to 13), an alignment system (8A, 8B, 25) for mutually aligning a plurality of mask patterns sequentially selected by the pattern selection device, and the pattern The lighting condition control system according to the pattern selected by the selection device An exposure control system for performing multiple exposure (2 7) is switched the lighting conditions through, the one in which assembled in a predetermined positional relationship.
また、 本発明によるデバイスの製造方法は、 或るレイヤにおいて、 使 用される露光装置の投影光学系 ( 1 4) の投影像の実質的に解像限界程 度の線幅を有するパターンを含む所定形状の回路パターンが形成される デバイスの製造方法であって、 本発明による露光方法を用いてその露光 装置でそのレイヤへの露光を行うものである。 これによつて、 その投影 光学系の解像限界程度の線幅のパターンを高精度に形成できる。 また、 例えばその線状パターンは、 電界効果型トランジスターのゲート電極パ ターンである。 図面の簡単な説明 Further, the device manufacturing method according to the present invention includes, in a certain layer, a pattern having a line width substantially equal to a resolution limit of a projection image of a projection optical system (14) of an exposure apparatus to be used. A method for manufacturing a device in which a circuit pattern having a predetermined shape is formed, wherein the exposure apparatus exposes the layer using the exposure method according to the present invention. As a result, a pattern having a line width approximately equal to the resolution limit of the projection optical system can be formed with high accuracy. Also, for example, the linear pattern is a gate electrode pattern of a field-effect transistor. BRIEF DESCRIPTION OF THE FIGURES
図 1は、 本発明の実施の形態の一例で使用される投影露光装置を示す 構成図である。 図 2は、 その実施の形態で形成されるデバイスの或るレ ィャの回路パターンの一例を部分的に拡大して示す図である。 図 3は、 図 2の回路パターンの像を投影するために使用される 2枚のレチクルの パターン構成を示す図である。 図 4 (A) は、 本発明の実施の形態の他 の例で使用される第 2のレチクルのパターンを示す図、 図 4 (B) は、 その実施の形態で使用される第 3のレチクルを示す図である。 図 5 (A) は、 図 1のフライアイレンズ 4 1の射出面に σ絞り 44を配置した状態 を示す図 5 (Β) の ΑΑ線に沿う断面図、 図 5 (Β) は、 変形照明用の σ絞り 44を示す図、 図 5 (C) は、 円形開口を持つひ絞り 45を示す 図、 図 5 (D) 及び図 5 (Ε) はそれぞれ変形照明用の σ絞り 46及び 4 7を示す図である。 図 6は、 周期的な透過パターンのみを透過部で構 成し、 その間のみを減光型位相シフト部で構成し、 それ以外の部分を遮 光部で構成したレチクルを示す図である。 図 7は、 本発明の実施の形態 の一例の露光動作を示すフロ一チヤ一卜である。 発明を実施するための最良の形態  FIG. 1 is a configuration diagram showing a projection exposure apparatus used in an example of an embodiment of the present invention. FIG. 2 is a partially enlarged view showing an example of a circuit pattern of a certain layer of the device formed in the embodiment. FIG. 3 is a diagram showing a pattern configuration of two reticles used to project an image of the circuit pattern of FIG. FIG. 4A is a diagram showing a pattern of a second reticle used in another example of the embodiment of the present invention, and FIG. 4B is a diagram showing a third reticle used in the embodiment. FIG. FIG. 5 (A) is a cross-sectional view taken along line ΑΑ of FIG. 5 (Β) showing a state where the σ stop 44 is arranged on the exit surface of the fly-eye lens 41 of FIG. 1, and FIG. 5 (Β) is a modified illumination 5 (C) is a diagram showing a diaphragm 45 having a circular aperture, and FIGS. 5 (D) and 5 (Ε) are σ diaphragms 46 and 47 for deformed illumination, respectively. FIG. FIG. 6 is a diagram showing a reticle in which only a periodic transmission pattern is configured by a transmission unit, only a portion between the transmission patterns is configured by a dimming type phase shift unit, and the other part is configured by a light shielding unit. FIG. 7 is a flowchart showing an exposure operation according to an example of the embodiment of the present invention. BEST MODE FOR CARRYING OUT THE INVENTION
以下、 本発明の好適な実施の形態の一例につき図面を参照して説明す る。  Hereinafter, an example of a preferred embodiment of the present invention will be described with reference to the drawings.
図 1は、 本例で使用される投影露光装置を示し、 この図 1において、 露光光源 1を発した露光光としての照明光 I L 0は、 リレー光学系 2に よってビーム形状を整えられた後、 照明光 I L 1 としてミラ一 3に反射 されて照度分布整形光学系 4に入射する。 露光光源 1としては、 本例で は A r Fエキシマレーザ光源 (波長 1 9 3 nm) が使用されているが、 それ以外に K r Fエキシマレーザ (波長 248 nm) 、 F2 レーザ (波 長 1 57 nm) 、 A r 2 レーザ (波長 1 26 nm) 、 又は Y AGレーザ の高調波発生装置等も使用できる。 FIG. 1 shows a projection exposure apparatus used in this example. In FIG. 1, illumination light IL 0 as exposure light emitted from an exposure light source 1 is adjusted after a beam shape is adjusted by a relay optical system 2. Then, the light is reflected by the mirror 13 as illumination light IL 1 and enters the illuminance distribution shaping optical system 4. As the exposure light source 1, an ArF excimer laser light source (wavelength: 193 nm) is used in this example. Otherwise the K r F excimer laser (wavelength 248 nm), F 2 laser (wavelength 1 57 nm), A r 2 laser (wavelength 1 26 nm), or harmonic generator such as a Y AG laser can also be used.
本例の照度分布整形光学系 4は、 オプティカル ·インテグレー夕 (ホ モジナイザー) としてのフライアイレンズ 41と、 この射出面 5に回転 自在に配置されると共に、 回転軸を中心として複数の開口絞り (以下 「σ絞り」 と呼ぶ) が配置された回転板 42と、 この回転板 42を回転 させる駆動モ一夕 43と、 を備えている。 フライアイレンズ 41の射出 面 5は、 露光対象のマスクとしてのレチクルのパターン面に対して光学 的なフーリエ変換の関係にあり、 回転板 42を回転して所望の σ絞りの 中心が照明光学系の光軸 A X 1に合致するように、 その σ絞りを射出面 5に設置することによって、 所望の照明条件を設定できる。  The illuminance distribution shaping optical system 4 of this example is provided with a fly-eye lens 41 as an optical integrator (homogenizer), and is rotatably disposed on the exit surface 5, and has a plurality of aperture stops ( A rotating plate 42 on which a rotating plate 42 is disposed, and a driving module 43 for rotating the rotating plate 42. The exit surface 5 of the fly-eye lens 41 has an optical Fourier transform relationship with the pattern surface of the reticle as a mask to be exposed, and the center of the desired σ stop is turned by rotating the rotating plate 42. By setting the σ stop on the exit surface 5 so as to match the optical axis AX 1, a desired illumination condition can be set.
回転板 42には、 図 5 (Α) 〜 (Ε) に示すようにそれぞれ射出面 5 に設置した状態で、 遮光板中に光軸 AX 1を中心として等角度間隔で形 成された 4個の円形開口 44 a〜44 dを有する σ絞り 44、 光軸 ΑΧ 1を中心とした円形の開口 45 aを有する σ絞り 45、 光軸 AX 1を所 定方向に挟むように形成された 2つの小さい円形の開口 46 a, 46 b を有する σ絞り 46、 及びその σ絞り 46を 90 ° 回転した形状の開口 47 a, 47 bを有する σ絞り 47が配置されている。 図 5 (Α) は図 5 (Β) の ΑΑ線に沿う断面図である。 これらの σ絞り 44〜47の各 開口の位置によって、 露光対象のレチクルパターンの光学的なフーリエ 変換面を通過する際の照明光の強度分布、 即ち照明条件が規定されて、 レチクルパターンに入射する照明光の入射角、 及び方向の分布が規定さ れる。 σ絞り 44, 46, 47はそれぞれ、 その光学的なフーリエ変換 面において、 照明光が光軸 AX 1を含まない領域を通過する照明条件で ある変形照明を行うための σ絞りである。 図 1に戻り、 装置全体の動作を統轄制御する主制御系 2 7には、 露光 対象のレチクルパターンの周期方向や微細度に応じて、 σ絞り 4 4〜4 7中の最適な σ絞りの情報がテーブルとして記憶されている。 そこで、 露光前に主制御系 2 7は、 露光対象のレチクルバターンに最適な σ絞り の情報を露光制御系 2 3に供給し、 露光制御系 2 3は、 駆動モー夕 4 3 を介して最適な σ絞りをフライアイレンズ 4 1の射出面 5に設定する。 また、 露光制御系 2 3は、 露光光源 1の発光状態の制御も行う。 なお、 種々のレチクルパターンに対して最適な σ絞りの形状については、 例え ば日本国特開平 4 一 1 0 1 1 4 8号公報、 米国特許第 5 6 3 8 2 1 1号、 及び米国特許第 5 3 3 5 0 4 4号に詳細に述べられており、 本国際出願 で指定した指定国、 又は選択した選択国の国内法令の許す限りにおいて、 上記公報及び米国特許の開示を援用して本文の記載の一部とする。 As shown in FIGS. 5 (Α) to (Ε), the rotating plate 42 has four light-shielding plates formed at equal angular intervals around the optical axis AX 1 while being installed on the emission surface 5 respectively. Aperture 44 with circular apertures 44a to 44d, σ stop 45 with a circular aperture 45a centered on optical axis ΑΧ1, two formed to sandwich optical axis AX1 in a predetermined direction A σ stop 46 having small circular openings 46 a and 46 b, and a σ stop 47 having openings 47 a and 47 b formed by rotating the σ stop 46 by 90 ° are arranged. FIG. 5 (Α) is a sectional view taken along the line ΑΑ of FIG. 5 (5). The intensity distribution of the illumination light when passing through the optical Fourier transform surface of the reticle pattern to be exposed, that is, the illumination conditions, is defined by the positions of the apertures of the σ stops 44 to 47, and the reticle pattern is incident on the reticle pattern. The distribution of the incident angle and direction of the illumination light is specified. The σ stops 44, 46, and 47 are σ stops for performing modified illumination, which is an illumination condition in which the illumination light passes through a region not including the optical axis AX1, on the optical Fourier transform plane. Returning to Fig. 1, the main control system 27, which supervises and controls the operation of the entire apparatus, has an optimal σ stop among σ stops 44 to 47, depending on the period direction and fineness of the reticle pattern to be exposed. The information is stored as a table. Therefore, before exposure, the main control system 27 supplies information of the σ stop which is optimal for the reticle pattern to be exposed to the exposure control system 23, and the exposure control system 23 is optimized via the driving mode 43. Set the appropriate σ stop on the exit surface 5 of the fly-eye lens 4 1. The exposure control system 23 also controls the light emission state of the exposure light source 1. It should be noted that the optimum shape of the σ stop for various reticle patterns is described in, for example, Japanese Patent Application Laid-Open No. Hei 4-111, U.S. Pat. No. 5,638,211 and U.S. Pat. No. 5,335,044, the disclosures of the above-mentioned publications and U.S. patents are hereby incorporated by reference, to the extent permitted by the national laws of the designated State designated in this International Application, or of the chosen elected State. Part of the text.
照度分布整形光学系 4から射出された照明光 I L 2は、 コンデンサレ ンズ系 6 Α、 ミラー 7、 及びコンデンサレンズ 6 Βを経て、 図 1の状態 ではマスクとしての第 1のレチクル 9 Αのパターン面の照明領域を照明 する。 その照明光 I L 2のもとで、 レチクル 9 Aのパターンの像が投影 光学系 1 4を介して投影倍率 3 ( 3は例えば 1 / 4 , 1 / 5等) で、 基 板としてのフォトレジス卜が塗布されたウェハ (waf er) 1 6の表面に投 影露光される。 なお、 コンデンサレンズ系 6 Aには、 実際には照明領域 を規定する視野絞り (レチクルブラインド) 等も含まれている。 また、 フライアイレンズ 4 1の射出面 5は、 コンデンサレンズ系 6 A、 ミラー 7及びコンデンサレンズ 6 Bよりなる光学系に関して、 露光対象のレチ クルのパターン面の光学的なフーリエ変換面となっている。 また、 投影 光学系 1 4内のレチクル 9 Aのパターン面に対する光学的なフ一リェ変 換面 (瞳面) には、 開口絞り 1 5が配置されている。  The illumination light IL 2 emitted from the illuminance distribution shaping optical system 4 passes through a condenser lens system 6 mm, a mirror 7 and a condenser lens 6 mm, and in the state of FIG. 1, the pattern of the first reticle 9 mm as a mask in FIG. Illuminates the illumination area of the surface. Under the illumination light IL 2, an image of the pattern of the reticle 9A is projected through the projection optical system 14 at a projection magnification of 3 (for example, 1/4, 1/5, etc.), and the photoresist as a substrate is formed. The surface of the wafer 16 coated with the wafer is projected and exposed. Note that the condenser lens system 6A actually includes a field stop (reticle blind) that defines the illumination area. The exit surface 5 of the fly-eye lens 41 is an optical Fourier transform surface of the pattern surface of the reticle to be exposed with respect to the optical system including the condenser lens system 6A, the mirror 7, and the condenser lens 6B. I have. An aperture stop 15 is arranged on an optical Fourier transform plane (pupil plane) for the pattern surface of the reticle 9A in the projection optical system 14.
レチクル 9 Aは、 レチクルステージ 1 1上のレチクルホルダ 1 0 A, 1 0 B上に吸着保持されている。 後述のように本例では、 ウェハ 1 6へ の所定のパターン像の露光を、 複数のレチクルパターンの合成露光 (多 重露光) により行う。 そこで、 レチクルステージ 1 1上のレチクル 9A に近接した領域に、 レチクルホルダ 1 0 B, 1 0 Cを介して第 2のレチ クル 9 Bが吸着保持され、 これらのレチクルを交換しつつ露光を行うこ とが可能となっている。 以下、 投影光学系 14の光軸 AX 2に垂直な平 面内で、 図 1の紙面に平行に X軸を、 図 1の紙面に垂直に Y軸を取って 説明する。 The reticle 9 A is a reticle holder 10 A on the reticle stage 11, Adsorbed and held on 10 B. As described later, in this example, exposure of a predetermined pattern image onto the wafer 16 is performed by composite exposure (multiple exposure) of a plurality of reticle patterns. Therefore, the second reticle 9B is sucked and held via the reticle holders 10B and 10C in an area near the reticle 9A on the reticle stage 11, and exposure is performed while exchanging these reticles. This is possible. In the following, a description will be given taking an X axis parallel to the plane of FIG. 1 and a Y axis perpendicular to the plane of FIG. 1 in a plane perpendicular to the optical axis AX 2 of the projection optical system 14.
まず、 レチクルステージ 1 1上にレチクル 9 A, 9 Bは X方向に近接 して載置されている。 そして、 レチクルステージ 1 1は、 レチクルべ一 ス 1 2上に、 X方向に長いストロークで移動できると共に、 X方向、 Y 方向、 回転方向に所定範囲で位置決め自在に載置されている。 レチクル ステージ 1 1の 2次元的な位置は、 移動鏡 1 3m及びこれに対向して配 置されたレーザ干渉計 1 3によって計測され、 この計測値、 及び主制御 系 27からの制御情報に基づいてレチクルステージ駆動系 2 1がレチク ルステージ 1 1の動作を制御する。  First, the reticles 9A and 9B are mounted on the reticle stage 11 in close proximity in the X direction. The reticle stage 11 can be moved on the reticle base 12 with a long stroke in the X direction, and can be positioned in a predetermined range in the X direction, the Y direction, and the rotation direction. The two-dimensional position of the reticle stage 11 is measured by a movable mirror 13 m and a laser interferometer 13 disposed opposite thereto, and based on the measured values and control information from the main control system 27. The reticle stage drive system 21 controls the operation of the reticle stage 11.
また、 コンデンサレンズ 6 Bの周辺部の下方に 1対のレチクルァライ メント顕微鏡 (以下 「RA顕微鏡」 という) 8A, 8 Bが設置され、 R A顕微鏡 8 A, 8 Bの撮像信号がァライメン卜信号処理系 26に供給さ れている。 レチクルァライメント時に、 RA顕微鏡 8A, 8 Bはそれぞ れレチクル 9A (又はレチクル 9 B) のァライメントマーク、 及びこれ に対応するウェハステージ側の基準マークの像を撮像し、 ァライメント 信号処理系 26ではそれら 2対のマークの位置ずれ量を算出して主制御 系 27に供給する。 主制御系 27は、 例えばそれらの位置ずれ量が対称 に最小になるようにレチクルステージ 1 1を位置決めすることで、 両レ チクル 9A, 9 Bのパ夕一ン像を所定の位置関係を維持して、 高精度に 位置合わせすることができる。 ただし、 本例ではレチクル 9 A, 9 Bの 交換を行う際にも、 レチクルステージ 1 1の位置 (X座標を含む) はレ 一ザ干渉計 1 3によって高精度に計測されているため、 上記のレチクル ァライメントは、 これらのレチクル 9 A, 9 Bを不図示のレチクル口一 ダ系よりレチクルステージ 1 1上にロードした際に 1度だけ行えば良く、 それ以後にレチクル 9 A, 9 Bを交換して露光を行う際には、 レーザ干 渉計 1 3の計測値に基づいてレチクルステージ 1 1を位置決めするだけ でも良い。 A pair of reticle alignment microscopes (hereinafter referred to as “RA microscopes”) 8A and 8B are installed below the periphery of the condenser lens 6B, and the imaging signals of the RA microscopes 8A and 8B are transferred to an alignment signal processing system. Supplied to 26. At the time of reticle alignment, the RA microscopes 8A and 8B capture images of the alignment marks of the reticle 9A (or reticle 9B) and the corresponding reference marks on the wafer stage, respectively, and the alignment signal processing system 26 Then, the position shift amount of the two pairs of marks is calculated and supplied to the main control system 27. The main control system 27 maintains the positional relationship between the reticle images of both reticles 9A and 9B by, for example, positioning the reticle stage 11 so that the amount of displacement is symmetrically minimized. And with high precision Can be aligned. However, in this example, even when the reticles 9A and 9B are exchanged, the position (including the X coordinate) of the reticle stage 11 is measured with high accuracy by the laser interferometer 13; The reticle alignment of the reticle 9A, 9B need only be performed once when these reticles 9A, 9B are loaded onto the reticle stage 11 from a reticle opening system (not shown). When performing exposure by exchanging, the reticle stage 11 may be simply positioned based on the measurement value of the laser interferometer 13.
一方、 ウェハ 1 6は、 不図示のウェハホルダを介してウェハステージ 1 7上に保持され、 ウェハステージ 1 7は、 定盤 1 8上で X方向、 Y方 向にウェハ 1 6をステップ移動すると共に、 不図示のオートフォーカス センサの計測値に基づいて、 ウェハ 1 6の表面のフォーカス位置 (Z方 向の位置) 及び傾斜角をオートフォーカス方式で投影光学系 1 4の像面 に合わせ込む。 ウェハステージ 1 7の 2次元的な位置は、 移動鏡 1 9 m 及びレーザ干渉計 1 9によって計測され、 この計測値、 及び主制御系 2 7からの制御情報に基づいてウェハステージ駆動系 2 2がウェハステ一 ジ 1 7の動作を制御する。 露光時には、 ウェハ 1 6上の一つのショット 領域へのレチクルパターン像の露光 (又は二重露光) が終わると、 ゥェ ハステージ 1 7のステップ移動によって次のショッ卜領域が露光位置に 移動して露光を行うという動作がステップ · アンド · リピート方式で繰 り返される。 このように本例の投影露光装置は、 ステッパー型 (一括露 光型) であるが、 投影露光装置としてステップ · アンド ·スキャン方式 のような走査露光型を使用する場合にも本発明が適用できるのは言うま でも無い。  On the other hand, the wafer 16 is held on a wafer stage 17 via a wafer holder (not shown), and the wafer stage 17 moves the wafer 16 stepwise in the X direction and the Y direction on the platen 18. The focus position (position in the Z direction) and the tilt angle of the surface of the wafer 16 are adjusted to the image plane of the projection optical system 14 by an autofocus method based on the measurement values of an autofocus sensor (not shown). The two-dimensional position of the wafer stage 17 is measured by a moving mirror 19 m and a laser interferometer 19, and based on the measured values and control information from the main control system 27, a wafer stage drive system 2 2 Controls the operation of wafer stage 17. At the time of exposure, when the exposure (or double exposure) of the reticle pattern image to one shot area on the wafer 16 is completed, the next shot area is moved to the exposure position by the step movement of the wafer stage 17. The operation of performing exposure is repeated in a step-and-repeat manner. As described above, the projection exposure apparatus of this example is a stepper type (collective exposure type), but the present invention can be applied to a case where a scanning exposure type such as a step-and-scan method is used as the projection exposure apparatus. Needless to say.
また、 ウェハ 1 6の各ショッ ト領域への重ね合わせ露光時のァライメ ントを行うために、 オフ · ァクシス方式で画像処理型のァライメントセ ンサ 25が備えられ、 ァライメントセンサ 25の撮像信号もァライメン ト信号処理系 26に供給されている。 ウェハステージ 1 7上には R A顕 微鏡 8 A, 8 Bを介してレチクルァライメン卜を行う際に使用される基 準マーク、 及びァライメントセンサ 25用の基準マークが形成された基 準マーク部材 20も設置されている。 In addition, in order to perform alignment at the time of overlay exposure on each shot area of the wafer 16, an image processing type alignment cell using an off-axis method is used. A sensor 25 is provided, and an imaging signal of the alignment sensor 25 is also supplied to the alignment signal processing system 26. A reference mark used for performing reticle alignment via the RA microscopes 8 A and 8 B and a reference mark for the alignment sensor 25 are formed on the wafer stage 17. A marking member 20 is also provided.
次に、 本例の投影露光装置を用いて所定のパターンの像を露光する際 の動作の一例につき説明する。 本例では、 ウェハ 1 6上の各ショット領 域に対して 2つのレチクルを交換しつつ二重露光を行うが、 始めに第 1 のレチクル 9 Aを用いてウェハ 16上の全ショッ卜領域を露光し、 続い てレチクルを第 2のレチクル 9 Bに交換して、 再びウェハ 1 6上の全シ ョット領域を露光するシーケンスを採用した方が、 各ショッ ト領域毎に レチクル 9 A, 9 Bを交換して露光を行うよりもスループッ トが高い。 そこで、 以下では各レチクル毎にウェハの全部のショッ ト領域への露光 を連続して行う動作について説明する。  Next, an example of an operation when exposing an image of a predetermined pattern using the projection exposure apparatus of the present embodiment will be described. In this example, double exposure is performed for each shot area on the wafer 16 while exchanging two reticles. First, the first reticle 9A is used to perform an entire shot area on the wafer 16. Exposure, followed by exchanging the reticle for the second reticle 9B and again exposing the entire shot area on the wafer 16 would be better for the reticle 9A, 9B for each shot area. The throughput is higher than when exposing by changing the exposure. Therefore, an operation for continuously exposing the entire shot area of the wafer for each reticle will be described below.
図 2は、 本例でウェハの各ショット領域に形成する電子デバイスの或 るレイヤの回路パターン 3 1を部分的に拡大した図を示し、 この図 2に おいて、 幅 d Y 1で X方向に細長く伸びた細線パターン P 1 aの両端部 に、 それより広い幅 dY2 (dY2は dY 1のほぼ 1. 5倍程度) の重 ね合わせ用パターン P 1 c , P 1 dを配置した形の第 1のゲートパター ン P 1が形成されている。 同様に、 幅 d X 1で Y方向に細長く伸びた細 線パターン P 2 aの端部に、 それより広い幅 dX 2 (dX2は dX lの ほぼ 1. 5倍程度) の重ね合わせ用パターン P 2 cを配置した形の第 2 のゲートパターン P 2と、 それぞれ Y方向に伸びた幅 d X 1の細線パ夕 ーン P 3 a, P 3 bの反対側の端部に、 それより広い幅 dX2の重ね合 わせ用パターン P 3 c, P 3 dを配置した形のゲートパターン P 3 A, P 3 Bを中心間隔 e X 1 (本例では e X 1 = 2 · dX 1) で配置した第 3のゲートパターン P 3とが形成されている。 この場合、 dX l =dY 1となっている。 FIG. 2 is a partially enlarged view of a circuit pattern 31 of a certain layer of an electronic device formed in each shot area of a wafer in this example. In FIG. The overlapping pattern P 1 c, P 1 d with a wider width dY2 (dY2 is about 1.5 times dY1) is arranged at both ends of the thin line pattern P1a A first gate pattern P1 is formed. Similarly, at the end of a thin line pattern P2a elongated in the Y direction with a width dX1, a superposition pattern P of a wider width dX2 (dX2 is approximately 1.5 times dXl) is provided. 2 The second gate pattern P2 in the shape of c, and the thin wire pattern P3a, P3b with width dX1 extending in the Y direction. Gate patterns P 3 A and P 3 B with overlapping patterns P 3 c and P 3 d of width dX2 are arranged at center distance e X 1 (e X 1 = 2 · dX 1 in this example) The first Three gate patterns P3 are formed. In this case, dXl = dY1.
それらの孤立線的な細線パターン P 1 a, P 2 a, P 3 a, P 3 bの 幅 dY l (即ち dX l) は、 変形照明を用いない場合の本例の投影光学 系 14の解像限界程度の幅、 又はこの解像限界より僅かに細い幅であり、 細線パターン P l a, P 2 a , P 3 a, P 3 bが本発明の線状パターン に対応している。 即ち、 露光波長をえ、 投影光学系 14の開口数を NA とすると、 変形照明を用いない場合の投影光学系 14の解像限界は、 所 定のプロセス係数 k 1を用いてほぼ k 1 · λ/ΝΑであり、 幅 d Υ 1 (dX 1) は k l ' AZNA程度、 又はこれより僅かに細い程度である。 一方、 重ね合わせ用パターン P 1 c, P 2 c等の幅 dY2 (即ち dX2) は、 その解像限界 k 1 · λΖΝΑよりも 1. 5倍程度は太く設定されて いる。  The width dYl (i.e., dXl) of these isolated linear thin line patterns P1a, P2a, P3a, P3b is the solution of the projection optical system 14 of this example when no modified illumination is used. The width is about the image limit or slightly smaller than the resolution limit, and the fine line patterns P la, P 2a, P 3a, and P 3b correspond to the linear pattern of the present invention. That is, assuming that the exposure wavelength is obtained and the numerical aperture of the projection optical system 14 is NA, the resolution limit of the projection optical system 14 when the modified illumination is not used is approximately k 1 ··· using a predetermined process coefficient k 1. λ / ΝΑ, and the width d Υ 1 (dX 1) is of the order of kl ′ AZNA or slightly smaller. On the other hand, the width dY2 (ie, dX2) of the superposition patterns P1c, P2c, etc. is set to be about 1.5 times as large as the resolution limit k1 · λΖΝΑ.
ゲートパターン P l, Ρ 2 , Ρ 3の細線パターン Ρ 1 a, P 2 a , P 3 a, P 3 bの部分は、 例えば電界効果型トランジスタのゲ一ト電極と なるパターンであり、 ウェハの各ショッ ト領域の当該レイヤ上にそれら のゲートパ夕一ン P l, P 2 , P 3を残しパターン (その部分にのみ金 属膜等の膜が残るパターン) として形成する必要がある。 実際のデバイ スにはこのようなゲー卜パターンが数千万個以上形成されている場合が あるが、 このゲート電極が細く、 且つデバイスの全箇所で、 その線幅が 一定しているほど、 その電子デバイスを高速に動作させることができる。  The thin line patterns Ρ 1 a, P 2 a, P 3 a, and P 3 b of the gate patterns P l, Ρ 2, and Ρ 3 are patterns that become gate electrodes of, for example, field-effect transistors, It is necessary to form the gate patterns P1, P2, and P3 on the relevant layer in each shot area as a pattern (a pattern in which a metal film or the like remains only in that part). In actual devices, tens of millions or more of such gate patterns may be formed, but as the gate electrode becomes thinner and the line width becomes constant at all parts of the device, The electronic device can be operated at high speed.
このようなゲートパターン P 1, P 2 , P 3の形成には、 これと相似 形状の拡大された遮光パターン (原版パターン) を有するレチクルを作 成し、 その縮小像を投影露光装置でウェハ上に転写露光すればよいが、 変形照明を用いない露光方法では、 その k 1 · λΖΝΑ程度の解像限界 より細いパターン像を高精度に、 かつ適正な焦点深度を維持して露光す ることは困難である。 そこで、 本例では図 2の回路パターン 3 1を図 1 の投影光学系 14の投影倍率 3の逆数 (1//3) 倍で拡大した原版パ夕 ーンより、 2つのレチクルパターンを生成し、 これらのレチクルパター ンを図 1のレチクル 9 A及び 9 Bに別々に形成する。 なお、 実際のレチ クルパターンの長さは、 ウェハ上での長さの目標値に (1Z/3) 倍を乗 じた値であるが、 以下では説明の便宜上、 レチクルパターンの各部の長 さをウェハ上の長さに換算した値で表示する。 更に、 図 1の投影光学系 14は、 例えば反転投影を行っているが、 分かり易くするために、 レチ クルパターンとこの投影像とは同じ方向であるものとして説明する。 To form such gate patterns P1, P2, and P3, a reticle having an enlarged light-shielding pattern (original pattern) having a similar shape to that of the reticle is created, and a reduced image thereof is formed on a wafer by a projection exposure apparatus. However, with an exposure method that does not use deformed illumination, a pattern image that is smaller than the resolution limit of about k1λm can be exposed with high accuracy while maintaining an appropriate depth of focus. It is difficult to do. Therefore, in this example, two reticle patterns are generated from the original pattern obtained by enlarging the circuit pattern 31 in FIG. 2 by the reciprocal (1 // 3) times the projection magnification 3 of the projection optical system 14 in FIG. These reticle patterns are separately formed on reticles 9A and 9B in FIG. The actual length of the reticle pattern is a value obtained by multiplying the target value of the length on the wafer by (1Z / 3) times. For convenience of explanation, the length of each part of the reticle pattern will be described below. Is displayed as a value converted to the length on the wafer. Further, the projection optical system 14 in FIG. 1 performs, for example, reverse projection, but for the sake of simplicity, a description will be given assuming that the reticle pattern and the projected image have the same direction.
図 3 (A) 及び (B) は、 それぞれ第 1のレチクル 9 A及び第 2のレ チクル 9 Bに描画されているレチクルパターンを示し、 前者の第 1のレ チクル 9 Aに描画されているレチクルパターンは、 透過部 35中に図 2 のゲ一トパターン P 1〜P 3とそれぞれ同じ形状の (より正確には 1 Z 3倍した) 遮光膜よりなる遮光パターン A 1〜A 3を形成したものであ る。 即ち、 遮光パターン A 1は、 図 2の細線パターン P 1 a及び重ね合 わせ用パターン P 1 c, P 1 dとそれぞれ同じ形状のパターン A 1 a及 び A l c, A i dよりなる。 この場合、 パターン A l e, A i dの幅は 重ね合わせ用パターン P 1 c, P 1 dの幅と同じ d Y 2であるが、 中央 のパターン A 1 aの幅は細線パターン P 1 aの幅 d Y 1に対して d Y 3 で表されている。  FIGS. 3A and 3B show the reticle patterns drawn on the first reticle 9A and the second reticle 9B, respectively, and are drawn on the first reticle 9A. As the reticle pattern, light-shielding patterns A1 to A3 each formed of a light-shielding film having the same shape as the gate patterns P1 to P3 in FIG. It was done. That is, the light-shielding pattern A1 is composed of the patterns A1a, Alc, and Aid having the same shape as the fine line pattern P1a and the overlapping patterns P1c and P1d in FIG. In this case, the width of the patterns A le and A id is d Y 2 which is the same as the width of the overlapping patterns P 1 c and P 1 d, but the width of the central pattern A 1 a is the width of the fine line pattern P 1 a It is represented by d Y 3 with respect to d Y 1.
これは、 細線パターン P 1 aに対応するパターン A 1 aの幅 dY3は、 幅 dY 1と同じでもよいが、 幅 dY 1の 1倍〜 2倍の間に設定してもよ いことを意味している。 このようにパターン A l aの幅 dY 3を広く設 定することによって、 変形照明を用いない照明条件下での解像限界付近 の像の露光によってパターン A 1 aの像の線幅が狭くなるか、 又は 2つ のレチクルパターンの僅かな位置ずれによって線幅が狭くなることが防 止される。 また、 パターン A l aの幅 dY3を広く設定しても、 パター ン A 1 aの像の最終的な線幅は第 2のレチクル 9 Bのパターン像の露光 によって規定されるため問題は無い。 This means that the width dY3 of the pattern A1a corresponding to the fine line pattern P1a may be the same as the width dY1, but may be set between 1 and 2 times the width dY1. are doing. By setting the width dY3 of the pattern A la to be large in this manner, is it possible to reduce the line width of the image of the pattern A1a by exposing the image near the resolution limit under illumination conditions without using modified illumination? Or narrow line width due to slight misalignment of two reticle patterns. Is stopped. Even if the width dY3 of the pattern A la is set to be large, there is no problem because the final line width of the image of the pattern A1a is determined by the exposure of the pattern image of the second reticle 9B.
同様に、 遮光パターン A2は、 図 2の細線パターン P 2 a及び重ね合 わせ用パターン P 2 cとそれぞれ同じ形状の幅 d X 3 (=d Y3) のパ ターン A2 a及び幅 dX2のパターン A2 cよりなる。 更に、 遮光パ夕 —ン A 3は、 図 2の細線パターン P 3 a及び重ね合わせ用パターン P 3 cと同じ形状のパターン A 3 a及び A 3 cよりなる第 1の遮光パターン A 3 Aと、 細線パターン P 3 b及び重ね合わせ用パターン P 3 dと同じ 形状のパターン A 3 b及び A 3 よりなる第 2の遮光パターン A 3 Bと から構成されており、 幅 dX 3のパターン A 3 a, A3 bの中心間隔は、 細線パターン P 3 a, P 3 bの中心間隔 e X 1と同じである。  Similarly, the light-shielding pattern A2 is a pattern A2 having a width dX3 (= dY3) and a pattern A2 having a width dX3 (= dY3) each having the same shape as the fine line pattern P2a and the overlapping pattern P2c in FIG. Consists of c. Further, the light-shielding pattern A3 is composed of a first light-shielding pattern A3A composed of patterns A3a and A3c having the same shape as the thin line pattern P3a and the overlapping pattern P3c in FIG. And a second light-shielding pattern A 3 B composed of a pattern A 3 b and A 3 having the same shape as the fine line pattern P 3 b and the overlapping pattern P 3 d, and a pattern A 3 a having a width dX 3. , A3b are the same as the center intervals e X 1 of the thin line patterns P3a, P3b.
次に、 図 3 (B) の第 2のレチクル 9 Bに描画されているパターンは、 図 2の細線パターン P 1 a、 細線パターン P 2 a、 及び細線パターン P 3 a, P 3 bの原版パターンに相当する位置にそれぞれ所定方向に複数 個の透過パターン B l, B 2及び B 3を配置し、 それ以外の領域を遮光 部 32としたものである。 そして、 第 1の透過パターン B 1は、 図 2の 細線パターン P I aを正確に投影倍率の逆数 (1//3) 倍した点線で示 す X方向に細長い原版パターン P 1 a ' を挟み込む (接する) ように、 原版パターン P l a' と同じ形状の幅がほぼ dY 1の 4個の透過パター ンを、 Y方向に (即ち、 原版パターン P l a' の長辺方向 (長手方向) に直交する方向に) ほぼ 2 · dY 1のピッチで配置したパターンである ( また、 第 2の透過パターン B 2は、 図 2の細線パターン P 2 aの Y方 向に細長い原版パターン P 2 a ' を挟み込む (接する) ように、 原版パ 夕一ン P 2 a' と同じ形状の幅がほぼ dX 1の 4個の透過パターンを、 X方向にほぼ 2 · d X 1のピッチで配置したパターンである。 同様に、 第 3の透過パターン B 3は、 図 2の細線パターン P 3 a, P 3 bの原版 パターン P 3 a' , P 3 b ' を挟み込む (接する) ように、 原版パ夕一 ン P 3 a' と同じ形状の幅がほぼ d X 1の 6個の透過パターンを、 X方 向にほぼ 2 · d X 1のピッチで配置したパターンである。 なお、 透過パ ターン B l , B 2としては、 矩形の透過パターンをそれぞれ 2個〜 8個 程度周期的に配置したパターンを使用できる。 同様に、 透過パターン B 3としては、 矩形の透過パターンをそれぞれ 3個〜 9個程度周期的に配 置したパターンを使用できる。 Next, the pattern drawn on the second reticle 9B in FIG. 3B is an original of the fine line pattern P1a, the fine line pattern P2a, and the fine line patterns P3a and P3b in FIG. A plurality of transmissive patterns Bl, B2, and B3 are arranged in predetermined directions at positions corresponding to the patterns, respectively, and the other areas are light-shielding portions 32. Then, the first transmission pattern B 1 sandwiches the original pattern P 1 a ′ which is elongated in the X direction shown by a dotted line obtained by accurately multiplying the thin line pattern PI a of FIG. 2 by the reciprocal (1 // 3) of the projection magnification ( So that four transparent patterns of the same shape as the original pattern P la 'and whose width is almost dY 1 are orthogonal to the Y direction (that is, the long side direction (longitudinal direction) of the original pattern P la'). (In the direction) The pattern is arranged at a pitch of approximately 2 · dY 1 (In addition, the second transmission pattern B 2 sandwiches the original pattern P 2 a ′ which is elongated in the Y direction of the thin line pattern P 2 a in FIG. In this way, four transmission patterns of the same shape as the original plate P 2 a 'and having a width of approximately dX 1 are arranged at a pitch of approximately 2 · d X 1 in the X direction. Similarly, The third transmission pattern B 3 is an original pattern P 3 a ′ so that the original pattern P 3 a ′ and P 3 b ′ of the fine line pattern P 3 a, P 3 b ′ shown in FIG. This is a pattern in which six transmissive patterns having the same shape as that of the above and having a width of approximately dX1 are arranged at a pitch of approximately 2 · dX1 in the X direction. Note that, as the transmission patterns Bl and B2, a pattern in which about 2 to 8 rectangular transmission patterns are periodically arranged can be used. Similarly, as the transmission pattern B3, a pattern in which about 3 to 9 rectangular transmission patterns are periodically arranged can be used.
図 3 (B) より分かるように、 各透過パターン B 1〜B 3の長辺方向 は対応する各細線パターン P 1 a〜P 3 a, P 3 bの原版パターンの長 辺方向 (Y方向、 又は X方向) と一致しており、 かつ、 各透過パターン B 1〜B 3の周期方向は、 対応する各細線パターンの長辺方向と直交す る方向である。 更に、 図 2の各細線パターン P l a〜P 3 a, P 3 bの 原版パターン P l a' 〜P 3 a, , Ρ 3 b ' に対応する部分はそれぞれ 遮光パターンとなっている。 そして、 第 1のレチクル 9 Aに含まれる各 遮光パターン A 1〜A3と、 第 2のレチクル 9 Bに含まれる各透過パ夕 ーン B 1〜B 3との位置関係は、 合成露光に際し、 正確に重なりあうよ うに配置されている。 そのため、 不図示であるがレチクル 9 A及び 9 B のパターン領域には、 それぞれ X方向に所定間隔で 1対のァライメント マークが形成されている。  As can be seen from FIG. 3 (B), the long side direction of each transmission pattern B 1 to B 3 is the long side direction of the original pattern corresponding to each of the fine line patterns P 1 a to P 3 a and P 3 b (Y direction, Or the X direction), and the periodic direction of each of the transmission patterns B1 to B3 is a direction orthogonal to the long side direction of each corresponding thin line pattern. Further, the portions of the fine line patterns Pla to P3a and P3b in FIG. 2 corresponding to the original patterns Pla 'to P3a, Ρ3b' are light-shielding patterns. The positional relationship between each of the light-shielding patterns A1 to A3 included in the first reticle 9A and each of the transmission patterns B1 to B3 included in the second reticle 9B, They are arranged so that they overlap exactly. Therefore, although not shown, a pair of alignment marks are formed at predetermined intervals in the X direction in the pattern regions of the reticles 9A and 9B, respectively.
次に本例の露光動作につき図 7のフローチャートを参照して説明する c まず、 図 7のステップ 10 1において、 1ロッ トのウェハに対してポジ 型のフォトレジス卜が塗布される。 その 1ロッ卜のウェハの各ショッ ト 領域の下地のレイヤには、 それぞれそれまでの工程で所定の回路パター ンが形成されている。 その後、 その 1ロットのウェハは図 1の投影露光 装置の近傍の不図示のウェハカセットに搬送される。 次に、 その 1ロッ 卜の内の 1枚のウェハを図 1のウェハステージ 1 7上にロードして、 ァ ライメントセンサ 25を介してウェハァライメントを行う (ステップ 1 02) 。 その後、 レチクルステージ 1 1を駆動して第 1のレチクル 9 A を照明光 I L 2による照明領域に移動して、 RA顕微鏡 8A, 8 B、 又 はレーザ干渉計 1 3を用いてレチクルァライメントを行う (ステップ 1 03) 。 Then c will be described first with reference to the flowchart of FIG. 7 per exposure operation of the present embodiment, in step 10 1 of Figure 7, a positive type photoresist Bok is applied to one lots of wafers. A predetermined circuit pattern is formed on the underlying layer of each shot area of the one-lot wafer in the steps up to that. Thereafter, the wafers of the one lot are transferred to a wafer cassette (not shown) near the projection exposure apparatus of FIG. Next, one lock One of the wafers is loaded on the wafer stage 17 in FIG. 1, and the wafer is aligned via the alignment sensor 25 (step 102). After that, the reticle stage 11 is driven to move the first reticle 9A to the illumination area by the illumination light IL2, and the reticle alignment is performed using the RA microscope 8A, 8B or the laser interferometer 13. Do (Step 103).
それに続くステップ 1 04において、 回転板 42を回転して対応する σ絞りをフライアイレンズ 41の射出面 5に設置することによって、 照 明条件をレチクル 9 Α用に最適化する。 このレチクル 9 Aに描画されて いる図 3 (A) の遮光パターン A 1〜A 3は周期性が低いため、 特に変 形照明を使用する必要はなく、 図 5 (C) に示す円形の開口 45 aを持 つ σ絞り 45がその射出面 5に設置される。 開口 45 aは、 例えばコヒ 一レファレンスファクタ (σ値) が 0. 3から 0. 7程度の通常の円形 開口である。 σ絞り 45を使用する照明条件をここでは 「通常照明」 と 呼ぶ。 ただし、 必要に応じて他の形状の絞りを使用しても良い。 その照 明条件のもとで、 ウェハの各ショッ 卜領域にレチクル 9 Αのパターン像 が投影露光される。  In the subsequent step 104, the illuminating conditions are optimized for the reticle 9 mm by rotating the rotating plate 42 and setting the corresponding σ stop on the exit surface 5 of the fly-eye lens 41. Since the light-shielding patterns A1 to A3 shown in FIG. 3A drawn on the reticle 9A have low periodicity, it is not necessary to use a deformed illumination, and the circular aperture shown in FIG. 5C is used. A squeeze aperture 45 having 45 a is installed on its exit surface 5. The opening 45a is, for example, a normal circular opening having a coffee reference factor (σ value) of about 0.3 to 0.7. The illumination condition using the σ-stop 45 is called “normal illumination” here. However, other shapes of apertures may be used if necessary. Under the illumination conditions, a pattern image of a reticle 9 レ is projected and exposed on each shot area of the wafer.
次に、 ステップ 1 0 5において、 レチクルステージ 1 1を駆動して、 第 2のレチクル 9 Bを照明領域に移動して、 レチクルァライメントを行 う。 その後のステップ 106において、 照明条件を図 3 (B) のレチク ル 9 Bの周期的な透過パターン B 1〜B 3に最適化する。 この場合には, 直交する 2方向 (X方向、 Y方向) に周期性を有するパターンの形成に 好適な変形照明とするために、 図 5 (B) に示す 4つの開口 44 a〜4 4 dを有する σ絞り 44を射出面 5に設定する。 なお、 図 5 (Β) 〜 (Ε) における X方向及び Υ方向は、 それぞれ図 1のウェハステージ 1 7上での X方向及び Υ方向に対応する方向である。 σ絞り 44の開口 4 4 a〜4 4 dは、 光軸 A X 1を中心として図 3 ( B ) の透過パターン B 1の周期方向 (Y方向) 、 及び透過パターン B 2, B 3の周期方向 (X 方向) に対してそれぞれ 4 5 ° ずつ回転した 4方向に沿って、 光軸 A X 1から等距離の位置を中心とした小さい円形である。 このような σ絞り 4 4を使用すると、 X方向及び Υ方向に周期性を有するパターンの解像 度及び焦点深度を向上することができるが、 その原理は、 日本国特開平 5 - 2 0 6 0 0 7号公報及び米国特許第 5 7 1 9 7 0 4号に詳細に述べ られているので、 ここでの説明は省略するが、 本国際出願で指定した指 定国、 又は選択した選択国の国内法令の許す限りにおいて、 上記公報及 び米国特許の開示を援用して本文の記載の一部とする。 Next, in step 105, the reticle stage 11 is driven to move the second reticle 9B to the illumination area, and reticle alignment is performed. In the subsequent step 106, the illumination conditions are optimized to the periodic transmission patterns B1 to B3 of the reticle 9B in FIG. 3 (B). In this case, the four apertures 44a to 44d shown in Fig. 5 (B) are used in order to obtain deformed illumination suitable for forming a pattern having periodicity in two orthogonal directions (X and Y directions). Is set to the exit surface 5. The X direction and the Υ direction in FIGS. 5 (Β) to (Ε) are directions corresponding to the X direction and the Υ direction on the wafer stage 17 in FIG. 1, respectively. squeezing aperture 44 aperture 4 4a to 44d are centered on the optical axis AX1 with respect to the periodic direction (Y direction) of the transmission pattern B1 in FIG. 3B and the periodic direction (X direction) of the transmission patterns B2 and B3. It is a small circle centered on a position equidistant from the optical axis AX 1 along four directions rotated by 45 ° each. The use of the σ stop 44 can improve the resolution and the depth of focus of a pattern having periodicity in the X direction and the 、 direction. The details are described in US Pat. No. 7,007,704 and U.S. Pat.No. 5,719,704, and the description here is omitted, but the designated country specified in this international application or the selected selected country To the extent permitted by Japanese law, the disclosures of the above-mentioned publications and US patents are incorporated herein by reference.
なお、 σ絞り 4 4の配置される射出面 5は、 前述の通りレチクル 9 Β のパターン面に対する光学的フーリェ変換面であるため、 その射出面 5 は、 投影光学系 1 4内の開口絞り 1 5の配置面と共役 (結像関係) にな つている。 そして、 図 5 ( Β ) の σ絞り 4 4の開口 4 4 a〜4 4 dの像 は、 対応する開口絞り 1 5の開口内のできるだけ周辺部に位置するよう に、 即ちできるだけ光軸から離れた位置とすると共に、 その開口 4 4 a 〜4 4 dの各内径もできるだけ小さくすることによって、 より一層微細 な線状パターン P l a, P 2 a , P 3 a , P 3 bに対応する透過パター ン B 1〜B 3の像を高精度に転写することが可能となる。  Since the exit surface 5 on which the σ stop 44 is disposed is an optical Fourier transform surface with respect to the pattern surface of the reticle 9 Β as described above, the exit surface 5 is provided with an aperture stop 1 in the projection optical system 14. It is conjugate (imaging relationship) with the arrangement plane of 5. Then, the images of the apertures 44a to 44d of the σ stop 44 in FIG. 5 (Β) should be located as far as possible in the corresponding aperture stop 15 aperture, that is, as far away from the optical axis as possible. And the inner diameters of the openings 44a to 44d are made as small as possible, so that the transmission corresponding to the finer linear patterns Pla, P2a, P3a, P3b It is possible to transfer images of patterns B1 to B3 with high accuracy.
ただし、 転写すべき線状パターンの線幅が露光波長え、 投影光学系の 開口数 N Aに対して、 0 . 4 Χ λ / Ν Α程度より太ければ、 使用する変 形照明は、 上記のように、 照明光学系瞳面で、 できるだけ光軸から離れ、 且つ、 できるだけ小さな開口を用いる変形照明には限定されず、 照明光 学系瞳面での照明光の強度分布が、 光軸近傍で弱く、 これ以外 (この外 側) の部分で強くなるような、 比較的集中度の弱い変形照明を用いるこ ともできる。 また、 輪帯照明を使用することもできる。 もちろん、 転写 すべき線状パターンの線幅が、 0 . 4 X Λ ΖΝ Α程度より細い場合には、 上記の如き、 照明光学系瞳面で、 できるだけ光軸から離れ、 且つ、 でき るだけ小さな開口を用いる変形照明を使用することが望ましい。 However, if the line width of the linear pattern to be transferred is larger than the exposure wavelength and the numerical aperture NA of the projection optical system is about 0.4 λ λ / Α 変, the deformed illumination used will be as described above. As described above, the illumination optical system pupil plane is not limited to deformed illumination that is as far away from the optical axis as possible and uses an aperture as small as possible, and the intensity distribution of the illumination light on the illumination optical system pupil plane is close to the optical axis. It is also possible to use deformed illumination with relatively low concentration, which is weak and strong in other (outside) areas. Alternatively, annular illumination can be used. Of course, transcription If the line width of the linear pattern to be formed is smaller than about 0.4 X Λ ΖΝ 用 い る, use an aperture as far as possible from the optical axis and as small as possible on the pupil plane of the illumination optical system as described above. It is desirable to use modified illumination.
その変形照明のもとで、 ウェハの各ショッ卜領域にレチクル 9 Βのパ ターン像が投影露光される。 そして、 ステップ 1 0 7で未露光のウェハ が無くなるまで、 ステップ 1 0 2〜 1 0 6が繰り返されて、 1ロットの 全部のウェハにそれぞれ 2枚のレチクル 9 Α, 9 Βの像が合成露光 (二 重露光) される。  Under the deformed illumination, a pattern image of a reticle 9 mm is projected and exposed on each shot area of the wafer. Steps 102 to 106 are repeated until there are no unexposed wafers in step 107, and images of two reticles 9 9 and 9Β are combined and exposed on all wafers in one lot. (Double exposure).
ところで、 変形照明を使用すると、 通常はレチクルを透過した結像光 束が、 投影光学系内の特定箇所に集光された状態で通過することとなり、 露光を長時間行う過程でその結像光束の吸収によりその投影光学系が局 所的に加熱され、 局所的な変形や屈折率変化が生じて、 結像特性が劣化 する恐れがある。 しかしながら、 本例において変形照明を行う際に使用 される第 2のレチクル 9 Βは、 転写すべき線状パターン Ρ 1 a, P 2 a , P 3 a , P 3 bに相当する部分の近傍のみに、 周期的な透過パターン B 1, B 2 , B 3を有するのみで、 それ以外の部分は全て遮光部 3 2とさ れている。 従って、 照明光束の殆どはレチクル 9 Bにより遮光されて投 影光学系 1 4内を透過する結像光束の光量は僅かであり、 上記の如き結 像特性の劣化が生じる恐れは全くない。  By the way, when deformed illumination is used, the imaging light flux that has passed through the reticle usually passes while being focused on a specific location in the projection optical system. The projection optical system is locally heated by the absorption of light, causing local deformation and a change in the refractive index, which may deteriorate the imaging characteristics. However, in this example, the second reticle 9 使用 used for performing the deformed illumination only has a linear pattern 転 写 1 a, P 2 a, P 3 a, P 3 b near the portion corresponding to P 3 b. In addition, only the periodic transmission patterns B 1, B 2, and B 3 are provided, and the other portions are all light shielding portions 32. Therefore, most of the illuminating light beam is shielded by the reticle 9B and the amount of the image forming light beam transmitted through the projection optical system 14 is small, and there is no possibility that the above-described deterioration of the image forming characteristics occurs.
上記の各ウェハについて 2回の露光によって、 各ウェハの各ショット 領域上のフォトレジストには、 2つのレチクル 9 A, 9 Bのパターンの 像が論理和的に記録される。 即ち、 少なくともどちらか一方の露光で明 部 (透過パターン) であった領域のフォトレジストは感光し、 2回とも 喑部 (遮光パターン) であった領域のフォトレジストは感光していない c 次にステップ 1 0 8に移行して、 二重露光後の 1ロットのウェハの現 像を行う。 本例のフォトレジストはポジ型であるため、 現像後には未感 光の部分のみが残膜し、 その結果、 図 2のゲートパターン P 1 , P 2 , P 3に対応する部分がレジストパターンとして形成される。 この際に、 レチクル 9 Bに存在する多くの遮光部 3 2は、 それと対応するレチクル 9 Aにおいて、 転写すべきゲートパターン P 1, P 2 , P 3に対応する 部分以外の領域が透過部 3 5となっていることから、 レジストが残膜す る (誤転写される) ことはない。 By performing two exposures for each wafer described above, the images of the patterns of the two reticles 9A and 9B are logically recorded on the photoresist on each shot area of each wafer. That is, the bright portion photoresist (transmission pattern) in a region is exposed to light at least one of the exposure, both times喑部photoresist (light-shielding pattern) at a region not exposed to light c then Proceeding to step 108, one lot of wafers after double exposure are imaged. Since the photoresist in this example is a positive type, it is insensitive after development. Only the light portions remain, and as a result, portions corresponding to the gate patterns P 1, P 2, and P 3 in FIG. 2 are formed as resist patterns. At this time, many light-shielding portions 32 existing on the reticle 9B are formed on the reticle 9A corresponding to the light-transmitting portions 3A except for areas corresponding to the gate patterns P1, P2, and P3 to be transferred. Since the value is 5, the resist does not remain (is erroneously transferred).
本例の合成露光を、 従来のような 1回で露光する方法、 即ち実質的に 第 1のレチクル 9 Aのみを使用する露光方法と比べると、 本例では第 2 のレチクル 9 Bを使用する露光において、 ゲートパターン P l, P 2 , P 3中の細線パターン P 1 a , P 2 a , P 3 a , P 3 bの解像度及び焦 点深度を著しく向上させることが可能である。 従って、 合成露光後にお いてもこの特徴が活かされており、 細線パターン P l a, P 2 a , P 3 a , P 3 bの像の解像度及び焦点深度の向上が達成される。 上記 2回の 露光における各露光量は、 フォトレジス卜の感度から定められる適正露 光量の均等分け、 即ちその半分ずつでなくても良く、 レチクル 9 Bを用 いた露光時の露光量を多めに設定すると、 一層効果的である。  In this example, the second reticle 9B is used in comparison with the conventional one-time exposure method, ie, the exposure method using only the first reticle 9A. In the exposure, the resolution and the depth of focus of the fine line patterns P1a, P2a, P3a, P3b in the gate patterns P1, P2, P3 can be remarkably improved. Therefore, this characteristic is utilized even after the synthetic exposure, and the resolution and depth of focus of the images of the fine line patterns Pla, P2a, P3a, and P3b are achieved. Each exposure amount in the above two exposures does not have to be equally divided into appropriate exposure amounts determined by the sensitivity of the photoresist, that is, it does not have to be half of the appropriate exposure amount, and the exposure amount at the time of exposure using the reticle 9B is larger. Setting it is more effective.
その後、 ステップ 1 0 9の加工工程において、 1ロットのウェハに対 して現像後に残されたレジストパターンをマスクとしてエッチング等を 行うことによって、 当該レイヤに図 2のゲートパターンが形成される。 その後、 加工工程後の不要なレジストを除去するレジスト除去工程等を 経た後、 ウェハの更に上のレイヤに対して順次レジスト塗布、 露光、 現 像、 加工、 レジスト除去等の各工程を繰り返すことで、 ウェハプロセス が終了する。 ウェハプロセスが終了すると、 実際の組立工程にて、 焼き 付けられた回路毎にウェハを切断してチップ化するダイシング工程、 各 チップに配線等を行うボンディング工程、 各チップ毎にパッケージング するパッケージング工程等を経て、 最終的に半導体デバイスが製造され る。 Thereafter, in a processing step of step 109, etching and the like are performed on one lot of wafers using the resist pattern left after development as a mask, whereby the gate pattern of FIG. 2 is formed on the layer. Then, after going through a resist removal step to remove unnecessary resist after the processing step, by repeating the steps of resist application, exposure, imaging, processing, resist removal, etc., sequentially to the layer further above the wafer The wafer process ends. When the wafer process is completed, in the actual assembling process, a dicing process in which the wafer is cut into chips for each printed circuit, a bonding process in which wiring is performed on each chip, and a packaging process in which each chip is packaged The semiconductor device is finally manufactured through the process You.
次に、 本発明の実施の形態の他の例につき図 3〜図 5を参照して説明 する。  Next, another example of the embodiment of the present invention will be described with reference to FIGS.
図 4 (A) , (B) は、 それぞれ図 3 (B) の第 2のレチクル 9 Bの 代わりに本例で使用される第 2のレチクル 9 C、 及び第 3のレチクル 9 Dを示している。 本例では、 図 3 (A) のレチクル 9A、 及び図 4の 2 枚のレチクル 9 C, 9 Dのパターン像を順次位置合わせしながら三重露 光 (合成露光) して、 図 2のゲートパターン P 1〜P 3を形成する。  FIGS. 4A and 4B respectively show a second reticle 9C and a third reticle 9D used in this example instead of the second reticle 9B of FIG. 3B. I have. In this example, triple exposure (synthetic exposure) is performed while sequentially aligning the pattern images of reticle 9A in FIG. Form P1-P3.
図 4 (A) に示すように、 レチクル 9 Cには、 図 3 (B) のレチクル 9 Bに描画されていたパターンの内、 Y方向に周期性を有するパターン である周期的な透過パターン B 1のみが描画されている。 図 4 (B) に 示すように、 レチクル 9Dには、 レチクル 9 Bに描画されていたパター ンの内、 X方向に周期性を有するパターンである周期的な透過パターン B 2 , B 3のみが描画されている。 そして、 両レチクル 9 C, 9Dとも、 その透過パターン以外の部分は遮光部 33, 34である。  As shown in FIG. 4 (A), the reticle 9C has a periodic transmission pattern B which is a pattern having a periodicity in the Y direction among the patterns drawn on the reticle 9B in FIG. 3 (B). Only one is drawn. As shown in FIG. 4B, the reticle 9D has only the periodic transmission patterns B2 and B3, which are patterns having periodicity in the X direction, of the pattern drawn on the reticle 9B. Is drawn. The portions other than the transmission patterns of both reticles 9 C and 9 D are light shielding portions 33 and 34.
このように、 周期的な透過パターンの周期方向が、 Y方向のみ及び X 方向のみに限定されているレチクル 9 C, 9Dの露光に際しては、 その 照明条件として、 それぞれ図 5 (D) , (E) に示すような、 2箇所の 開口を有す σ絞り 46, 47を図 1のフライアイレンズ 41の射出面 5 に設置する変形照明を用いると、 一層の解像度及び焦点深度の向上が可 能である。 なお、 この原理についても上記の日本国特開平 4一 1 0 1 1 48号公報に詳細に述べられている。  As described above, when exposing the reticles 9C and 9D in which the periodic direction of the periodic transmission pattern is limited to only the Y direction and the X direction, the illumination conditions are as shown in FIGS. 5D and 5E, respectively. ), The use of modified illumination in which two apertures σ diaphragms 46 and 47 are installed on the exit surface 5 of the fly-eye lens 41 in Fig. 1 can further improve resolution and depth of focus. It is. This principle is also described in detail in the above-mentioned Japanese Patent Application Laid-Open No. HEI 4-111148.
即ち、 Υ方向に周期性を有する透過パターン Β 1を有するレチクル 9 Cの露光に際しては、 図 5 (D) の Υ軸 (照明光学系の光軸 AX 1を通 る Υ方向の直線) 上の、 光軸 AX 1から等距離離れた 2箇所に開口 46 a , 46 bを有するひ絞り 46を用いることが好ましい。 一方、 X方向 に周期性を有する透過パターン B 2 , B 3を有するレチクル 9 Dの露光 に際しては、 図 5 ( E ) の X軸 (照明光学系の光軸 A X 1を通る X方向 の直線) 上の、 光軸 A X 1から等距離離れた 2箇所に開口 4 7 a, 4 7 bを有する σ絞り 4 7を用いることが好ましい。 That is, when exposing the reticle 9C having the transmission pattern Β1 having a periodicity in the Υ direction, the reticle 9C on the Υ axis (the 直線 direction straight line passing through the optical axis AX1 of the illumination optical system) in FIG. It is preferable to use a diaphragm 46 having openings 46a and 46b at two locations equidistant from the optical axis AX1. On the other hand, in the X direction When exposing reticle 9D having periodic transmission patterns B2 and B3, the light on the X-axis (a straight line in the X-direction passing through optical axis AX1 of the illumination optical system) shown in FIG. It is preferable to use a σ stop 47 having openings 47a and 47b at two places equidistant from the axis AX1.
本例では、 透過パターン Β 1と透過パターン Β 2 , Β 3とで最適な照 明条件が異なるので、 3枚のレチクル 9 Α, 9 C , 9 Dを用いてウェハ を三重露光するものとしたが、 前述の実施の形態で使用した 2枚のレチ クル 9 Α, 9 Βを用いて三重露光を行うようにしてもよい。 即ち、 レチ クル 9 Αによる露光が行われたウェハに対して、 レチクル 9 Bによる露 光を行う前に、 レチクル 9 B上の透過パターン B 1を含む所定領域のみ に照明光 I L 2が照射されるように、 照明光学系内でレチクルのパ夕一 ン面とほぼ共役な面に配置される視野絞り (レチクルブラインド) によ つて、 レチクル 9 B上での照明光 I L 2による照明領域を調整する。 こ れは、 例えば σ絞りの交換と並行して行われる。 そして、 σ絞り 4 6を 通して照明光 I L 2を透過パターン Β 1に照射し、 ウェハ W上の遮光パ ターン A 1の像に透過パターン Β 1の像を重ねて転写する。 次に、 透過 パターン B 2 , B 3を含む所定領域のみに照明光 I Lが照射されるよう に、 視野絞りによってレチクル 9 B上での照明領域を調整するとともに、 σ絞りの交換を行う。 しかる後、 σ絞り 4 7を通して照明光 I L 2を透 過パターン Β 2 , Β 3に照射し、 遮光パターン A 2, A 3の像に透過パ ターン B 2, B 3の像を重ねて転写する。 これにより、 最適な照明条件 が異なる複数の透過パターンがレチクル 9 B上に混在していても、 レチ クルの交換を行うことなく、 1つ又は複数の透過パターン毎に最適な照 明条件でその転写像をウェハ上に形成することができる。  In this example, since the optimal illumination conditions differ between the transmission pattern Β1 and the transmission patterns Β2 and Β3, the wafer is triple-exposed using three reticles 9Α, 9C and 9D. However, triple exposure may be performed using the two reticles 9 # and 9 # used in the above-described embodiment. That is, before performing exposure by the reticle 9B to the wafer exposed by the reticle 9 °, the illumination light IL2 is applied to only a predetermined area including the transmission pattern B1 on the reticle 9B. As described above, the illumination area of the reticle 9B by the illumination light IL 2 is adjusted by the field stop (reticle blind) placed on the surface almost conjugate with the reticle plane in the illumination optical system. I do. This is performed, for example, in parallel with the exchange of the σ stop. Then, the illuminating light IL2 is applied to the transmission pattern # 1 through the σ stop 46, and the image of the transmission pattern # 1 is superimposed on the image of the light-shielding pattern A1 on the wafer W and transferred. Next, the illumination area on the reticle 9B is adjusted by the field stop so that the σ stop is exchanged so that the illumination light IL is emitted only to the predetermined area including the transmission patterns B 2 and B 3. Thereafter, the illuminating light IL 2 is applied to the transmission patterns Β 2 and Β 3 through the σ stop 47, and the images of the transmission patterns B 2 and B 3 are superimposed on the images of the light shielding patterns A 2 and A 3 and transferred. . As a result, even if a plurality of transmission patterns with different optimum illumination conditions are mixed on the reticle 9B, the reticle is not replaced, and the optimum illumination conditions are set for each of the one or more transmission patterns. A transfer image can be formed on the wafer.
なお、 前述の実施の形態ではレチクル 9 Aを用いてウェハの露光を行 レ しかる後にレチクル 9 B (又はレチクル 9 C , 9 D ) を用いてゥェ 八の露光を行うものとしたが、 その順序は逆でもよい。 即ち、 多重露光 に用いる複数のレチクルの使用順序は任意で構わない。 In the above embodiment, the wafer is exposed using the reticle 9A, and then the wafer is exposed using the reticle 9B (or the reticle 9C, 9D). Although the eight exposures are performed, the order may be reversed. That is, the order of use of a plurality of reticles used for multiple exposure may be arbitrary.
なお、 上記の実施の形態において、 変形照明用の σ絞り 4 4, 4 6, 4 7の小さい円形の開口 4 4 a〜4 4 d, 4 6 a, 4 6 b , 4 7 a , 4 7 bの内径が上記の如く小さい場合には、 照度分布整形光学系 4として、 図 1に示したような、 フライアイレンズ 4 1と σ絞りとの組み合わせを 用いると、 変形照明用の σ絞りの各小開口を透過する照明光の効率 (透 過率) が大きく低下してしまう。 これを避けるために、 例えば、 日本国 特開平 5— 2 0 6 0 0 7号公報に開示されているような、 光束分割系と、 集光光学系と、 照度均一化光学系とを組合わせた光学系を使用してもよ レ また、 照度均一化光学系 (オプティカル, インテグレー夕) として ガラスロッ ドを使用することもできる。 さらに、 光束分割系として一対 のアキシコンを使用して、 照明光学系内のフ一リェ変換面上での照明光 I L 2の光量分布を輪帯状としてもよく、 その一対のアキシコンの間隔 を調整してその大きさを変更することもできる。 このとき、 図 5 ( Β ) に示した σ絞り 4 4を併用すると、 前述したフライアイレンズ 4 1と σ 絞り 4 4との組み合わせに比べて光量損失を小さく抑えることができる。 以上のように、 照明条件、 即ち照明光学系内のフーリエ変換面上での照 明光 I L 2の光量分布 (形状と大きさとの少なくとも一方) を変更する 機構はいかなる構成でも構わない。  In the above embodiment, small circular apertures 44 a to 44 d, 46 a, 46 b, 47 a, 47 of the σ diaphragms 44, 46, 47 for the deformed illumination are used. When the inner diameter of b is small as described above, when the combination of the fly-eye lens 41 and the σ stop as shown in FIG. 1 is used as the illuminance distribution shaping optical system 4, the σ stop for deformed illumination can be obtained. The efficiency (transmittance) of the illumination light passing through each small aperture is greatly reduced. In order to avoid this, for example, a combination of a light beam splitting system, a condensing optical system, and an illuminance uniforming optical system as disclosed in Japanese Patent Application Laid-Open No. 5-206007 is used. Alternatively, a glass rod can be used as the illumination uniforming optical system (optical, integrator). Furthermore, a pair of axicons may be used as a light beam splitting system, and the light amount distribution of the illumination light IL 2 on the Fourier transform surface in the illumination optical system may be formed in an annular shape, and the distance between the pair of axicons may be adjusted. The size can be changed. At this time, when the σ stop 44 shown in FIG. 5 (Β) is used together, the loss of light amount can be suppressed smaller than the combination of the fly-eye lens 41 and the σ stop 44 described above. As described above, a mechanism for changing the illumination condition, that is, the light amount distribution (at least one of the shape and the size) of the illumination light IL2 on the Fourier transform plane in the illumination optical system may have any configuration.
また、 以上の実施の形態においては、 レチクルパターンは全て透過部 と遮光部とよりなるものとしたが、 遮光部の代わりに、 透過光の位相を、 透過部に対して 1 8 0 ° シフトさせ、 かつ透過率を例えば 3〜1 0 %程 度とする減光型 (ハーフトーン型) 位相シフト部としたレチクルパ夕一 ンを採用しても良い。 この場合には、 レチクル 9 Β , 9 C , 9 Dに示し たような周期的パターンの解像度を一層向上させることができる。 この とき、 変形照明 (輪帯照明を含む) が組み合わされて使用される。 Further, in the above embodiment, all the reticle patterns are composed of the transmissive portion and the light shielding portion. However, instead of the light shielding portion, the phase of the transmitted light is shifted by 180 ° with respect to the transmissive portion. Alternatively, a reticle plate may be employed as a dimming type (halftone type) phase shift unit having a transmittance of, for example, about 3 to 10%. In this case, the resolution of the periodic pattern as shown in reticles 9 #, 9C, 9D can be further improved. this Sometimes, modified lighting (including annular lighting) is used in combination.
ただし、 レチクル 9 B , 9 C , 9 Dの遮光部 (非パターン部) を全て 減光型位相シフト部とすると、 図 2に示したゲートパターン P 1, P 2 , P 3中の細線パターンの端部の重ね合わせ用パターン P 1 c, P 1 d, P 2 c , P 3 c , P 3 dに対応する部分は、 その減光型位相シフト部か らの透過光により、 僅かに露光されることとなる。 ただし、 その露光量 は、 減光型位相シフト部の減光作用により少ないが、 それが問題となる 場合には、 レチクル 9 B, 9 C , 9 Dの代わりに、 図 6に示すレチクル 9 Eを使用してもよい。  However, assuming that the light-shielding portions (non-pattern portions) of reticles 9B, 9C, and 9D are all dimming type phase shift portions, the thin line patterns in gate patterns P1, P2, and P3 shown in FIG. The portions corresponding to the overlapping patterns P 1 c, P 1 d, P 2 c, P 3 c, and P 3 d at the ends are slightly exposed by the transmitted light from the extinction type phase shift unit. The Rukoto. However, the amount of exposure is small due to the dimming action of the dimming type phase shift unit. However, if that becomes a problem, instead of reticles 9B, 9C, and 9D, reticle 9E shown in FIG. May be used.
図 6のレチクル 9 Eのパターンは、 各周期的透過パターン部のみを、 透過部 9 1で構成し、 その間のみを減光型位相シフ卜部 9 2で構成し、 それ以外の部分を遮光部 9 3で構成したものである。 このレチクルパ夕 —ンを使用すれば、 上記の重ね合わせ用パターン P 1 c, P l d, P 2 c, P 3 c , P 3 d等の部分への悪影響を完全に防止することができる。 なお、 例えば日本国特開平 5— 1 3 3 0 5号公報及び対応する米国特 許第 5 3 4 3 2 7 0号、 日本国特開平 4 一 2 7 7 6 1 2号公報及び対応 する米国特許第 5 1 9 4 8 9 3号に開示されているように、 レチクル 9 Aを用いてウェハ上の 1つのショット領域を露光している間、 投影光学 系 1 4の光軸 A X 2と平行な Z方向にウェハを移動させるようにしても よい。 この方法と併用して、 あるいは単独で、 例えば日本国特開平 4 _ 1 7 9 9 5 8号公報及び対応する米国特許第 5 5 5 2 8 5 6号に開示さ れているように、 投影光学系 1 4内のフーリエ変換面 (瞳面) 上でその 光軸を中心とする円形領域内に分布する照明光を遮光する光学フィル夕、 いわゆる瞳フィル夕を用いるようにしてもよい。 本国際出願で指定した 指定国、 又は選択した選択国の国内法令の許す限りにおいて、 上記 3件 の公報、 及び 3件の米国特許の開示を援用して本文の記載の一部とする t また、 例えばレチクル 9 B〜 9 Dをそれぞれ空間周波数変調型の位相シ フトレチクルとしてもよく、 この場合には変形照明 (輪帯照明を含む) を採用せず、 コヒーレンスファクタ (σ値) が 0 . 1〜 0 . 4程度とな る円形開口を有する σ絞りを使用する通常照明が採用される。 In the pattern of reticle 9E in FIG. 6, only each of the periodic transmission pattern portions is constituted by transmission portion 91, only the portion between them is constituted by dimming type phase shift portion 92, and the other portions are shielded portions 9 It is composed of 3. By using this reticle pattern, it is possible to completely prevent adverse effects on the above-mentioned superimposition patterns P1c, Pld, P2c, P3c, P3d and the like. In addition, for example, Japanese Patent Application Laid-Open No. 5-133505 and corresponding US Pat. No. 5,334,270, Japanese Patent Application Laid-Open No. 412,776,1212 and the corresponding U.S. Pat. As disclosed in Japanese Patent No. 51944983, while exposing one shot area on a wafer using a reticle 9A, it is parallel to the optical axis AX2 of the projection optical system 14 The wafer may be moved in any Z direction. In conjunction with this method or alone, for example, as disclosed in Japanese Patent Application Laid-Open No. 4-179958 and the corresponding US Pat. On the Fourier transform plane (pupil plane) in the optical system 14, an optical filter that blocks illumination light distributed in a circular area centered on the optical axis, a so-called pupil filter may be used. Designated states in this international application, or the extent permitted by the national laws of elected states, t to are incorporated herein by reference for the disclosure of the 3 above, and of 3 U.S. For example, the reticles 9B to 9D may be phase shift reticles of the spatial frequency modulation type. In this case, modified illumination (including annular illumination) is not used, and the coherence factor (σ value) is 0. Ordinary illumination using a σ stop having a circular aperture of about 1 to 0.4 is adopted.
また、 以上の実施の形態において、 より解像度が必要なパターンの長 辺方向は、 X方向又は Υ方向に限定されているが、 その長辺方向は X方 向、 Υ方向以外の任意の方向であっても良い。 また、 例えば長辺方向が 互いに 9 0 。 以外の角度で交差している 2つのパターンを露光対象とし てもよい。 これらの場合には、 レチクル 9 Β , 9 C , 9 D内の各周期性 の透過パターンの周期方向、 及び変形照明の条件もそれに合わせて、 そ の長辺方向と直交する方向に変更することが望ましい。 また、 例えば長 辺方向が互いに交差する少なくとも 3つのパターンを露光対象としても よく、 この場合には輪帯照明を採用する用にしてもよい。  Further, in the above-described embodiment, the long side direction of the pattern requiring more resolution is limited to the X direction or the Υ direction, but the long side direction is any direction other than the X direction and the Υ direction. There may be. Also, for example, the long sides are 90 in each other. Two patterns that intersect at an angle other than the above may be set as exposure targets. In these cases, the periodic direction of each periodic transmission pattern in the reticles 9Β, 9C, and 9D and the conditions of the deformed illumination should also be changed to the direction perpendicular to the long side direction in accordance with that. Is desirable. Further, for example, at least three patterns whose long sides intersect each other may be used as exposure targets. In this case, annular illumination may be used.
また、 上記の実施の形態では、 多重露光するパターンは互いに異なる レチクルに描画されているが、 多重露光するパターンを 1枚のレチクル のパターン面の異なる領域に描画して、 露光時に視野絞りで露光すべき パターンを規定すると共に、 ウェハステージを移動して位置合わせを行 うようにしてもよい。  Further, in the above embodiment, the pattern to be multiple-exposed is drawn on different reticles, but the pattern to be multiple-exposed is drawn on different areas of the pattern surface of one reticle, and is exposed by a field stop at the time of exposure. The pattern to be defined may be defined, and the wafer stage may be moved to perform the alignment.
また、 上記の実施の形態では、 本発明を適用するパターンの例として ゲートパターンを想定しているが、 もちろん他のパターンや他の工程に ついても本発明を適用することができる。  In the above embodiment, a gate pattern is assumed as an example of a pattern to which the present invention is applied. However, the present invention can be applied to other patterns and other steps.
なお、 露光用の照明光としてエキシマレーザ等の遠紫外線を用いる場 合には、 投影光学系の硝材として石英 (S i 02 ) や蛍石 (CaF 2 ) 等の遠紫 外線を透過する材料を用いる。 また、 投影光学系は屈折系、 反射系、 及 び反射屈折系の何れでもよい。 Note that if using a deep UV excimer laser or the like as illumination light for exposure, transmits far ultraviolet such as quartz as a glass material for a projection optical system (S i 0 2) and fluorite (CaF 2) material Is used. Further, the projection optical system may be any one of a refraction system, a reflection system, and a catadioptric system.
また、 D F B半導体レーザ又はファイバレーザから発振される赤外域 又は可視域の単一波長レーザを、 例えばエルビウム (E r) (又はエル ビゥムとイッテルビウム (Yb) の両方) がド一プされたファイバ一ァ ンプで増幅し、 かつ非線形光学結晶を用いて紫外光に波長変換した高調 波を露光用の照明光として用いてもよい。 さらに、 例えば水銀ランプか ら発生する輝線 (例えば g線、 i線等) 、 あるいはレーザ励起プラズマ 光源、 又は SOR等から発生する軟 X線領域 (波長 5〜 5 0 nm程度) 、 例えば波長 1 3. 4 nm、 又は 1 1. 5 nmの EUV (Extreme Ultra Violet) 光を、 露光用の照明光として用いてもよい。 即ち、 本発明が適 用される投影露光装置で使用する露光用の照明光の波長は任意で構わな レ^ なお、 EUV光を用いる露光装置では反射型レチクルが用いられ、 かつ投影光学系が複数枚、 例えば 3〜 8枚程度の反射光学素子 (ミラー) のみからなる。 また、 前述した通り本発明は、 例えば日本国特開平 4— 1 9 6 5 1 3号公報及び対応する米国特許第 547 34 1 0号に開示さ れる走査型の投影露光装置にも適用でき、 本国際出願で指定した指定国、 又は選択した選択国の国内法令の許す限りにおいて、 上記公報及び米国 特許の開示を援用して本文の記載の一部とする。 In addition, the infrared region oscillated from a DFB semiconductor laser or fiber laser Alternatively, a single wavelength laser in the visible region is amplified with a fiber amplifier doped with, for example, erbium (Er) (or both erbium and ytterbium (Yb)), and ultraviolet light is applied using a nonlinear optical crystal. A harmonic converted into light may be used as illumination light for exposure. Further, for example, a bright line generated from a mercury lamp (eg, g-line, i-line, etc.), or a soft X-ray region (wavelength of about 5 to 50 nm) generated from a laser-excited plasma light source or SOR, for example, a wavelength of 13 EUV (Extreme Ultra Violet) light of 4 nm or 11.5 nm may be used as illumination light for exposure. That is, the wavelength of the illumination light for exposure used in the projection exposure apparatus to which the present invention is applied may be arbitrary. In an exposure apparatus using EUV light, a reflective reticle is used, and a projection optical system is used. It consists of only a plurality of, for example, 3 to 8 reflective optical elements (mirrors). Further, as described above, the present invention can be applied to a scanning projection exposure apparatus disclosed in, for example, Japanese Patent Application Laid-Open No. 4-19613 and corresponding US Pat. No. 5,473,410. To the extent permitted by the laws of the designated country designated in this international application or of the selected elected country, the disclosure of the above gazette and US patent shall be incorporated herein by reference.
そして、 本例の照度分布整形光学系 4を含む照明光学系、 及び投影光 学系を投影露光装置本体に組み込み光学調整をすると共に、 多数の機械 部品からなるレチクルステージやウェハステージを投影露光装置本体に 取り付けて配線や配管を接続し、 更に総合調整 (電気調整、 動作確認等) をすることにより本実施の形態の投影露光装置を製造することができる。 なお、 投影露光装置の製造は温度及びクリーン度等が管理されたクリー ンルームで行うことが望ましい。  Then, the illumination optical system including the illuminance distribution shaping optical system 4 of this example, and the projection optical system are incorporated in the main body of the projection exposure apparatus to perform optical adjustment, and the reticle stage and the wafer stage, which are composed of a large number of mechanical parts, are connected to the projection exposure apparatus. The projection exposure apparatus of the present embodiment can be manufactured by attaching to a main body, connecting wiring and piping, and further performing overall adjustment (electrical adjustment, operation confirmation, and the like). It is desirable to manufacture the projection exposure apparatus in a clean room where the temperature, cleanliness, etc. are controlled.
なお、 投影露光装置の用途としては半導体製造用の投影露光装置に限 定されることなく、 例えば、 角型のガラスプレートに液晶表示素子パ夕 —ンを露光する液晶用の投影露光装置や、 薄膜磁気へッ ドを製造するた めの投影露光装置にも広く適用できる。 また、 フォトマスクゃレチクル の製造に用いられ、 例えば遠紫外光又は真空紫外光を露光用照明光とし て使用するステップ · アンド · スティツチ方式の縮小投影露光装置にも 本発明を適用することができる。 The application of the projection exposure apparatus is not limited to a projection exposure apparatus for semiconductor manufacturing. For example, a projection exposure apparatus for a liquid crystal for exposing a liquid crystal display element panel to a square glass plate, For manufacturing thin-film magnetic heads Can be widely applied to projection exposure apparatuses. Further, the present invention can be applied to a step-and-stitch type reduction projection exposure apparatus which is used for manufacturing a photomask reticle and uses, for example, far ultraviolet light or vacuum ultraviolet light as exposure illumination light. .
このように本発明は上述の実施の形態に限定されず、 本発明の要旨を 逸脱しない範囲で種々の構成を取り得る。 更に、 明細書、 特許請求の範 囲、 図面、 及び要約を含む、 1 9 9 8年 6月 1 0 日付提出の日本国特許 出願第 1 0— 1 6 1 8 9 6号の全ての開示内容は、 そつく りそのまま引 用してここに組み込まれている。 産業上の利用の可能性  As described above, the present invention is not limited to the above-described embodiment, and can take various configurations without departing from the gist of the present invention. In addition, all disclosures in Japanese Patent Application No. 10--16 1896, filed on June 10, 1998, including the specification, claims, drawings, and abstract. Is incorporated here as it is. Industrial applicability
本発明の第 1の転写方法によれば、 転写すべきパターンが形成された 第 1のマスクパターンと、 線状パターンに対応する部分を周期的な透過 パターンとした第 2のマスクパターンとを用いて合成露光を行っている ため、 ゲ一トパターンのように線状パターンとこの端部の幅の広いパ夕 ーンとからなるような回路パターンの像を高精度に露光できる利点があ る。  According to the first transfer method of the present invention, a first mask pattern on which a pattern to be transferred is formed and a second mask pattern in which a portion corresponding to the linear pattern is a periodic transmission pattern are used. Has the advantage that a circuit pattern image consisting of a linear pattern like a gate pattern and a pattern with a wide end portion can be exposed with high precision. .
また、 変形照明を行う第 2のマスクパターンでは、 その透過パターン 以外の領域が減光部とされて、 投影光学系を通過する結像光束の光量が 少ないため、 照明条件の一部に変形照明を用いるような場合に、 投影光 学系の結像特性の劣化を抑制することができる。  Further, in the second mask pattern for performing the deformed illumination, an area other than the transmission pattern is used as a dimming part, and the amount of the image forming light flux passing through the projection optical system is small. When such a method is used, it is possible to suppress the deterioration of the imaging characteristics of the projection optical system.
次に、 本発明の第 2及び第 3の転写方法によれば、 孤立線のようなパ ターンの像を高精度に転写できる。  Next, according to the second and third transfer methods of the present invention, a pattern image such as an isolated line can be transferred with high accuracy.
また、 本発明の露光装置によれば、 そのような露光方法を使用できる と共に、 本発明のデバイスの製造方法によれば、 そのような露光方法を 使用して高精度にデバイスを製造できる利点がある。  According to the exposure apparatus of the present invention, such an exposure method can be used, and according to the device manufacturing method of the present invention, there is an advantage that a device can be manufactured with high accuracy using such an exposure method. is there.

Claims

求 の 範 囲 Range of request
1 . 線状パターンを含む所定形状のパターンの像を投影光学系を介して 基板上に転写する転写方法であって、 1. A transfer method for transferring an image of a pattern having a predetermined shape including a linear pattern onto a substrate via a projection optical system,
前記所定形状のパターンに対応する部分が減光部とされ、 その他の部 分が透過部とされた第 1のマスクパターンと、  A first mask pattern in which a portion corresponding to the pattern of the predetermined shape is a light-reducing portion, and the other portion is a transmission portion;
前記線状パターンに対応する部分に接するように、 それぞれ前記線状 パターンと実質的に同程度の線幅を有する複数個の透過パターンが前記 線状パターンの幅方向に周期的に配列され、 かつ、 少なくとも前記線状 パターンに対応する部分の近傍の前記透過パターン以外の領域が減光部 とされた第 2のマスクパターンとを用い、  A plurality of transmission patterns each having substantially the same line width as the linear pattern are periodically arranged in the width direction of the linear pattern so as to be in contact with a portion corresponding to the linear pattern; and A second mask pattern in which at least a region other than the transmission pattern near a portion corresponding to the linear pattern is a light-reducing portion;
前記 2つのマスクパターンの像を前記投影光学系を介して前記基板上 に順次互いに位置合わせして転写すると共に、  Images of the two mask patterns are sequentially transferred onto the substrate via the projection optical system while being aligned with each other, and
前記第 2のマスクパターンの像を転写する際の照明条件を、 照明光学 系の転写対象のパターンに対する光学的フーリエ変換面での強度分布が、 光軸近傍よりもこの外側の領域で強い変形照明とすることを特徴とする 転写方法。  The illumination conditions when transferring the image of the second mask pattern are as follows: the intensity distribution on the optical Fourier transform plane for the pattern to be transferred of the illumination optical system is strongly deformed in a region outside the vicinity of the optical axis outside the vicinity of the optical axis. A transfer method, characterized in that:
2 . 前記第 1のマスクパターン中の前記線状パターンに対応する部分の 線幅は、 前記線状パターンの線幅の 1倍〜 2倍であることを特徴とする 請求の範囲 1記載の転写方法。  2. The transfer according to claim 1, wherein a line width of a portion corresponding to the linear pattern in the first mask pattern is 1 to 2 times a line width of the linear pattern. Method.
3 . 前記線状パターンを第 1の線状パターンとしたとき、 前記所定形状 のパターンは、 前記第 1の線状パターンの長辺方向に直交する方向を長 辺方向とする第 2の線状パターンを更に含み、  3. When the linear pattern is a first linear pattern, the pattern having the predetermined shape is a second linear pattern having a direction orthogonal to a long side direction of the first linear pattern as a long side direction. Further include a pattern,
前記第 2のマスクパターンは、 前記第 2の線状パターンに対応する部 分に接するように、 それぞれ前記第 2の線状パターンと実質的に同程度 の線幅を有し、 該線状パターンの幅方向に周期的に配列された複数個の 透過パターンを更に含み、 The second mask pattern has a line width substantially equal to that of the second linear pattern so as to be in contact with a portion corresponding to the second linear pattern. A plurality of periodically arranged in the width direction of Further including a transmission pattern,
前記第 2のマスクパターンの像を転写する際の前記変形照明の条件を、 前記光学的フーリエ変換面での照明光の強度分布が、 前記光軸を中心と して前記第 1及び第 2の線状パターンの長辺方向に対応する方向からそ れぞれ実質的に 4 5 ° 回転した方向に沿った 4箇所の位置を中心とした 分布となるものとすることを特徴とする請求の範囲 1、 又は 2記載の転 写方法。  The conditions of the deformed illumination when transferring the image of the second mask pattern are as follows: the intensity distribution of the illumination light on the optical Fourier transform surface is the first and second with the optical axis as the center. Claims characterized in that the distribution has a distribution centered at four positions along a direction substantially 45 ° rotated from the direction corresponding to the long side direction of the linear pattern. The transfer method described in 1 or 2.
4 . 前記第 2のマスクパターンを転写するときの露光量を、 前記第 1の マスクパターンを転写するときの露光量よりも大きく設定することを特 徴とする請求の範囲 3記載の転写方法。  4. The transfer method according to claim 3, wherein an exposure amount when transferring the second mask pattern is set to be larger than an exposure amount when transferring the first mask pattern.
5 . 前記線状パターンを第 1の線状パターンとしたとき、 前記所定形状 のパターンは、 前記第 1の線状パターンの長辺方向に交差する方向を長 辺方向とする第 2の線状パターンを更に含み、  5. When the linear pattern is a first linear pattern, the pattern having the predetermined shape is a second linear pattern in which a direction crossing a long side direction of the first linear pattern is a long side direction. Further include a pattern,
前記第 2の線状パターンに対応する部分に接するように、 それぞれ前 記第 2の線状パターンと実質的に同程度の線幅を有する複数個の透過パ 夕一ンが前記第 2の線状パターンの幅方向に周期的に配列され、 かつ、 少なくとも前記第 2の線状パターンに対応する部分の近傍の前記透過パ ターン以外の領域が減光部とされた第 3のマスクパターンを更に用い、 前記 3つのマスクパターンの像を前記投影光学系を介して前記基板上 に順次互いに位置合わせして転写すると共に、  A plurality of transmission patterns each having substantially the same line width as that of the second linear pattern are formed on the second line so as to be in contact with a portion corresponding to the second linear pattern. A third mask pattern that is periodically arranged in the width direction of the linear pattern, and in which at least a region other than the transmission pattern near a portion corresponding to the second linear pattern is a light-reducing portion. The images of the three mask patterns are sequentially transferred onto the substrate via the projection optical system while being aligned with each other, and
前記第 2のマスクパターンの像、 及び前記第 3のマスクパターンの像 を転写する際の照明条件を、 それぞれ前記光学的フーリェ変換面での照 明光の強度分布が、 前記第 1の線状パターンの長辺方向に直交する方向、 及び前記第 2の線状パターンの長辺方向に直交する方向に対応する方向 に前記光軸から離れた 2箇所の位置を中心とした分布となる変形照明と することを特徴とする請求の範囲 1、 又は 2記載の転写方法。 Illumination conditions when transferring the image of the second mask pattern and the image of the third mask pattern are respectively described as follows. The intensity distribution of the illuminating light on the optical Fourier transform surface is defined as the first linear pattern. A modified illumination having a distribution centered on two positions away from the optical axis in a direction perpendicular to the long side direction of the second linear pattern and in a direction corresponding to a direction perpendicular to the long side direction of the second linear pattern. 3. The transfer method according to claim 1, wherein the transfer method is performed.
6 . 前記第 2のマスクパターンを転写するときの露光量を、 前記第 1の マスクパターンを転写するときの露光量よりも大きく設定することを特 徴とする請求の範囲 5記載の転写方法。 6. The transfer method according to claim 5, wherein an exposure amount when transferring the second mask pattern is set to be larger than an exposure amount when transferring the first mask pattern.
7 . 投影光学系を介して孤立的な線状パターンの像を基板上に転写する 転写方法において、  7. In a transfer method for transferring an image of an isolated linear pattern onto a substrate via a projection optical system,
前記線状パターンを減光部とした孤立的な第 1パターンと、 複数の透 過パターンからなる周期的な第 2パターンとをそれぞれ照明光で照射し、 前記基板上で前記第 1パターンの減光部と、 前記複数の透過パターンに 挟まれる 1つの減光部とが重なるように、 前記第 1及び第 2パターンを 用いて前記基板を多重露光することを特徴とする転写方法。  Irradiating the isolated first pattern with the linear pattern as the dimming portion and the periodic second pattern including a plurality of transparent patterns with illumination light respectively, reducing the first pattern on the substrate. A transfer method, wherein the substrate is subjected to multiple exposure using the first and second patterns so that a light portion and one light-reducing portion sandwiched between the plurality of transmission patterns overlap.
8 . 前記第 1及び第 2パターンにそれぞれ前記照明光を照射する照明光 学系内の、 前記パターンに対する光学的なフーリエ変換面上での前記照 明光の強度分布を、 前記第 1パターンと前記第 2パターンとで異ならせ ることを特徴とする請求の範囲 7記載の転写方法。  8. In the illumination optical system that irradiates the first and second patterns with the illumination light, the intensity distribution of the illumination light on an optical Fourier transform plane for the pattern is represented by the first pattern and the first pattern. 8. The transfer method according to claim 7, wherein the transfer method is different from the second pattern.
9 . 前記第 2パターンを用いて前記基板を露光するとき、 前記照明光学 系の光軸を含む領域よりもその外側で前記照明光の強度分布を高めるこ とを特徴とする請求の範囲 8記載の転写方法。  9. The method according to claim 8, wherein, when exposing the substrate using the second pattern, the intensity distribution of the illumination light is increased outside a region including an optical axis of the illumination optical system. Transfer method.
1 0 . 前記第 1パターンを転写するときの露光量と、 前記第 2パターン を転写するときの露光量と、 を異ならせることを特徴とする請求の範囲 7〜 9の何れか一項記載の転写方法。  10. The exposure amount when transferring the first pattern and the exposure amount when transferring the second pattern are different from each other, according to any one of claims 7 to 9, wherein Transfer method.
1 1 . 前記第 2パターンを転写するときの露光量を、 前記第 1パターン を転写するときの露光量よりも大きくすることを特徴とする請求の範囲 1 0記載の転写方法。  11. The transfer method according to claim 10, wherein the exposure amount when transferring the second pattern is larger than the exposure amount when transferring the first pattern.
1 2 . 前記線状パターンの線幅は前記投影光学系の解像限界程度であり、 前記第 1パ夕一ンの線幅は前記線状パターンの線幅のほぼ 1倍〜 2倍で あり、 前記第 2パ夕一ンの線幅は前記線状パ夕一ンの線幅と同程度であ ることを特徴とする請求の範囲 1 1記載の転写方法。 12. The line width of the linear pattern is about the resolution limit of the projection optical system, and the line width of the first pattern is approximately 1 to 2 times the line width of the linear pattern. The line width of the second path is substantially the same as the line width of the linear path. The transfer method according to claim 11, wherein the transfer method is performed.
1 3 . 前記第 1及び第 2パターンの減光部はそれぞれ遮光部、 又は透過 光の位相をほぼ 1 8 0 ° シフ卜させる半透明部であることを特徴とする 請求の範囲 7〜 9の何れか一項記載の転写方法。  13. The light-reducing portion of the first and second patterns is a light-shielding portion or a translucent portion for shifting the phase of transmitted light by approximately 180 °, respectively. The transfer method according to claim 1.
1 4 . 前記線状パターンの線幅は前記投影光学系の解像限界程度であり、 前記第 1パターンの線幅は前記線状パターンの線幅のほぼ 1倍〜 2倍で あり、 前記第 2パターンの線幅は前記線状パターンの線幅と同程度であ ることを特徴とする請求の範囲 7〜 9の何れか一項記載の転写方法。 14. The line width of the linear pattern is about the resolution limit of the projection optical system, the line width of the first pattern is approximately 1 to 2 times the line width of the linear pattern, 10. The transfer method according to claim 7, wherein a line width of the two patterns is substantially equal to a line width of the linear pattern.
1 5 . 前記第 1及び第 2パターンはそれぞれ互いに異なるマスクに形成 され、 前記 2つのマスクは、 前記第 1パターンの長手方向と前記第 2パ ターンの周期方向とがほぼ直交するように、 前記投影光学系の物体面側 に順次配置されることを特徴とする請求の範囲 7〜 9の何れか一項記載 の転写方法。 15. The first and second patterns are respectively formed on different masks, and the two masks are arranged so that a longitudinal direction of the first pattern and a periodic direction of the second pattern are substantially orthogonal to each other. The transfer method according to any one of claims 7 to 9, wherein the transfer method is sequentially arranged on the object plane side of the projection optical system.
1 6 . 投影光学系を介して孤立的な線状パターンの像を基板上に転写す る転写方法において、  16. A transfer method for transferring an image of an isolated linear pattern onto a substrate via a projection optical system,
前記線状パターンと実質的に同一形状の第 1パターンと、 前記線状パ ターンと実質的に同一線幅の直線部を含む周期的な第 2パターンとをそ れぞれ照明光で照射し、 前記基板上で前記第 1パターンと前記第 2パ夕 一ンの直線部とが重なるように、 前記第 1及び第 2パターンを用いて前 記基板を多重露光することを特徴とする転写方法。  A first pattern having substantially the same shape as the linear pattern and a periodic second pattern including a linear portion having substantially the same line width as the linear pattern are irradiated with illumination light. Transferring the substrate by multiple exposure using the first and second patterns so that the first pattern and the linear portion of the second pattern overlap on the substrate. .
1 7 . 前記第 1パターンを転写するときの前記基板の露光条件と、 前記 第 2パターンを転写するときの前記基板の露光条件と、 を異ならせるこ とを特徴とする請求の範囲 1 6記載の転写方法。  17. The exposure condition of the substrate when transferring the first pattern and the exposure condition of the substrate when transferring the second pattern are different from each other. Transfer method.
1 8 . 前記露光条件は、 前記第 1及び第 2パターンにそれぞれ前記照明 光を照射する照明光学系内の、 前記パターンに対する光学的なフーリエ 変換面上での前記照明光の強度分布を含むことを特徴とする請求の範囲 1 7記載の転写方法。 18. The exposure condition includes an intensity distribution of the illumination light on an optical Fourier transform plane for the pattern in an illumination optical system that irradiates the first and second patterns with the illumination light, respectively. Claims characterized by 17. The transfer method according to 17.
1 9 . 前記第 2パターンを用いて前記基板を露光するとき、 前記照明光 学系の光学軸を含む領域よりもその外側で前記照明光の強度分布を高め ることを特徴とする請求の範囲 1 8記載の転写方法。  19. When exposing the substrate using the second pattern, the intensity distribution of the illumination light is increased outside a region including an optical axis of the illumination optical system. 18. The transfer method according to item 18.
2 0 . 前記露光条件は、 前記基板の露光量を含み、 前記第 2パターンを 転写するときの露光量を、 前記第 1パターンを転写するときの露光量よ りも大きくすることを特徴とする請求の範囲 1 7記載の転写方法。 20. The exposure condition includes an exposure amount of the substrate, and an exposure amount when transferring the second pattern is larger than an exposure amount when transferring the first pattern. 18. The transfer method according to claim 17.
2 1 . 前記第 1パターンの線幅は前記線状パターンの線幅のほぼ 1倍〜 2倍であることを特徴とする請求の範囲 1 7記載の転写方法。  21. The transfer method according to claim 17, wherein the line width of the first pattern is approximately 1 to 2 times the line width of the linear pattern.
2 2 . 前記第 2パターンは、 前記照明光の位相をほぼ 1 8 0 ° シフトさ せる透過部を含むことを特徴とする請求の範囲 2 1記載の転写方法。  22. The transfer method according to claim 21, wherein the second pattern includes a transmission portion that shifts the phase of the illumination light by approximately 180 °.
2 3 . 前記透過部は、 前記照明光を減光する半透明部であることを特徴 とする請求の範囲 2 2記載の転写方法。 23. The transfer method according to claim 22, wherein the transmission portion is a translucent portion that reduces the illumination light.
2 4 . 前記線状パターンは少なくとも一端での線幅が中心部よりも太く なっていることを特徴とする請求の範囲 1 6〜 2 3の何れか一項記載の 転写方法。  24. The transfer method according to any one of claims 16 to 23, wherein the linear pattern has a line width at least at one end larger than a central portion.
2 5 . 前記線状パターンはゲ一ト電極パターンであることを特徴とする 請求の範囲 2 4記載の転写方法。  25. The transfer method according to claim 24, wherein the linear pattern is a gate electrode pattern.
2 6 . 所定のマスクを照明する照明光学系と、 前記マスクのパターンの 像を基板上に転写する投影光学系と、 を有する露光装置において、 前記照明光学系の照明条件を、 露光対象のパターンの光学的フーリェ 変換面での強度分布が、 光軸近傍よりもこの外側の領域で強い変形照明 と、 それ以外の照明との何れかに切り換える照明条件制御系と、 前記マスクのパターンとして複数のマスクパターンの内の何れかを選 択するパターン選択装置と、  26. An exposure apparatus comprising: an illumination optical system that illuminates a predetermined mask; and a projection optical system that transfers an image of the pattern of the mask onto a substrate. The illumination condition of the illumination optical system is set to a pattern to be exposed. An intensity distribution on the optical Fourier transform plane, an illumination condition control system that switches to one of a deformed illumination that is stronger in an area outside the vicinity of the optical axis than this and an illumination other than the illumination, and a plurality of mask patterns. A pattern selection device for selecting any one of the mask patterns;
該パターン選択装置で順次選択される複数のマスクパターンの相互の 位置合わせを行ぅァライメント系と、 The mutual selection of a plurality of mask patterns sequentially selected by the pattern selection device Alignment and alignment
前記パターン選択装置によって選択されたパターンに応じて、 前記照 明条件制御系を介して前記照明条件を切り換えて多重露光を行う露光制 御系と、 を有することを特徴とする露光装置。  An exposure apparatus, comprising: an exposure control system that performs the multiple exposure by switching the illumination condition via the illumination condition control system in accordance with the pattern selected by the pattern selection device.
2 7 . 所定のマスクを照明する照明光学系と、 前記マスクのパターンの 像を基板上に転写する投影光学系と、  27. An illumination optical system for illuminating a predetermined mask, a projection optical system for transferring an image of a pattern of the mask onto a substrate,
前記照明光学系の照明条件を、 露光対象のパターンの光学的フ一リェ 変換面での強度分布が、 光軸近傍よりもこの外側の領域で強い変形照明 と、 それ以外の照明との何れかに切り換える照明条件制御系と、 前記マスクのパターンとして複数のマスクパターンの内の何れかを選 択するパターン選択装置と、  The illumination conditions of the illumination optical system are as follows: the intensity distribution on the optical Fourier transform surface of the pattern to be exposed is one of a deformed illumination that is stronger in a region outside the vicinity of the optical axis than the vicinity of the optical axis; An illumination condition control system for switching to any one of: a pattern selection device for selecting any one of a plurality of mask patterns as the mask pattern;
該パターン選択装置で順次選択される複数のマスクパターンの相互の 位置合わせを行うァライメント系と、 前記パターン選択装置によって選 択されたパターンに応じて、 前記照明条件制御系を介して前記照明条件 を切り換えて多重露光を行う露光制御系と、  An alignment system for mutually aligning a plurality of mask patterns sequentially selected by the pattern selection device; and the illumination condition control system via the illumination condition control system in accordance with the pattern selected by the pattern selection device. An exposure control system for performing multiple exposure by switching,
を所定の位置関係で組み上げることを特徴とする露光装置の製造方法。  Are assembled in a predetermined positional relationship.
2 8 . 或るレイヤにおいて、 線状パターンを含む所定形状の回路パター ンが形成されるデバイスの製造方法であって、 28. A method of manufacturing a device in which a circuit pattern having a predetermined shape including a linear pattern is formed in a certain layer,
請求の範囲 1 、 2、 7〜 9、 1 6〜 2 3の何れか一項記載の転写方法 を用いて前記レイヤへの前記回路パターンの転写を行うことを特徴とす るデバイスの製造方法。  A method for manufacturing a device, comprising transferring the circuit pattern to the layer by using the transfer method according to any one of claims 1, 2, 7 to 9, and 16 to 23.
2 9 . 前記線状パ夕一ンは、 電界効果型トランジスターのゲート電極パ ターンであることを特徴とする請求の範囲 2 8記載のデバイスの製造方 法。  29. The method for manufacturing a device according to claim 28, wherein said linear pattern is a gate electrode pattern of a field effect transistor.
PCT/JP1999/002941 1998-06-10 1999-06-02 Transfer method and aligner WO1999065066A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
AU40585/99A AU4058599A (en) 1998-06-10 1999-06-02 Transfer method and aligner
JP2000553986A JP4465644B2 (en) 1998-06-10 1999-06-02 Transfer method and device manufacturing method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP16189698 1998-06-10
JP10/161896 1998-06-10

Publications (1)

Publication Number Publication Date
WO1999065066A1 true WO1999065066A1 (en) 1999-12-16

Family

ID=15744079

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1999/002941 WO1999065066A1 (en) 1998-06-10 1999-06-02 Transfer method and aligner

Country Status (4)

Country Link
JP (1) JP4465644B2 (en)
AU (1) AU4058599A (en)
TW (1) TW417164B (en)
WO (1) WO1999065066A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7459265B2 (en) 2004-10-27 2008-12-02 Renesas Technology Corp. Pattern forming method, semiconductor device manufacturing method and exposure mask set

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04273427A (en) * 1991-02-28 1992-09-29 Nikon Corp Mask, exposure method and aligner
JPH07211619A (en) * 1994-01-25 1995-08-11 Hitachi Ltd Formation of circuit pattern and reticle therefor
JPH07226362A (en) * 1994-02-10 1995-08-22 Ricoh Co Ltd Method of forming photoresist pattern
JPH11176726A (en) * 1997-12-09 1999-07-02 Nikon Corp Aligning method, lithographic system using the method and method for manufacturing device using the aligning method
JPH11233429A (en) * 1998-02-13 1999-08-27 Canon Inc Exposure method and aligner

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04273427A (en) * 1991-02-28 1992-09-29 Nikon Corp Mask, exposure method and aligner
JPH07211619A (en) * 1994-01-25 1995-08-11 Hitachi Ltd Formation of circuit pattern and reticle therefor
JPH07226362A (en) * 1994-02-10 1995-08-22 Ricoh Co Ltd Method of forming photoresist pattern
JPH11176726A (en) * 1997-12-09 1999-07-02 Nikon Corp Aligning method, lithographic system using the method and method for manufacturing device using the aligning method
JPH11233429A (en) * 1998-02-13 1999-08-27 Canon Inc Exposure method and aligner

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7459265B2 (en) 2004-10-27 2008-12-02 Renesas Technology Corp. Pattern forming method, semiconductor device manufacturing method and exposure mask set
US7670756B2 (en) 2004-10-27 2010-03-02 Renesas Technology Corp. Pattern forming method, semiconductor device manufacturing method and exposure mask set
US8017305B2 (en) 2004-10-27 2011-09-13 Renesas Electronics Corporation Pattern forming method, semiconductor device manufacturing method and exposure mask set

Also Published As

Publication number Publication date
AU4058599A (en) 1999-12-30
TW417164B (en) 2001-01-01
JP4465644B2 (en) 2010-05-19

Similar Documents

Publication Publication Date Title
US6677088B2 (en) Photomask producing method and apparatus and device manufacturing method
JP3637024B2 (en) Lithographic apparatus
US8023103B2 (en) Exposure apparatus, exposure method, and method for producing device
US20050243296A1 (en) Position detecting method and apparatus, exposure apparatus and device manufacturing method
KR19990045024A (en) Double exposure method and device manufacturing method using the same
EP1993120A1 (en) Exposure method and apparatus, and device manufacturing method
WO2000068738A1 (en) Aligner, microdevice, photomask, exposure method, and method of manufacturing device
WO1999066370A1 (en) Method for producing mask
JP2003092253A (en) Illumination optical system, projection aligner, and manufacturing method of microdevice
WO2000028380A1 (en) Exposure method and exposure apparatus
US20050062949A1 (en) Exposure apparatus and exposure method
JPH11111601A (en) Method and device for exposure
WO2000011706A1 (en) Illuminator and projection exposure apparatus
JP2000029202A (en) Production of mask
JP2004200701A (en) Lithography projection mask, method for manufacturing device by lithography projection mask, and device manufactured by this method
US6265137B1 (en) Exposure method and device producing method using the same
JP4376227B2 (en) Projection apparatus for lithographic apparatus
WO1999065066A1 (en) Transfer method and aligner
JPWO2004066371A1 (en) Exposure equipment
JP2004311896A (en) Method and equipment for exposure, process for fabricating device, and mask
JP2002231613A (en) Exposure method and aligner, mask, and method of manufacturing the mask
JP2007158313A (en) Optical system, lithographic apparatus, and projection method
JP3278802B2 (en) Mask and exposure method using the same
JP2747255B2 (en) Exposure method
JPH10116762A (en) Aligner and exposing method using the same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SL SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase