USRE48819E1 - Performance and power optimization via block oriented performance measurement and control - Google Patents

Performance and power optimization via block oriented performance measurement and control Download PDF

Info

Publication number
USRE48819E1
USRE48819E1 US16/028,942 US201816028942A USRE48819E US RE48819 E1 USRE48819 E1 US RE48819E1 US 201816028942 A US201816028942 A US 201816028942A US RE48819 E USRE48819 E US RE48819E
Authority
US
United States
Prior art keywords
block
utilization
functional blocks
functional
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US16/028,942
Inventor
Morrie Altmejd
Evandro Menezes
Dave Tobias
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=34574868&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=USRE48819(E1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US16/028,942 priority Critical patent/USRE48819E1/en
Application granted granted Critical
Publication of USRE48819E1 publication Critical patent/USRE48819E1/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • G06F1/3228Monitoring task completion, e.g. by use of idle timers, stop commands or wait commands
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/329Power saving characterised by the action undertaken by task scheduling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • This invention relates to integrated circuits and more particularly to power management in integrated circuits.
  • Large computational devices include many functional units such as one or more fixed point units, load/store units, floating point units (FPU), vector arithmetic units, barrel shifters, instruction and data cache memories, bridge or tunnel circuits, memory controllers, first in first out (FIFO) buffers, and various input/output interface units (e.g., interfaces for universal asynchronous receiver/transmitters (UART), serializer/deserializer (SERDES), HyperTransportTM, InfinibandTM, PCI bus).
  • FIFO first in first out
  • various input/output interface units e.g., interfaces for universal asynchronous receiver/transmitters (UART), serializer/deserializer (SERDES), HyperTransportTM, InfinibandTM, PCI bus.
  • UART universal asynchronous receiver/transmitters
  • SERDES serializer/deserializer
  • HyperTransportTM InfinibandTM
  • PCI bus Peripheral Component Interconnect Express
  • clocks are controlled globally, and thus in situations where one part of a processor is being heavily used but another part is being lightly utilized, all the functional blocks in the processor are configured for heavy use. Thus, power may be wasted in situations where, e.g., the fixed point unit is being used but the floating point unit is not being utilized heavily or at all.
  • the amount of power consumed by a functional block is directly related to its performance. In order to allocate power resources more effectively, it would be desirable to be able to dynamically match performance and thus control power consumed by individual functional blocks according to the utilization requirements of the functional blocks.
  • current designs generally do not provide information about utilization of the individual functional blocks, and power consumption is not tuned to match the loading of the individual functional blocks.
  • a possible disadvantage to turning clocks on and off based on data flow is that inefficiencies may result due to the time it takes to turn clocks on and off to the various functional blocks. Accordingly, it would be desirable to dynamically adjust the power consumed by functional blocks of an integrated circuit according to the utilization or loading of those functional blocks and thus achieve power savings while maintaining performance.
  • the present invention monitors the utilization of the functional blocks in an integrated circuit. Based on that information, the power consumption and thus the performance levels of the functional blocks can be tuned. When a functional block is heavily loaded by an application, the performance level and power consumption of that particular functional block can be increased. At the same time, other blocks that may not be loaded by that application and have lower performance requirements can be kept at a relatively low power consumption level. Thus, power consumption can be reduced overall without unduly impacting performance.
  • the invention provides a method for controlling power consumption in an integrated circuit that includes a plurality of functional blocks.
  • the functional blocks generate block utilization information.
  • the power consumption of the respective functional blocks is managed according to respective block utilization information.
  • the power consumption can be managed by adjusting dispatch rate of operations through the particular functional block, adjusting the clock frequency of clocks being supplied to the functional circuit and/or adjusting the voltage along with the clock frequency.
  • utilization information may be kept on a task basis.
  • the invention provides a computer system that includes an integrated circuit that has a plurality of functional blocks. Utilization circuits that are respectively associated with the functional blocks provide block utilization information of the functional blocks.
  • a computer program includes an instruction sequence executable by the integrated circuit to adjust power consumption levels of the functional blocks according to the block utilization information.
  • an integrated circuit in another embodiment, includes a plurality of functional blocks. Utilization circuits respectively associated with the functional blocks provide block utilization information of the functional blocks. The integrated circuit is responsive to the block utilization information to independently adjust power consumption levels of the functional blocks.
  • FIG. 1 shows a block diagram of an exemplary integrated circuit incorporating the present invention.
  • FIG. 2 illustrates a representative functional block and associated detection and counting registers.
  • FIG. 3 illustrates a flow diagram of operation of an embodiment of the present invention utilizing threshold values to determine when to adjust the power consumption of a functional block.
  • FIG. 4 illustrates a detection circuit that can be utilized to provide a statistical utilization value that provides a rolling average of prior utilizations.
  • Exemplary processor 100 includes fixed point unit (ALU) 102 , a floating point unit (FPLU) 104 , a load/store unit 106 , instruction/data cache 108 , input/output units 110 and a power management unit 112 .
  • ALU fixed point unit
  • FPLU floating point unit
  • load/store unit 106 instruction/data cache 108
  • input/output units 110 input/output units 110
  • power management unit 112 supplies clock signals 111 , 113 , 115 , 117 , and 119 to the individual functional blocks.
  • power management, unit 112 adjusts the frequency of the clocks according to the utilization of the functional units.
  • the functional units shown in FIG. 1 are general in nature. Other functional units may be included in addition to or in place of those illustrated.
  • FIG. 2 An exemplary functional block 200 is shown in FIG. 2 .
  • the “function” provided by the functional block 200 shown in FIG. 2 can vary.
  • the functional block 200 may be a floating point unit or a cache memory.
  • Functional block 200 includes the functional unit 201 that performs the “function.”
  • Functional unit 100 also includes a utilization detect circuit 203 .
  • the functional block 200 generates information indicating the utilization of the block. That information may be a percentage of time that the block is used or conversely, the percentage of time the block is idle.
  • the utilization information can be generated in a number of ways. For example, the utilization of a cache memory may be determined according to the number of cache accesses that occur over a predetermined period of time.
  • the cache access utilization may be determined by the percentage of memory accesses that are directed to the cache.
  • floating point (FPU) utilization may be determined by detecting when the FPU is requested to perform a floating point operation. The number of FPU requests may be counted over a period of time to determine utilization. For an execution unit of a processor, the measured value used to determine utilization may be the number of instructions that are dispatched over a period of time.
  • a utilization detection circuit 203 that detects when the functional unit is being utilized in the manner described above according to the type of functional unit and the particular information desired. Assume that the utilization detection circuit 203 detects a utilization event, e.g., when an FPU receives a request to perform a floating point operation. That detection causes a utilization counter 205 to increment a count value to indicate that a utilization occurred.
  • the size of the utilization counter depends upon how often the system checks utilization of the functional block, e.g., every 10 microseconds, as well as the number of utilization events that counter 205 is expected to count. The longer the period between checking of block utilization, and/or the more events to be counted, the bigger the counter size required.
  • cycle counter 207 In addition to counting the utilization events, a measure may be needed to indicate the period of time over which the counted utilizations occurred.
  • cycle counter 207 counts the number of cycles that occurs to provide that information.
  • Cycle counter 207 may be a global counter or a counter local to the functional block. Note that cycle counter 207 may count more slowly than the actual clock rate. For example, cycle counter 207 may count at 100 MHz when the rated processor speed is one GHz. It is sufficient that cycle counter 207 provide an indication of a time period over which utilization counter 205 can be evaluated.
  • Software which may reside in the operating system or elsewhere in the electronic system can periodically read utilization counter 205 and cycle counter 207 and determine whether the power consumption and thus the performance of the functional unit matches the load of the functional unit, i.e., its utilization.
  • the power consumption of a functional unit can be adjusted in a number of ways including increasing or decreasing a dispatch rate of instructions into an execution unit (or floating point operations into an FPU), adjusting clock frequency up or down as well as adjusting voltage up or down to match the clock rate. If the functional unit is set for low performance operations and thus has a low power consumption setting and the latest utilization information indicates that the functional unit is heavily loaded, the power consumption and thus performance of the functional unit can be increased to match the performance requirements indicated by the utilization information. In order to increase performance, clock frequency, voltage, and dispatch rate can all be increased. Note that voltage is typically changed only with clock frequency.
  • the utilization information indicates that the functional unit is lightly loaded, the clock frequency and/or other power management parameters can be decreased to match the loading. If a particular functional unit is unused or very lightly used, its clocks may even be turned off for a period of time.
  • thresholds are provided to determine whether a current power consumption and performance level is appropriate.
  • the utilization level can be checked periodically at a predetermined time interval determined by counter 207 .
  • a timer may be provided for each functional unit that indicates how often the utilization counter should be read and the power usage adjusted according to loading factors. When the timer expires, appropriate power management software is notified.
  • the timers could be set differently for different functional units so that each functional unit can be checked at a different time.
  • the operating system or other power management software can read all of the utilization information periodically, with the period being determined by a single timer for all of the functional units.
  • the value in the utilization counter can be divided by the cycle counter to obtain a utilization per unit time. If that is done, then the utilization counter has to be read before the utilization counter 205 overflows. In either case, the utilization level is compared to upper threshold level 209 . If the utilization level is above the upper threshold level 209 , clock frequency and/or other performance parameters are increased to provide increased performance. The amount of that increase may be based upon the magnitude of the difference between the calculated utilization level and the upper threshold level. For example, a 10% difference may result in a 10% increase. Alternatively, the increase may occur in fixed steps, e.g., from 1 ⁇ 4 of a base clock to 1 ⁇ 2 of a base clock frequency regardless of the difference between the calculated utilization level and the upper threshold level.
  • the utilization level may also be compared to the lower threshold value and if the calculated utilization is below the lower threshold level, the clock speed is adjusted downward. Again, the adjustment may be based on the magnitude of the difference between the calculated utilization value and the lower threshold value. Or the adjustment may be fixed between a current level and a next lower level, e.g., from full clock speed in the functional unit to 3 ⁇ 4 clock speed. In addition, voltage can be adjusted up or down to match the clock speed for additional power savings.
  • the threshold registers can be implemented as registers in the functional units or integrated circuit (e.g., model specific registers), system memory, or any other suitable memory that can be used by the software performing the power management function.
  • the values for the threshold registers may be supplied by BIOS, application software or some other initialization source. For example, the values for the threshold registers for each functional block may be calculated empirically by the operating system. Each functional unit would typically have associated with it unique threshold registers.
  • FIG. 3 illustrates a flow diagram of operation of an exemplary embodiment utilizing thresholds.
  • the embodiment shown in FIG. 3 could be implemented entirely in hardware, software or a combination, according to the needs of the particular system.
  • a timer is initialized in 301 .
  • the initialization routine may, e.g., load a down counter with a predetermined value.
  • a comparison is made to see if the counter is expired. If not, the counter is decremented in 305 and the loop continues until the timer has counted down to zero. Once that occurs, a utilization number is obtained in 307 .
  • the timer assures that the utilization counter has not overflowed.
  • the utilization counter may be implemented to provide a probability (a rolling average) of the utilization event, and thus there is no risk of utilization counter overflow.
  • an appropriate utilization number is obtained, it is compared to the upper threshold value in 309 . If the utilization number is greater than the upper threshold value then in 311 , a control indication is provided to power management control logic 112 (see FIG. 1 ) to increase the clock frequency (and/or other power management parameters as appropriate) of the functional unit.
  • Those parameters can be adjusted by software writing to a clock control register to control the clock frequency being supplied to the functional unit in a manner known in the art, e.g., by selecting a different frequency or specifying a different multiplication value.
  • Writing to a voltage control register can be used to select a different supplied voltage or cause a different voltage to be supplied externally by a voltage regulator.
  • the utilization number is compared to the lower threshold value and if it is lower, then in 315 a control indication is provided to power management control logic 112 (see FIG. 1 ) to decrease the clock frequency (and/or other power management parameters as appropriate) of the functional unit.
  • the timer is then reinitialized in 301 and the cycle starts over. Note that in one implementation of the flow diagram illustrated in FIG. 3 , hardware can be used to alert power management software only when the utilization information is above or below the upper or lower threshold, respectively. Note also that the comparison steps illustrated in comparisons 309 and 311 , while shown executing sequentially, may be implemented to execute in parallel.
  • a non intrusive performance monitoring circuit can be utilized to determine a probability of a utilization event occurring.
  • a circuit is shown in FIG. 4 and described in detail in application Ser. No. 09/872,830, entitled “Non-Intrusive Performance Monitoring”, filed May 5, 1998, naming Daniel Mann as inventor, which application is incorporated herein by reference in its entirety.
  • One advantage of the non intrusive performance monitoring circuit shown in FIG. 4 is that it provides a mechanism for detecting utilization events without the risk of counter overflow.
  • FIG. 4 shows one embodiment of an adaptive adder circuit used as a performance monitoring circuit used to integrate the probability stream and determine the relevant probability of a cache hit or other performance parameter occurring.
  • the cache utilization i.e. the hit and miss data is determined by utilization detect circuit 203 (see FIG. 2 ) and provided as a simple 1 or 0 bit stream to performance monitoring circuit 400 on node 402 . Assume a “1” indicates a hit occurring.
  • a counter 401 provides a count value which is compared in comparator 403 with a random number generated in random number generator circuit 405 . If the counter value is greater than or equal to the random number, a 1 is generated.
  • the compare signal 404 output from the comparator is provided back to counter 401 as an up/down count signal. When the comparator indicates that the count is larger than the random number, the compare signal 404 configures the counter 401 as a down counter and when the count is less than the random number, the compare signal 404 configures counter to be an up counter.
  • the compare signal 404 is compared with the input data stream of interest conveyed on node 402 .
  • the input data stream is serially provided samples of the performance parameter being measured (e.g., the cache hit information) which are provided by utilization detect circuit 203 .
  • These two stochastic data streams are compared to see which one has the highest probability of being 1. That is accomplished by XORing the two data streams together in XOR gate 407 . When the data streams differ, there is a difference in probability. That probability information is fed back to increase or decrease the counter value according to the comparator output.
  • the feedback in the illustrated embodiment is accomplished by ANDing together clock signal 409 and the output from XOR gate 407 to provide a gated clock signal 410 to the counter. Consequently, with each new comparison the counter is adjusted to produce a probability stream (from the comparator) which matches the input data stream.
  • the adaptive adder circuit effectively integrates the probability stream.
  • the probability stream of the parameter being measured is converted into a digital value which is held in the counter.
  • the counter value represents the probability of the parameter which is being measured.
  • software or hardware can read counter 401 periodically to determine a sliding window average of the parameter of interest. The size of the window can be adjusted to more closely or less closely track changes in utilization of the functional block.
  • the operating system can monitor block utilization on a software task basis.
  • the operating system can compile utilization information of various functional blocks per task.
  • the operating system software creates a power management profile that matches a desired performance level for each functional block for a plurality of tasks. The performance level is indicated by desired power consumption parameters (e.g., clock rate, voltage, dispatch rate) for each of the functional blocks.
  • desired power consumption parameters e.g., clock rate, voltage, dispatch rate
  • the power management controller in conjunction with the operating system software sets the appropriate power management parameters to correspond to the particular task.
  • the power management parameters of the functional blocks can be further adjusted during task execution to further improve power management.
  • application software may instead perform that function.
  • Selecting a clock frequency for the various functional units can be accomplished by selectively providing to each functional unit that clock selected by a power management controller.
  • the clocks provided to each functional unit may be a full speed clock, a half speed clock and a quarter speed clock.
  • the granularity of the available clock speed will be design dependent.
  • the ability to provide clocks of different speeds, e.g., by dividing down the full speed clock, is well known in the art and not described further herein.
  • a plurality of voltages are supplied to the integrated circuit, e.g., one for each potential clock speed for the functional units.
  • a suitable voltage is selected that corresponds to the clock speed.
  • the voltage should not be adjusted downward until the clock speed has been reduced, and the clock speed should not be adjusted upward until the voltage has been increased.
  • the correct voltage for a particular functional block may be a selectable off chip.
  • checking utilization information be sufficiently high that performance degradation, particularly any degradation noticeable to a user, is minimized. From a user's perspective, checking block utilization every ten milliseconds may be sufficient for most tasks. However, given high clock speeds in current integrated circuits, more frequent checking may be desirable, particularly where performance is very important.
  • dispatch rate of instructions can be reduced in order to reduce power consumption of an execution unit.
  • utilization information may be generated for sub-blocks.
  • the clocks to all of the execution pipelines can be reduced, e.g. by one half, to match the load.
  • several of the pipelines might be shut down while one pipeline is kept operating at full speed.
  • the clocks can be turned off while operations directed to a particular functional unit accumulate. Once a sufficient number have accumulated, the clocks can be turned back on and the accumulated operations can be executed in a burst mode, and then the clocks can be turned off again.
  • the power management techniques can include adjusting such parameters as clock rates, voltages, and dispatch rates.

Abstract

An integrated circuit includes a plurality of functional blocks. Utilization information for the various functional blocks is generated. Based on that information, the power consumption and thus the performance levels of the functional blocks can be tuned. Thus, when a functional block is heavily loaded by an application, the performance level and thus power consumption of that particular functional block is increased. At the same time, other functional blocks that are not being heavily utilized and thus have lower performance requirements can be kept at a relatively low power consumption level. Thus, power consumption can be reduced overall without unduly impacting performance.

Description

This application is a continuation of U.S. patent application Ser. No. 15/217,553, titled “Performance and Power Optimization via Block Oriented Performance Measurement and Control,” filed Jul. 22, 2016, and issued as U.S. Pat. No. RE47,420, which is a reissue of U.S. patent application Ser. No. 09/798,176, titled “Performance and Power Optimization via Block Oriented Performance Measurement and Control,” filed Mar. 2, 2001, and issued as U.S. Pat. No. 6,895,520, all of which are incorporated by reference herein in their entireties. This application is a re-issue of U.S. patent application Ser. No. 09/798,176, titled “Performance and Power Optimization via Block Oriented Performance Measurement and Control,” filed Mar. 2, 2001, and issued as U.S. Pat. No. 6,895,520, which is incorporated by reference herein in its entirety.
BACKGROUND OF THE INVENTION
I. Field of the Invention
This invention relates to integrated circuits and more particularly to power management in integrated circuits.
2. Description of the Related Art
Large computational devices, e.g., current microprocessors, include many functional units such as one or more fixed point units, load/store units, floating point units (FPU), vector arithmetic units, barrel shifters, instruction and data cache memories, bridge or tunnel circuits, memory controllers, first in first out (FIFO) buffers, and various input/output interface units (e.g., interfaces for universal asynchronous receiver/transmitters (UART), serializer/deserializer (SERDES), HyperTransport™, Infiniband™, PCI bus). In a portable computing environment, where power conservation is particularly important, power management techniques have been implemented to conserve power based on when, e.g., a period of inactivity occurs. The power conservation typically includes stopping clocks for a period of time. However, the clocks are controlled globally, and thus in situations where one part of a processor is being heavily used but another part is being lightly utilized, all the functional blocks in the processor are configured for heavy use. Thus, power may be wasted in situations where, e.g., the fixed point unit is being used but the floating point unit is not being utilized heavily or at all.
In a prior art power savings approach, disclosed in U.S. Pat. No. Re 37,839, functional blocks are deactivated to save power. The activation and deactivation of the functional blocks is controlled by the flow of data within the integrated circuit. Thus, as data flows through the integrated circuit, those functional blocks are turned on and off as necessary to accommodate that data flow.
The amount of power consumed by a functional block is directly related to its performance. In order to allocate power resources more effectively, it would be desirable to be able to dynamically match performance and thus control power consumed by individual functional blocks according to the utilization requirements of the functional blocks. However, current designs generally do not provide information about utilization of the individual functional blocks, and power consumption is not tuned to match the loading of the individual functional blocks. A possible disadvantage to turning clocks on and off based on data flow is that inefficiencies may result due to the time it takes to turn clocks on and off to the various functional blocks. Accordingly, it would be desirable to dynamically adjust the power consumed by functional blocks of an integrated circuit according to the utilization or loading of those functional blocks and thus achieve power savings while maintaining performance.
SUMMARY OF THE INVENTION
The present invention monitors the utilization of the functional blocks in an integrated circuit. Based on that information, the power consumption and thus the performance levels of the functional blocks can be tuned. When a functional block is heavily loaded by an application, the performance level and power consumption of that particular functional block can be increased. At the same time, other blocks that may not be loaded by that application and have lower performance requirements can be kept at a relatively low power consumption level. Thus, power consumption can be reduced overall without unduly impacting performance.
In one embodiment, the invention provides a method for controlling power consumption in an integrated circuit that includes a plurality of functional blocks. The functional blocks generate block utilization information. The power consumption of the respective functional blocks is managed according to respective block utilization information. The power consumption can be managed by adjusting dispatch rate of operations through the particular functional block, adjusting the clock frequency of clocks being supplied to the functional circuit and/or adjusting the voltage along with the clock frequency. In an embodiment, utilization information may be kept on a task basis.
In another embodiment, the invention provides a computer system that includes an integrated circuit that has a plurality of functional blocks. Utilization circuits that are respectively associated with the functional blocks provide block utilization information of the functional blocks. A computer program includes an instruction sequence executable by the integrated circuit to adjust power consumption levels of the functional blocks according to the block utilization information.
In another embodiment an integrated circuit includes a plurality of functional blocks. Utilization circuits respectively associated with the functional blocks provide block utilization information of the functional blocks. The integrated circuit is responsive to the block utilization information to independently adjust power consumption levels of the functional blocks.
BRIEF DESCRIPTION OF THE DRAWINGS
The present invention may be better understood, and its numerous objects, features, and advantages made apparent to those skilled in the art by referencing the accompanying drawings.
FIG. 1 shows a block diagram of an exemplary integrated circuit incorporating the present invention.
FIG. 2 illustrates a representative functional block and associated detection and counting registers.
FIG. 3 illustrates a flow diagram of operation of an embodiment of the present invention utilizing threshold values to determine when to adjust the power consumption of a functional block.
FIG. 4 illustrates a detection circuit that can be utilized to provide a statistical utilization value that provides a rolling average of prior utilizations.
The use of the same reference symbols in different drawings indicates similar or identical items.
DESCRIPTION OF THE PREFERRED EMBODIMENT(S)
Referring to FIG. 1, a block diagram of an exemplary integrated circuit incorporating an embodiment of the present invention is illustrated. Exemplary processor 100 includes fixed point unit (ALU) 102, a floating point unit (FPLU) 104, a load/store unit 106, instruction/data cache 108, input/output units 110 and a power management unit 112. In one embodiment, power management unit 112 supplies clock signals 111, 113, 115, 117, and 119 to the individual functional blocks. In an embodiment, power management, unit 112 adjusts the frequency of the clocks according to the utilization of the functional units. The functional units shown in FIG. 1 are general in nature. Other functional units may be included in addition to or in place of those illustrated.
An exemplary functional block 200 is shown in FIG. 2. The “function” provided by the functional block 200 shown in FIG. 2 can vary. For example, the functional block 200 may be a floating point unit or a cache memory. Functional block 200 includes the functional unit 201 that performs the “function.” Functional unit 100 also includes a utilization detect circuit 203. The functional block 200 generates information indicating the utilization of the block. That information may be a percentage of time that the block is used or conversely, the percentage of time the block is idle. The utilization information can be generated in a number of ways. For example, the utilization of a cache memory may be determined according to the number of cache accesses that occur over a predetermined period of time. Alternately, the cache access utilization may be determined by the percentage of memory accesses that are directed to the cache. In another example, floating point (FPU) utilization may be determined by detecting when the FPU is requested to perform a floating point operation. The number of FPU requests may be counted over a period of time to determine utilization. For an execution unit of a processor, the measured value used to determine utilization may be the number of instructions that are dispatched over a period of time.
Thus, as shown in FIG. 2, a utilization detection circuit 203 is provided that detects when the functional unit is being utilized in the manner described above according to the type of functional unit and the particular information desired. Assume that the utilization detection circuit 203 detects a utilization event, e.g., when an FPU receives a request to perform a floating point operation. That detection causes a utilization counter 205 to increment a count value to indicate that a utilization occurred. The size of the utilization counter depends upon how often the system checks utilization of the functional block, e.g., every 10 microseconds, as well as the number of utilization events that counter 205 is expected to count. The longer the period between checking of block utilization, and/or the more events to be counted, the bigger the counter size required.
In addition to counting the utilization events, a measure may be needed to indicate the period of time over which the counted utilizations occurred. In the exemplary embodiment shown in FIG. 2, cycle counter 207 counts the number of cycles that occurs to provide that information. Cycle counter 207 may be a global counter or a counter local to the functional block. Note that cycle counter 207 may count more slowly than the actual clock rate. For example, cycle counter 207 may count at 100 MHz when the rated processor speed is one GHz. It is sufficient that cycle counter 207 provide an indication of a time period over which utilization counter 205 can be evaluated.
Software, which may reside in the operating system or elsewhere in the electronic system can periodically read utilization counter 205 and cycle counter 207 and determine whether the power consumption and thus the performance of the functional unit matches the load of the functional unit, i.e., its utilization. The power consumption of a functional unit can be adjusted in a number of ways including increasing or decreasing a dispatch rate of instructions into an execution unit (or floating point operations into an FPU), adjusting clock frequency up or down as well as adjusting voltage up or down to match the clock rate. If the functional unit is set for low performance operations and thus has a low power consumption setting and the latest utilization information indicates that the functional unit is heavily loaded, the power consumption and thus performance of the functional unit can be increased to match the performance requirements indicated by the utilization information. In order to increase performance, clock frequency, voltage, and dispatch rate can all be increased. Note that voltage is typically changed only with clock frequency.
If on the other hand, the utilization information indicates that the functional unit is lightly loaded, the clock frequency and/or other power management parameters can be decreased to match the loading. If a particular functional unit is unused or very lightly used, its clocks may even be turned off for a period of time.
In an embodiment, thresholds are provided to determine whether a current power consumption and performance level is appropriate. In order to determine whether current performance levels are adequate as indicated by the utilization information in counter 205, the utilization level can be checked periodically at a predetermined time interval determined by counter 207. Thus, a timer may be provided for each functional unit that indicates how often the utilization counter should be read and the power usage adjusted according to loading factors. When the timer expires, appropriate power management software is notified. The timers could be set differently for different functional units so that each functional unit can be checked at a different time. Alternatively, the operating system or other power management software can read all of the utilization information periodically, with the period being determined by a single timer for all of the functional units.
Alternatively, the value in the utilization counter can be divided by the cycle counter to obtain a utilization per unit time. If that is done, then the utilization counter has to be read before the utilization counter 205 overflows. In either case, the utilization level is compared to upper threshold level 209. If the utilization level is above the upper threshold level 209, clock frequency and/or other performance parameters are increased to provide increased performance. The amount of that increase may be based upon the magnitude of the difference between the calculated utilization level and the upper threshold level. For example, a 10% difference may result in a 10% increase. Alternatively, the increase may occur in fixed steps, e.g., from ¼ of a base clock to ½ of a base clock frequency regardless of the difference between the calculated utilization level and the upper threshold level.
The utilization level may also be compared to the lower threshold value and if the calculated utilization is below the lower threshold level, the clock speed is adjusted downward. Again, the adjustment may be based on the magnitude of the difference between the calculated utilization value and the lower threshold value. Or the adjustment may be fixed between a current level and a next lower level, e.g., from full clock speed in the functional unit to ¾ clock speed. In addition, voltage can be adjusted up or down to match the clock speed for additional power savings.
The threshold registers can be implemented as registers in the functional units or integrated circuit (e.g., model specific registers), system memory, or any other suitable memory that can be used by the software performing the power management function. The values for the threshold registers may be supplied by BIOS, application software or some other initialization source. For example, the values for the threshold registers for each functional block may be calculated empirically by the operating system. Each functional unit would typically have associated with it unique threshold registers.
FIG. 3 illustrates a flow diagram of operation of an exemplary embodiment utilizing thresholds. The embodiment shown in FIG. 3 could be implemented entirely in hardware, software or a combination, according to the needs of the particular system. On power up, a timer is initialized in 301. The initialization routine may, e.g., load a down counter with a predetermined value. In 303 a comparison is made to see if the counter is expired. If not, the counter is decremented in 305 and the loop continues until the timer has counted down to zero. Once that occurs, a utilization number is obtained in 307. The timer assures that the utilization counter has not overflowed. In other embodiments as described further herein, the utilization counter may be implemented to provide a probability (a rolling average) of the utilization event, and thus there is no risk of utilization counter overflow.
Once an appropriate utilization number is obtained, it is compared to the upper threshold value in 309. If the utilization number is greater than the upper threshold value then in 311, a control indication is provided to power management control logic 112 (see FIG. 1) to increase the clock frequency (and/or other power management parameters as appropriate) of the functional unit. Those parameters can be adjusted by software writing to a clock control register to control the clock frequency being supplied to the functional unit in a manner known in the art, e.g., by selecting a different frequency or specifying a different multiplication value. Writing to a voltage control register can be used to select a different supplied voltage or cause a different voltage to be supplied externally by a voltage regulator. In 313, the utilization number is compared to the lower threshold value and if it is lower, then in 315 a control indication is provided to power management control logic 112 (see FIG. 1) to decrease the clock frequency (and/or other power management parameters as appropriate) of the functional unit. After determining whether or not to adjust power management parameters, the timer is then reinitialized in 301 and the cycle starts over. Note that in one implementation of the flow diagram illustrated in FIG. 3, hardware can be used to alert power management software only when the utilization information is above or below the upper or lower threshold, respectively. Note also that the comparison steps illustrated in comparisons 309 and 311, while shown executing sequentially, may be implemented to execute in parallel.
In one embodiment, a non intrusive performance monitoring circuit can be utilized to determine a probability of a utilization event occurring. Such a circuit is shown in FIG. 4 and described in detail in application Ser. No. 09/872,830, entitled “Non-Intrusive Performance Monitoring”, filed May 5, 1998, naming Daniel Mann as inventor, which application is incorporated herein by reference in its entirety. One advantage of the non intrusive performance monitoring circuit shown in FIG. 4, is that it provides a mechanism for detecting utilization events without the risk of counter overflow.
FIG. 4 shows one embodiment of an adaptive adder circuit used as a performance monitoring circuit used to integrate the probability stream and determine the relevant probability of a cache hit or other performance parameter occurring. Consider for example, that at each memory access an on-chip cache may successfully provide the required data or may not. The cache utilization, i.e. the hit and miss data is determined by utilization detect circuit 203 (see FIG. 2) and provided as a simple 1 or 0 bit stream to performance monitoring circuit 400 on node 402. Assume a “1” indicates a hit occurring.
A counter 401 provides a count value which is compared in comparator 403 with a random number generated in random number generator circuit 405. If the counter value is greater than or equal to the random number, a 1 is generated. The compare signal 404 output from the comparator is provided back to counter 401 as an up/down count signal. When the comparator indicates that the count is larger than the random number, the compare signal 404 configures the counter 401 as a down counter and when the count is less than the random number, the compare signal 404 configures counter to be an up counter.
The compare signal 404 is compared with the input data stream of interest conveyed on node 402. The input data stream is serially provided samples of the performance parameter being measured (e.g., the cache hit information) which are provided by utilization detect circuit 203. These two stochastic data streams (compare signal and input data stream) are compared to see which one has the highest probability of being 1. That is accomplished by XORing the two data streams together in XOR gate 407. When the data streams differ, there is a difference in probability. That probability information is fed back to increase or decrease the counter value according to the comparator output. The feedback in the illustrated embodiment is accomplished by ANDing together clock signal 409 and the output from XOR gate 407 to provide a gated clock signal 410 to the counter. Consequently, with each new comparison the counter is adjusted to produce a probability stream (from the comparator) which matches the input data stream.
TABLE 1
illustrates the action of the counter:
comparator 0 1 0 1
performance 0 0 1 1
parameter data
XOR output
0 1 1 0
count action none down up none
The adaptive adder circuit effectively integrates the probability stream. The probability stream of the parameter being measured is converted into a digital value which is held in the counter. The counter value represents the probability of the parameter which is being measured. Thus, software or hardware can read counter 401 periodically to determine a sliding window average of the parameter of interest. The size of the window can be adjusted to more closely or less closely track changes in utilization of the functional block.
In addition to monitoring block utilization on an overall basis, the operating system (or other power management software) can monitor block utilization on a software task basis. Thus, the operating system can compile utilization information of various functional blocks per task. In such an embodiment the operating system software creates a power management profile that matches a desired performance level for each functional block for a plurality of tasks. The performance level is indicated by desired power consumption parameters (e.g., clock rate, voltage, dispatch rate) for each of the functional blocks. When the operating system switches the processor to executing a task, the power management controller in conjunction with the operating system software sets the appropriate power management parameters to correspond to the particular task. The power management parameters of the functional blocks can be further adjusted during task execution to further improve power management. In addition, rather than operating system software performing task-based power management, application software may instead perform that function.
Selecting a clock frequency for the various functional units can be accomplished by selectively providing to each functional unit that clock selected by a power management controller. For example, the clocks provided to each functional unit may be a full speed clock, a half speed clock and a quarter speed clock. The granularity of the available clock speed will be design dependent. The ability to provide clocks of different speeds, e.g., by dividing down the full speed clock, is well known in the art and not described further herein.
As is also known in the art, it is advantageous from a power savings perspective to reduce voltage when possible because the power saved is proportional to the square of the voltage reduction, whereas the power savings is linear with respect to frequency reduction. In one embodiment, a plurality of voltages are supplied to the integrated circuit, e.g., one for each potential clock speed for the functional units. A suitable voltage is selected that corresponds to the clock speed. In order to avoid the possible unpredictable results, the voltage should not be adjusted downward until the clock speed has been reduced, and the clock speed should not be adjusted upward until the voltage has been increased. In other embodiments the correct voltage for a particular functional block may be a selectable off chip.
It is desirable to reduce any performance impact when adjusting power management parameters in an attempt save power. Thus, it is desirable that the granularity of checking utilization information be sufficiently high that performance degradation, particularly any degradation noticeable to a user, is minimized. From a user's perspective, checking block utilization every ten milliseconds may be sufficient for most tasks. However, given high clock speeds in current integrated circuits, more frequent checking may be desirable, particularly where performance is very important.
There are various other approaches to conserve power that may be utilized in addition to adjusting frequency and voltage. In one embodiment, dispatch rate of instructions can be reduced in order to reduce power consumption of an execution unit. Note also that utilization information may be generated for sub-blocks. Thus, in an embodiment having three execution pipelines that have a combined utilization of, e.g., 30%, several options are available. The clocks to all of the execution pipelines can be reduced, e.g. by one half, to match the load. In another embodiment, several of the pipelines might be shut down while one pipeline is kept operating at full speed. In another embodiment, the clocks can be turned off while operations directed to a particular functional unit accumulate. Once a sufficient number have accumulated, the clocks can be turned back on and the accumulated operations can be executed in a burst mode, and then the clocks can be turned off again.
Thus, a more finely grained power management technique has been described that allows particular sections of an integrated circuit to be controlled independently from other sections to provide both effective power savings along with good performance. The power management techniques can include adjusting such parameters as clock rates, voltages, and dispatch rates.
The description of the invention set forth herein is illustrative, and is not intended to limit the scope of the invention as set forth in the following claims. For instance while operating system software has been described as performing aspects of the power management, any software, including application software, can incorporate the teachings herein. In addition, while the embodiments described herein have been described mostly with relation to a microprocessor, the power savings and performance approach described herein can be implemented in any integrated circuit or electronic device where both performance and power savings are considerations. Other variations and modifications of the embodiments disclosed herein, may be made based on the description set forth herein, without departing from the scope and spirit of the invention as set forth in the following claims.

Claims (42)

What is claimed is:
1. A method of controlling power consumption in an integrated circuit that includes a plurality of functional blocks, comprising:
generating respective block utilization information for the functional blocks included in the integrated circuit; and
independently managing power of the respective functional blocks to match respective block utilization levels according to the respective block utilization information.
2. The method as recited in claim 1 wherein the operation of managing power includes independently adjusting frequency of clocks being respectively supplied to the functional blocks according to the block utilization information.
3. The method as recited in claim 1 wherein the operation of managing power includes adjusting a voltage being supplied to one of the functional blocks independently of voltages being supplied to other functional blocks according to the utilization information of the one functional block.
4. The method as recited in claim 1 wherein the operation of managing power includes adjusting dispatch rate of operations to at least a section of one of the functional blocks according to the block utilization information associated with the one functional block.
5. The method as recited in claim 1 wherein the block utilization information from one of the functional blocks provides an indication of what percentage of time the one functional block is being used.
6. The method as recited in claim 1 wherein the block utilization information from one of the functional blocks provides dispatch information relating to how many operations have been dispatched to or within the functional block.
7. The method as recited in claim 1 wherein the functional blocks include at least one of a fixed point unit, an arithmetic logic unit, a floating point unit, a barrel shifter, a load/store unit, a memory controller, an input/output interface unit and a cache.
8. The method as recited in claim 1 wherein the utilization information indicates how much time the functional block spends idling.
9. The method as recited in claim 1 further comprising monitoring block utilization on a task basis.
10. The method as recited in claim 9 further comprising adjusting power consumption of at least one of the functional blocks when a task switch occurs from a first task to a second task according to the block utilization information for the one functional block corresponding to the second task.
11. The method as recited in claim 1 further comprising:
reading utilization information from a utilization register associated with one of the functional blocks; and
adjusting power usage of the one functional block according to the utilization information read.
12. The method as recited in claim 1 further comprising comparing utilization information related to one of the blocks to at least one threshold value to determine whether to adjust power usage.
13. The method as recited in claim 1 further comprising:
adjusting the frequency of a first clock being supplied to one of the functional blocks upward, when first utilization information for the one block is above a first threshold; and
adjusting the frequency of the first clock downward when the first utilization information for the one block is below a second threshold.
14. The method as recited in claim 1 further periodically checking utilization information for a plurality of the functional blocks.
15. The method as recited in claim 1 further comprising checking utilization information on a periodic basis for at least one of the functional blocks to determine whether to adjust power consumption of the one functional block to reflect current utilization information.
16. An integrated circuit comprising:
a plurality of functional blocks;
utilization circuits respectively associated with the functional blocks coupled to provide block utilization information of the functional blocks; and wherein
the integrated circuit is responsive to the block utilization information to independently adjust power consumption levels of the functional blocks to match respective block utilization levels.
17. The integrated circuit as recited in claim 16 wherein at the power consumption levels of the functional blocks are determined at least in part by independently adjustable clock frequencies of respective clocks being supplied to the functional blocks.
18. The integrated circuit as recited in claim 17 wherein the power consumption levels of the functional blocks are determined at least in part according to independently controllable voltages being supplied to respective ones of the functional blocks.
19. The integrated circuit as recited in claim 16 further comprising:
a clock control circuit coupled to independently adjust the frequency of respective clocks being supplied to the functional blocks.
20. The integrated circuit as recited in claim 16 further comprising registers associated with respective utilization circuits of the functional blocks containing block utilization information.
21. The integrated circuit as recited in claim 16 wherein the utilization circuits are software accessible.
22. The integrated circuit as recited in claim 16 further including software operable on the integrated circuit to read utilization information of a selected functional block and to control at least one power performance parameter of the selected functional block in response thereto.
23. A computer system comprising:
an integrated circuit that includes a plurality of functional blocks;
utilization circuits respectively associated with the functional blocks and coupled to provide block utilization information of the functional blocks; and
a computer program including an instruction sequence executable by the integrated circuit to adjust power consumption levels of the functional blocks to match respective block utilization levels according to the block utilization information.
24. The computer system as recited in claim 23 wherein the computer program tracks utilization information for each of the functional blocks on a task basis.
25. The computer system as recited in claim 24 wherein the computer program is responsive to a task switch from a first task to a second task to adjust power management parameters for one or more of the functional blocks according to utilization information corresponding to the second task.
26. An electronic system comprising:
an integrated circuit including a plurality of functional blocks;
means for determining respective block utilization information of the functional blocks; and
means for adjusting power consumption of the respective functional blocks to match respective block utilization levels according to the respective block utilization information.
27. The method of claim 1, wherein the independently managing power of the respective block functional blocks to match respective block utilization levels comprises:
increasing power consumption levels for those functional blocks with utilization information that indicates increased utilization; and
decreasing power consumption levels for those functional blocks with utilization information that indicates decreased utilization.
28. An integrated circuit comprising:
a plurality of functional blocks;
utilization circuits respectively coupled to the functional blocks to provide block utilization information of the functional blocks, the block utilization information indicating a utilization rate of a corresponding functional block, and wherein a block utilization level of the corresponding functional block is based at least in part on the utilization rate; and
wherein the integrated circuit, responsive to the block utilization information, independently adjusts power consumption levels of each of the functional blocks to match respective block utilization levels,
wherein a power consumption level of a selected functional block is increased in response to the utilization rate of the selected functional block being greater than a first threshold,
wherein the power consumption level of the selected functional block is decreased in response to the utilization rate of the selected functional block being less than a second threshold,
wherein the power consumption level of the selected functional block is adjusted by changing a power consumption parameter of the selected functional block, and
wherein the second threshold is different from the first threshold.
29. The integrated circuit of claim 28, wherein:
each of the utilization circuits comprises a timer to set a monitoring time period at which the block utilization level for a respective functional block is checked, and
the monitoring time period is different for one or more timers.
30. The integrated circuit of claim 28, wherein the power consumption parameter comprises a clock frequency associated with the selected functional block, a voltage supplied to the selected functional block, a dispatch rate of operations associated with the selected functional block, or a combination thereof.
31. The integrated circuit of claim 28, wherein the power consumption parameter comprises a clock frequency derived from a clock divider circuit operable to provide a base clock frequency or a fraction of the base clock frequency.
32. An integrated circuit comprising:
a plurality of functional blocks;
utilization circuits respectively coupled to the functional blocks to provide block utilization information of the functional blocks, wherein the block utilization information represents activity in each of the functional blocks as measured over a period of time, and
wherein each of the utilization circuits comprises:
a utilization detection circuit to detect a utilization event;
a utilization counter to count a number of utilization events; and
a cycle counter to count to a value equal to the period of time;
wherein the integrated circuit, responsive to the block utilization information, independently adjusts power consumption levels of the of the functional blocks to match respective block utilization levels according to the block utilization information,
wherein one or more of the block utilization levels are based at least in part on the number of utilization events counted by the utilization counter over the period of time,
wherein, in response to an increase in a block utilization level for a respective functional block, a clock frequency of the respective functional block is increased to a first frequency value, and
wherein, in response to a decrease in the block utilization level for the respective functional block, the clock frequency of the respective functional block is decreased to a second frequency value, the first and second frequency values being different from one another and each greater than zero hertz.
33. The integrated circuit of claim 32, wherein the clock frequency increases or decreases in step adjustments.
34. The integrated circuit of claim 32, wherein the clock frequency of the respective functional block is increased to the first frequency value or decreased to the second frequency value without changing a clock frequency of another functional block.
35. The integrated circuit of claim 32, wherein:
the first frequency value matches a first block utilization level associated with a first load of the respective functional block; and
the second frequency matches a second block utilization level associated with a second load of the respective functional block, wherein the first load is greater than the second load.
36. The integrated circuit of claim 32, wherein:
in response to the increase in the block utilization level for the respective functional block, a power supply voltage of the respective functional block is increased to a first voltage value, and
in response to the decrease in the block utilization level for the respective functional block, the power supply voltage of the respective functional block is decreased to a second voltage value, the first and second voltage values being different from one another and each greater than zero volts.
37. The integrated circuit of claim 32, wherein:
in response to the increase in the block utilization level for the respective functional block, a dispatch rate of operations issued to the respective functional block is increased to a first dispatch rate value, and
in response to the decrease in the block utilization level for the respective functional block, the dispatch rate of operations issued to the respective functional block is decreased to a second dispatch rate value, the first and second dispatch rate values being different from one another and each greater than zero.
38. An integrated circuit comprising:
a plurality of functional blocks; and
utilization circuits respectively coupled to the functional blocks to provide block utilization information of the functional blocks, wherein the integrated circuit, responsive to the block utilization information, independently adjusts power consumption levels of the functional blocks to match respective block utilization levels,
wherein a clock frequency and a power supply voltage of a selected functional block and a dispatch rate of a first set of operations dispatched to or within the selected functional block are increased in response to an increase in the block utilization level associated with the selected functional block, and
wherein the clock frequency and the power supply voltage of the selected functional block and the dispatch rate of a second set of operations dispatched to or within the selected functional block are decreased in response to a decrease in the block utilization level associated with the selected functional block.
39. The integrated circuit of claim 38, wherein the block utilization information represents activity in each of the functional blocks as measured over a period of time, and wherein each of the utilization circuits comprises:
a utilization detection circuit to detect a utilization event;
a utilization counter to count a number of utilization events; and
a cycle counter to count to a value equal to the period of time.
40. The integrated circuit of claim 39, wherein the period of time is different for one or more functional blocks.
41. The integrated circuit of claim 38, wherein the clock frequency of the selected functional block is increased or decreased without changing a clock frequency of another functional block.
42. The integrated circuit of claim 38,
wherein, in response to the increase in the block utilization level associated with the selected functional block, the clock frequency is increased to a first frequency value and the power supply voltage is increased to a first voltage value,
wherein, in response to the decrease in the block utilization level associated with the selected functional block, the clock frequency is decreased to a second frequency value and the power supply voltage is decreased to a second voltage value, and wherein:
the first frequency value matches a first block utilization level associated with a first load of the selected functional block; and
the second frequency matches a second block utilization level associated with a second load of the selected functional block, wherein the first load is greater than the second load.
US16/028,942 2001-03-02 2018-07-06 Performance and power optimization via block oriented performance measurement and control Expired - Lifetime USRE48819E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/028,942 USRE48819E1 (en) 2001-03-02 2018-07-06 Performance and power optimization via block oriented performance measurement and control

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/798,176 US6895520B1 (en) 2001-03-02 2001-03-02 Performance and power optimization via block oriented performance measurement and control
US15/217,563 USRE47420E1 (en) 2001-03-02 2016-07-22 Performance and power optimization via block oriented performance measurement and control
US16/028,942 USRE48819E1 (en) 2001-03-02 2018-07-06 Performance and power optimization via block oriented performance measurement and control

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/798,176 Reissue US6895520B1 (en) 2001-03-02 2001-03-02 Performance and power optimization via block oriented performance measurement and control

Publications (1)

Publication Number Publication Date
USRE48819E1 true USRE48819E1 (en) 2021-11-16

Family

ID=34574868

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/798,176 Ceased US6895520B1 (en) 2001-03-02 2001-03-02 Performance and power optimization via block oriented performance measurement and control
US15/217,563 Expired - Lifetime USRE47420E1 (en) 2001-03-02 2016-07-22 Performance and power optimization via block oriented performance measurement and control
US16/028,942 Expired - Lifetime USRE48819E1 (en) 2001-03-02 2018-07-06 Performance and power optimization via block oriented performance measurement and control

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/798,176 Ceased US6895520B1 (en) 2001-03-02 2001-03-02 Performance and power optimization via block oriented performance measurement and control
US15/217,563 Expired - Lifetime USRE47420E1 (en) 2001-03-02 2016-07-22 Performance and power optimization via block oriented performance measurement and control

Country Status (1)

Country Link
US (3) US6895520B1 (en)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6895520B1 (en) * 2001-03-02 2005-05-17 Advanced Micro Devices, Inc. Performance and power optimization via block oriented performance measurement and control
US7269750B1 (en) * 2001-06-15 2007-09-11 Silicon Motion, Inc. Method and apparatus for reducing power consumption in a graphics controller
FI116702B (en) * 2001-12-20 2006-01-31 Nokia Corp Dynamic power control in integrated circuits
US7349995B2 (en) * 2002-03-07 2008-03-25 Intel Corporation Computing device with scalable logic block to respond to data transfer requests
US6996728B2 (en) * 2002-04-26 2006-02-07 Hewlett-Packard Development Company, L.P. Managing power consumption based on utilization statistics
DE10221529A1 (en) * 2002-05-14 2003-12-04 Systemonic Ag Method for the controlled shutdown of data processing units
US7155617B2 (en) * 2002-08-01 2006-12-26 Texas Instruments Incorporated Methods and systems for performing dynamic power management via frequency and voltage scaling
US7131015B2 (en) * 2002-11-12 2006-10-31 Arm Limited Performance level selection in a data processing system using a plurality of performance request calculating algorithms
US7152169B2 (en) * 2002-11-29 2006-12-19 Intel Corporation Method for providing power management on multi-threaded processor by using SMM mode to place a physical processor into lower power state
US7219241B2 (en) * 2002-11-30 2007-05-15 Intel Corporation Method for managing virtual and actual performance states of logical processors in a multithreaded processor using system management mode
TWI227398B (en) * 2003-04-15 2005-02-01 Asustek Comp Inc Automatic adjusting device of computer system performance
KR101189346B1 (en) 2003-05-07 2012-10-09 모사이드 테크놀로지스, 인코포레이티드 Managing power on integrated circuits using power islands
US7036029B2 (en) * 2003-06-27 2006-04-25 Sigmatel, Inc. Conserving power of a system on a chip using speed sensing
US7500127B2 (en) * 2003-09-18 2009-03-03 Vulcan Portals Inc. Method and apparatus for operating an electronic device in a low power mode
US7346787B2 (en) * 2004-12-07 2008-03-18 Intel Corporation System and method for adaptive power management
US7814485B2 (en) * 2004-12-07 2010-10-12 Intel Corporation System and method for adaptive power management based on processor utilization and cache misses
US7490254B2 (en) * 2005-08-02 2009-02-10 Advanced Micro Devices, Inc. Increasing workload performance of one or more cores on multiple core processors
TWI297237B (en) * 2005-10-28 2008-05-21 Hon Hai Prec Ind Co Ltd Power switching circuit and power supply system using the same
TW200805047A (en) * 2005-12-23 2008-01-16 Koninkl Philips Electronics Nv Performance analysis based system level power management
US20070188186A1 (en) * 2006-02-14 2007-08-16 Toshiba America Electronic Components Systems and methods for managing power supplied to integrated circuits
US7685445B2 (en) * 2006-06-29 2010-03-23 Intel Corporation Per die voltage programming for energy efficient integrated circuit (IC) operation
US8495649B2 (en) * 2006-07-19 2013-07-23 International Business Machines Corporation Scheduling threads having complementary functional unit usage on SMT processors
EP2064612A2 (en) * 2006-08-31 2009-06-03 ATI Technologies ULC Video decoder and/or battery-powered device with reduced power consumption and methods thereof
US7949887B2 (en) * 2006-11-01 2011-05-24 Intel Corporation Independent power control of processing cores
US8060765B1 (en) * 2006-11-02 2011-11-15 Nvidia Corporation Power estimation based on block activity
US20080116964A1 (en) * 2006-11-22 2008-05-22 Kent Kernahan Apparatus and method for controlling the propagation delay of a circuit by controlling the voltage applied to the circuit
US20080278223A1 (en) * 2006-11-22 2008-11-13 Kent Kernahan Apparatus and method for controlling the propagation delay of a circuit by controlling the voltage applied to the circuit
US8397090B2 (en) * 2006-12-08 2013-03-12 Intel Corporation Operating integrated circuit logic blocks at independent voltages with single voltage supply
US20080162961A1 (en) * 2006-12-28 2008-07-03 Mediatek Inc. Portable Player, Power Management Apparatus, And Power Management Algorithm Thereof
KR100852188B1 (en) 2007-02-27 2008-08-13 삼성전자주식회사 System and method of dynamic voltage scaling
US7843980B2 (en) * 2007-05-16 2010-11-30 Rohm Co., Ltd. Semiconductor laser diode
US7971084B2 (en) 2007-12-28 2011-06-28 Intel Corporation Power management in electronic systems
US9766672B2 (en) 2007-12-28 2017-09-19 Intel Corporation System for managing power provided to a processor or memory based on a measured memory consumption characteristic
US9009498B1 (en) 2008-08-14 2015-04-14 Hewlett-Packard Development Company, L.P. Estimating power consumption for a target host
TWI386790B (en) * 2009-01-23 2013-02-21 Wistron Corp Power signal detecting system, method and a portable electronic device thereof
US8245065B2 (en) * 2009-03-04 2012-08-14 International Business Machines Corporation Power gating processor execution units when number of instructions issued per cycle falls below threshold and are independent until instruction queue is full
KR101533572B1 (en) * 2009-05-20 2015-07-03 삼성전자주식회사 Method of Power Management
GB2473195B (en) * 2009-09-02 2012-01-11 1E Ltd Controlling the power state of a computer
US8812825B2 (en) * 2011-01-10 2014-08-19 Dell Products L.P. Methods and systems for managing performance and power utilization of a processor employing a fully multithreaded load threshold
US8578222B2 (en) * 2011-02-17 2013-11-05 Qualcomm Incorporated SerDes power throttling as a function of detected error rate
US8074093B1 (en) 2011-06-03 2011-12-06 Johnson Daniel L Method and system for optimizing the completion of computing operations
US9009506B2 (en) * 2012-03-01 2015-04-14 Nxp B.V. Energy efficient microprocessor platform based on instructional level parallelism
EP2698717A1 (en) * 2012-08-16 2014-02-19 Nxp B.V. Integrated circuit
US9360918B2 (en) 2012-12-21 2016-06-07 Advanced Micro Devices, Inc. Power control for multi-core data processor
US9223383B2 (en) 2012-12-21 2015-12-29 Advanced Micro Devices, Inc. Guardband reduction for multi-core data processor
US9483092B2 (en) 2013-10-14 2016-11-01 Advanced Micro Devices, Inc. Performance state boost for multi-core integrated circuit
US9952956B2 (en) 2015-07-06 2018-04-24 International Business Machines Corporation Calculating the clock frequency of a processor
US10819607B2 (en) * 2015-12-09 2020-10-27 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Reducing power consumption of a compute node experiencing a bottleneck
US10649518B2 (en) 2017-01-26 2020-05-12 Ati Technologies Ulc Adaptive power control loop
CN107783633B (en) * 2017-09-12 2020-12-15 深圳市金立通信设备有限公司 Method for managing application program, terminal and computer readable storage medium
CN108469895A (en) * 2018-03-29 2018-08-31 联想(北京)有限公司 Data processing method and data processing system
KR20210100277A (en) * 2020-02-06 2021-08-17 삼성전자주식회사 Operating method of power optimization scheduler and computing apparatus comprising the power optimization scheduler
TW202142997A (en) * 2020-05-11 2021-11-16 瑞昱半導體股份有限公司 Control method applied to electronic device and associated electronic device and processor
US11531366B2 (en) 2021-01-21 2022-12-20 Cisco Technology, Inc. Integrated circuit with clock gapping
US11800056B2 (en) 2021-02-11 2023-10-24 Logitech Europe S.A. Smart webcam system
US11800048B2 (en) 2021-02-24 2023-10-24 Logitech Europe S.A. Image generating system with background replacement or modification capabilities
US11842226B2 (en) * 2022-04-04 2023-12-12 Ambiq Micro, Inc. System for generating power profile in low power processor

Citations (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4544950A (en) 1984-01-03 1985-10-01 At&T Bell Laboratories Technique for the transmission of video and audio signals over a digital transmission system
US4745402A (en) 1987-02-19 1988-05-17 Rca Licensing Corporation Input device for a display system using phase-encoded signals
US4821261A (en) 1985-07-30 1989-04-11 Etat Francais, Etablissement Public de Telediffusion Packet transmission of digital signals over a high capacity channel, particularly over a satellite broadcasting channel
US4833601A (en) 1987-05-28 1989-05-23 Bull Hn Information Systems Inc. Cache resiliency in processing a variety of address faults
US4843568A (en) 1986-04-11 1989-06-27 Krueger Myron W Real time perception of and response to the actions of an unencumbered participant/user
US4924428A (en) * 1987-12-08 1990-05-08 Northern Telecom Limited Real time digital signal processor idle indicator
US4926353A (en) * 1987-04-04 1990-05-15 Sipra Patententwicklungs-Und Beteiligungsgesellschaft Gmbh Measuring apparatus for determining the degree of utilization of a machine
US5142684A (en) 1989-06-23 1992-08-25 Hand Held Products, Inc. Power conservation in microprocessor controlled devices
US5146210A (en) 1989-08-22 1992-09-08 Deutsche Itt Industries Gmbh Wireless remote control system for a television receiver
US5241428A (en) 1991-03-12 1993-08-31 Goldwasser Eric P Variable-delay video recorder
JPH05252439A (en) 1991-07-23 1993-09-28 C S K Sogo Kenkyusho:Kk Non-contact type video special effect device
US5280530A (en) 1990-09-07 1994-01-18 U.S. Philips Corporation Method and apparatus for tracking a moving object
US5307003A (en) 1989-06-30 1994-04-26 Poqet Computer Corporation Varying the supply voltage in response to the current supplied to a computer system
EP0594240A2 (en) 1992-10-19 1994-04-27 Koninklijke Philips Electronics N.V. Data processor with operation units sharing groups of register files
JPH06153017A (en) 1992-11-02 1994-05-31 Sanyo Electric Co Ltd Remote controller for equipment
US5327160A (en) 1991-05-09 1994-07-05 Asher David J Touch sensitive user interface for television control
US5333296A (en) 1990-06-29 1994-07-26 Digital Equipment Corporation Combined queue for invalidates and return data in multiprocessor system
JPH06217271A (en) 1993-01-18 1994-08-05 Toshiba Corp Method and device for data transmission
EP0632360A1 (en) 1993-06-29 1995-01-04 Xerox Corporation Reducing computer power consumption by dynamic voltage and frequency variation
US5396635A (en) 1990-06-01 1995-03-07 Vadem Corporation Power conservation apparatus having multiple power reduction levels dependent upon the activity of the computer system
US5418969A (en) 1990-03-30 1995-05-23 Matsushita Electric Industrial Co., Ltd. Low power consumption microprocessor
US5423045A (en) 1992-04-15 1995-06-06 International Business Machines Corporation System for distributed power management in portable computers
JPH07154626A (en) 1993-11-30 1995-06-16 Sanyo Electric Co Ltd Television receiver
US5436676A (en) 1994-06-10 1995-07-25 Zenith Electronics Corporation Remote control unit code translation
US5436639A (en) 1993-03-16 1995-07-25 Hitachi, Ltd. Information processing system
US5438372A (en) 1991-09-10 1995-08-01 Sony Corporation Picture-in-picture television receiver with menu displayed in second sub-screen
JPH07222027A (en) 1994-02-04 1995-08-18 Sony Corp Control system
US5451892A (en) 1994-10-03 1995-09-19 Advanced Micro Devices Clock control technique and system for a microprocessor including a thermal sensor
JPH07281666A (en) 1994-04-05 1995-10-27 Casio Comput Co Ltd Image controlling device
US5490059A (en) 1994-09-02 1996-02-06 Advanced Micro Devices, Inc. Heuristic clock speed optimizing mechanism and computer system employing the same
US5502838A (en) 1994-04-28 1996-03-26 Consilium Overseas Limited Temperature management for integrated circuits
JPH08106421A (en) 1994-10-04 1996-04-23 Hitachi Ltd Information processor
US5511203A (en) 1994-02-02 1996-04-23 Advanced Micro Devices Power management system distinguishing between primary and secondary system activity
US5517257A (en) 1995-03-28 1996-05-14 Microsoft Corporation Video control user interface for interactive television systems and method for controlling display of a video movie
US5517250A (en) 1995-02-28 1996-05-14 General Instrument Corporation Of Delaware Acquisition of desired data from a packetized data stream and synchronization thereto
US5521922A (en) 1993-05-25 1996-05-28 Sony Corporation Data demultiplexer
US5528698A (en) 1995-03-27 1996-06-18 Rockwell International Corporation Automotive occupant sensing device
US5528304A (en) 1994-07-22 1996-06-18 Zenith Electronics Corp. Picture-in-picture feedback for channel related features
US5528263A (en) 1994-06-15 1996-06-18 Daniel M. Platzker Interactive projected video image display system
US5532753A (en) 1993-03-22 1996-07-02 Sony Deutschland Gmbh Remote-controlled on-screen audio/video receiver control apparatus
US5534917A (en) 1991-05-09 1996-07-09 Very Vivid, Inc. Video image based control system
US5545857A (en) 1994-07-27 1996-08-13 Samsung Electronics Co. Ltd. Remote control method and apparatus thereof
US5563988A (en) 1994-08-01 1996-10-08 Massachusetts Institute Of Technology Method and system for facilitating wireless, full-body, real-time user interaction with a digitally represented visual environment
EP0737007A2 (en) 1995-04-05 1996-10-09 Thomson Consumer Electronics, Inc. A television receiver using received channel guide information and a secondary video signal processor for displaying secondary channel information
US5570108A (en) 1994-06-27 1996-10-29 Radius Inc. Method and apparatus for display calibration and control
JPH08331415A (en) 1995-05-31 1996-12-13 Internatl Business Mach Corp <Ibm> System and method for receiving/displaying video
JPH08328698A (en) 1995-05-30 1996-12-13 Toshiba Corp Portable computer
US5589893A (en) 1994-12-01 1996-12-31 Zenith Electronics Corporation On-screen remote control of a television receiver
US5592679A (en) 1994-11-14 1997-01-07 Sun Microsystems, Inc. Apparatus and method for distributed control in a processor architecture
JPH096947A (en) 1995-06-23 1997-01-10 Toyota Central Res & Dev Lab Inc Object tracking device
US5594469A (en) 1995-02-21 1997-01-14 Mitsubishi Electric Information Technology Center America Inc. Hand gesture machine control system
US5613152A (en) 1992-10-19 1997-03-18 U.S. Philips Corporation Data processor with operation units executing dyadic and monadic operations sharing groups of register files with one of the units exclusively accessing one of the register files
US5621456A (en) 1993-06-22 1997-04-15 Apple Computer, Inc. Methods and apparatus for audio-visual interface for the display of multiple program categories
WO1997019560A1 (en) 1995-11-21 1997-05-29 Imedia Corporation Method and apparatus for multiplexing and distributing data with preformatted real-time video
JPH09167260A (en) 1995-10-09 1997-06-24 Nintendo Co Ltd Three-dimensional image processor
CN1154629A (en) 1995-08-17 1997-07-16 菲利浦电子有限公司 Television apparatus for MPEG-2 signal
US5654748A (en) 1995-05-05 1997-08-05 Microsoft Corporation Interactive program identification system
US5675358A (en) 1992-08-04 1997-10-07 International Business Machines Corporation Digital image capture control
US5682511A (en) 1995-05-05 1997-10-28 Microsoft Corporation Graphical viewer interface for an interactive network system
US5696985A (en) 1995-06-07 1997-12-09 International Business Machines Corporation Video processor
US5713030A (en) 1995-10-11 1998-01-27 Vlsi Technology, Inc. Thermal management device and method for a computer processor
US5719800A (en) * 1995-06-30 1998-02-17 Intel Corporation Performance throttling to reduce IC power consumption
US5721829A (en) 1995-05-05 1998-02-24 Microsoft Corporation System for automatic pause/resume of content delivered on a channel in response to switching to and from that channel and resuming so that a portion of the content is repeated
US5729280A (en) 1994-08-31 1998-03-17 Sony Corporation Near video-on-demand signal receiver having a memory which provides for VCR like functions
US5737029A (en) 1994-11-11 1998-04-07 Sony Corporation Image reception controller and method with ordered display of previously viewed channels
US5745375A (en) 1995-09-29 1998-04-28 Intel Corporation Apparatus and method for controlling power usage
EP0840504A1 (en) 1996-05-20 1998-05-06 Sony Corporation Customized menu system for hierarchical menu and television system with the same
US5751373A (en) 1995-03-31 1998-05-12 Sony Corporation Television function selection method, television receiver and remote commander for television receiver
US5754436A (en) 1994-12-22 1998-05-19 Texas Instruments Incorporated Adaptive power management processes, circuits and systems
US5754837A (en) 1994-12-22 1998-05-19 Texas Instruments Incorporated Clock control circuits, systems and methods
US5774591A (en) 1995-12-15 1998-06-30 Xerox Corporation Apparatus and method for recognizing facial expressions and facial gestures in a sequence of images
US5774704A (en) 1996-07-29 1998-06-30 Silicon Graphics, Inc. Apparatus and method for dynamic central processing unit clock adjustment
US5778237A (en) 1995-01-10 1998-07-07 Hitachi, Ltd. Data processor and single-chip microcomputer with changing clock frequency and operating voltage
US5781247A (en) 1995-04-05 1998-07-14 Thomson Consumer Electronics, Inc. Customizable menu for a television receiver accessed via a remote control keyboard
US5781783A (en) * 1996-06-28 1998-07-14 Intel Corporation Method and apparatus for dynamically adjusting the power consumption of a circuit block within an integrated circuit
US5781780A (en) 1994-12-22 1998-07-14 Texas Instruments Incorporated Power management supply interface circuitry, systems and methods
US5784630A (en) 1990-09-07 1998-07-21 Hitachi, Ltd. Method and apparatus for processing data in multiple modes in accordance with parallelism of program by using cache memory
US5784628A (en) 1996-03-12 1998-07-21 Microsoft Corporation Method and system for controlling power consumption in a computer system
US5790877A (en) 1995-07-06 1998-08-04 Hitachi, Ltd. Method for controlling a processor for power-saving in a computer for executing a program, compiler medium and processor system
US5793980A (en) 1994-11-30 1998-08-11 Realnetworks, Inc. Audio-on-demand communication system
US5798667A (en) 1994-05-16 1998-08-25 At&T Global Information Solutions Company Method and apparatus for regulation of power dissipation
US5808690A (en) 1996-01-02 1998-09-15 Integrated Device Technology, Inc. Image generation system, methods and computer program products using distributed processing
US5812860A (en) 1996-02-12 1998-09-22 Intel Corporation Method and apparatus providing multiple voltages and frequencies selectable based on real time criteria to control power consumption
US5828895A (en) 1995-09-20 1998-10-27 International Business Machines Corporation Methods and system for predecoding instructions in a superscalar data processing system
US5828370A (en) 1996-07-01 1998-10-27 Thompson Consumer Electronics Inc. Video delivery system and method for displaying indexing slider bar on the subscriber video screen
US5832284A (en) 1996-12-23 1998-11-03 International Business Machines Corporation Self regulating temperature/performance/voltage scheme for micros (X86)
US5838578A (en) 1993-09-21 1998-11-17 Intel Corporation Method and apparatus for programmable thermal sensor for an integrated circuit
US5845132A (en) 1994-12-22 1998-12-01 Texas Instruments Incorporated Computer system power management interconnection circuitry, system and methods
US5850470A (en) 1995-08-30 1998-12-15 Siemens Corporate Research, Inc. Neural network for locating and recognizing a deformable object
US5850218A (en) 1997-02-19 1998-12-15 Time Warner Entertainment Company L.P. Inter-active program guide with default selection control
US5852737A (en) 1995-04-24 1998-12-22 National Semiconductor Corporation Method and apparatus for operating digital static CMOS components in a very low voltage mode during power-down
US5873000A (en) 1996-07-19 1999-02-16 Compaq Computer Corporation System incorporating hot docking and undocking capabilities without requiring a standby or suspend mode by placing local arbiters of system and base into idle state
US5881298A (en) 1996-09-05 1999-03-09 Micron Technology, Inc. Portable computer with selectively operable cooling unit
US5881245A (en) 1996-09-10 1999-03-09 Digital Video Systems, Inc. Method and apparatus for transmitting MPEG data at an adaptive data rate
US5884049A (en) 1996-12-31 1999-03-16 Compaq Computer Corporation Increased processor performance comparable to a desktop computer from a docked portable computer
US5887179A (en) 1996-06-11 1999-03-23 Motorola, Inc. System power saving means and method
US5886690A (en) 1996-10-31 1999-03-23 Uniden America Corporation Program schedule user interface
WO1999019805A1 (en) 1997-10-10 1999-04-22 Rambus Incorporated Method and apparatus for two step memory write operations
US5898849A (en) 1997-04-04 1999-04-27 Advanced Micro Devices, Inc. Microprocessor employing local caches for functional units to store memory operands used by the functional units
KR0185947B1 (en) 1996-03-26 1999-05-01 김광호 Method of decoding the selection program of a digital satellite broadcasting receiver and apparatus thereof
KR100191731B1 (en) 1996-05-28 1999-06-15 구자홍 A dvcr
US5920572A (en) 1995-06-30 1999-07-06 Divicom Inc. Transport stream decoder/demultiplexer for hierarchically organized audio-video streams
US5923755A (en) 1993-12-03 1999-07-13 Scientific-Atlanta, Inc. Multi-service data receiver architecture
US5925133A (en) 1994-10-19 1999-07-20 Advanced Micro Devices, Inc. Integrated processor system adapted for portable personal information devices
US5930444A (en) 1992-04-23 1999-07-27 Camhi; Elie Simultaneous recording and playback apparatus
US5940785A (en) 1996-04-29 1999-08-17 International Business Machines Corporation Performance-temperature optimization by cooperatively varying the voltage and frequency of a circuit
US5958058A (en) 1997-07-18 1999-09-28 Micron Electronics, Inc. User-selectable power management interface with application threshold warnings
US5958055A (en) * 1996-09-20 1999-09-28 Vlsi Technology, Inc. Power management system for a computer
US5968167A (en) 1996-04-04 1999-10-19 Videologic Limited Multi-threaded data processing management system
US5974557A (en) 1994-06-20 1999-10-26 Thomas; C. Douglass Method and system for performing thermal and power management for a computer
US5978864A (en) 1997-06-25 1999-11-02 Sun Microsystems, Inc. Method for thermal overload detection and prevention for an intergrated circuit processor
US5978923A (en) * 1997-08-07 1999-11-02 Toshiba America Information Systems, Inc. Method and apparatus for a computer power management function including selective sleep states
US5987244A (en) 1994-12-22 1999-11-16 Texas Instruments Incorporated Power management masked clock circuitry, systems and methods
US5996083A (en) 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
JP2000010673A (en) 1998-06-25 2000-01-14 Hitachi Ltd Active maintenance system for information processor
US6020912A (en) 1995-07-11 2000-02-01 U.S. Philips Corporation Video-on-demand system
US6026186A (en) 1997-11-17 2000-02-15 Xerox Corporation Line and curve detection using local information
EP0762769B1 (en) 1995-08-17 2000-05-17 Koninklijke Philips Electronics N.V. Television apparatus and integrated circuit for processing an MPEG-2 signal and converting an MPEG-1 signal into an MPEG-2 signal
US6073244A (en) 1997-12-24 2000-06-06 Mitsubishi Denki Kabushiki Kaisha Power-saving clock control apparatus and method
US6076171A (en) 1997-03-28 2000-06-13 Mitsubishi Denki Kabushiki Kaisha Information processing apparatus with CPU-load-based clock frequency
US6105142A (en) 1997-02-11 2000-08-15 Vlsi Technology, Inc. Intelligent power management interface for computer system hardware
US6105127A (en) 1996-08-27 2000-08-15 Matsushita Electric Industrial Co., Ltd. Multithreaded processor for processing multiple instruction streams independently of each other by flexibly controlling throughput in each instruction stream
US6108033A (en) 1996-05-31 2000-08-22 Hitachi Denshi Kabushiki Kaisha Method and system monitoring video image by updating template image
USRE36839E (en) 1995-02-14 2000-08-29 Philips Semiconductor, Inc. Method and apparatus for reducing power consumption in digital electronic circuits
US6111584A (en) 1995-12-18 2000-08-29 3Dlabs Inc. Ltd. Rendering system with mini-patch retrieval from local texture storage
US6111517A (en) 1996-12-30 2000-08-29 Visionics Corporation Continuous video monitoring using face recognition for access control
US6122676A (en) 1998-01-07 2000-09-19 National Semiconductor Corporation Apparatus and method for transmitting and receiving data into and out of a universal serial bus device
EP1039750A2 (en) 1999-03-26 2000-09-27 Matsushita Electric Industrial Co., Ltd. Video storage and retrieval apparatus
US6128653A (en) 1997-03-17 2000-10-03 Microsoft Corporation Method and apparatus for communication media commands and media data using the HTTP protocol
US6128745A (en) 1998-05-28 2000-10-03 Phoenix Technologies Ltd. Power management inactivity monitoring using software threads
US6141003A (en) 1997-03-18 2000-10-31 Microsoft Corporation Channel bar user interface for an entertainment system
US6141762A (en) 1998-08-03 2000-10-31 Nicol; Christopher J. Power reduction in a multiprocessor digital signal processor based on processor load
US6147714A (en) 1995-07-21 2000-11-14 Sony Corporation Control apparatus and control method for displaying electronic program guide
US6151681A (en) 1997-06-25 2000-11-21 Texas Instruments Incorporated Dynamic device power management
US6151059A (en) 1996-08-06 2000-11-21 Starsight Telecast, Inc. Electronic program guide with interactive areas
US6185314B1 (en) 1997-06-19 2001-02-06 Ncr Corporation System and method for matching image information to object model information
US6184877B1 (en) 1996-12-11 2001-02-06 International Business Machines Corporation System and method for interactively accessing program information on a television
US6185641B1 (en) 1997-05-01 2001-02-06 Standard Microsystems Corp. Dynamically allocating space in RAM shared between multiple USB endpoints and USB host
US6191773B1 (en) 1995-04-28 2001-02-20 Matsushita Electric Industrial Co., Ltd. Interface apparatus
US6195753B1 (en) 1997-06-09 2001-02-27 Nec Corporation Information processing apparatus with reduced power consumption
US6208361B1 (en) 1998-06-15 2001-03-27 Silicon Graphics, Inc. Method and system for efficient context switching in a computer graphics system
US6226447B1 (en) 1995-08-18 2001-05-01 Matsushita Electric Industrial Co., Ltd. Video signal recording and reproducing apparatus
US6233389B1 (en) 1998-07-30 2001-05-15 Tivo, Inc. Multimedia time warping system
US6239810B1 (en) 1995-11-22 2001-05-29 Nintendo Co., Ltd. High performance low cost video game system with coprocessor providing high speed efficient 3D graphics and digital audio signal processing
US20010003206A1 (en) 1998-12-03 2001-06-07 Edwin J. Pole Managing a system's performance state
US6252878B1 (en) * 1997-10-30 2001-06-26 Cisco Technology, Inc. Switched architecture access server
US6252598B1 (en) 1997-07-03 2001-06-26 Lucent Technologies Inc. Video hand image computer interface
US6256743B1 (en) 1992-03-31 2001-07-03 Seiko Epson Corporation Selective power-down for high performance CPU/system
US6266715B1 (en) 1998-06-01 2001-07-24 Advanced Micro Devices, Inc. Universal serial bus controller with a direct memory access mode
US6275782B1 (en) 1998-05-05 2001-08-14 Advanced Micro Devices, Inc. Non-intrusive performance monitoring
US6295321B1 (en) 1997-12-29 2001-09-25 Lg Electronics Inc. Video decoding method, video decoder and digital TV system using the video decoding method and video decoder
US6311287B1 (en) 1994-10-11 2001-10-30 Compaq Computer Corporation Variable frequency clock control for microprocessor-based computer systems
US6323911B1 (en) 1995-10-02 2001-11-27 Starsight Telecast, Inc. System and method for using television schedule information
US6327418B1 (en) 1997-10-10 2001-12-04 Tivo Inc. Method and apparatus implementing random access and time-based functions on a continuous stream of formatted digital data
US6345362B1 (en) 1999-04-06 2002-02-05 International Business Machines Corporation Managing Vt for reduced power using a status table
US6353628B1 (en) * 1998-12-15 2002-03-05 Nortel Networks Limited Apparatus, method and system having reduced power consumption in a multi-carrier wireline environment
US6363490B1 (en) 1999-03-30 2002-03-26 Intel Corporation Method and apparatus for monitoring the temperature of a processor
US6397000B1 (en) 1997-09-09 2002-05-28 Hitachi, Ltd. Digital signal recording devices, digital signal recording/ playback devices, and digital signal receiving/recording/playback devices
US6407595B1 (en) 2000-04-04 2002-06-18 Silicon Integrated Systems Corp. Digital clock throttling means
US6421463B1 (en) 1998-04-01 2002-07-16 Massachusetts Institute Of Technology Trainable system to search for objects in images
US6425086B1 (en) * 1999-04-30 2002-07-23 Intel Corporation Method and apparatus for dynamic power control of a low power processor
US20020112193A1 (en) 2001-02-09 2002-08-15 International Business Machines Corporation Power control of a processor using hardware structures controlled by a compiler with an accumulated instruction profile
US6442700B1 (en) * 1999-08-10 2002-08-27 Intel Corporation Thermal control within systems having multiple CPU performance states
US6470290B1 (en) 1999-08-31 2002-10-22 Lg Electronics, Inc. Device having an improved apparatus and method for setting power management mode
US6490000B1 (en) 1997-12-24 2002-12-03 Echostar Communications Corporation Method and apparatus for time shifting and controlling broadcast audio and video signals
US6510400B1 (en) 1999-03-31 2003-01-21 Nec Corporation Temperature control circuit for central processing unit
US6513124B1 (en) 1998-05-20 2003-01-28 International Business Machines Corporation Method and apparatus for controlling operating speed of processor in computer
US20030030326A1 (en) 2001-08-10 2003-02-13 Shakti Systems, Inc. Distributed power and supply architecture
US6535905B1 (en) 1999-04-29 2003-03-18 Intel Corporation Method and apparatus for thread switching within a multithreaded processor
US6535798B1 (en) 1998-12-03 2003-03-18 Intel Corporation Thermal management in a system
US6542621B1 (en) 1998-08-31 2003-04-01 Texas Instruments Incorporated Method of dealing with occlusion when tracking multiple objects and people in video sequences
US6545683B1 (en) 1999-04-19 2003-04-08 Microsoft Corporation Apparatus and method for increasing the bandwidth to a graphics subsystem
US6564328B1 (en) * 1999-12-23 2003-05-13 Intel Corporation Microprocessor with digital power throttle
US6564329B1 (en) * 1999-03-16 2003-05-13 Linkup Systems Corporation System and method for dynamic clock generation
US6573900B1 (en) 1999-12-06 2003-06-03 Nvidia Corporation Method, apparatus and article of manufacture for a sequencer in a transform/lighting module capable of processing multiple independent execution threads
US20030110012A1 (en) 2001-12-06 2003-06-12 Doron Orenstien Distribution of processing activity across processing hardware based on power consumption considerations
US20030122429A1 (en) 2001-12-28 2003-07-03 Zhang Kevin X. Method and apparatus for providing multiple supply voltages for a processor
US6591058B1 (en) 1997-12-23 2003-07-08 Intel Corporation Time shifting by concurrently recording and playing a data stream
US6608476B1 (en) * 2000-09-26 2003-08-19 Sun Microsystems, Inc. Method and apparatus for reducing power consumption
US20030188212A1 (en) 2000-02-14 2003-10-02 Opher Kahn A method and apparatus for resuming memory operations upon exit from a low latency wake-up low power state
US6630935B1 (en) 2000-04-21 2003-10-07 Ati International, Srl Geometric engine including a computational module for use in a video graphics controller
US6636635B2 (en) 1995-11-01 2003-10-21 Canon Kabushiki Kaisha Object extraction method, and image sensing apparatus using the method
US6636976B1 (en) * 2000-06-30 2003-10-21 Intel Corporation Mechanism to control di/dt for a microprocessor
US6639998B1 (en) 1999-01-11 2003-10-28 Lg Electronics Inc. Method of detecting a specific object in an image signal
US6647502B1 (en) 1999-07-13 2003-11-11 Sony Corporation Method and apparatus for providing power based on the amount of data stored in buffers
US6650327B1 (en) 1998-06-16 2003-11-18 Silicon Graphics, Inc. Display system having floating point rasterization and floating point framebuffering
US20040003301A1 (en) 2002-06-28 2004-01-01 Nguyen Don J. Methods and apparatus to control processor performance to regulate heat generation
US6711447B1 (en) 2003-01-22 2004-03-23 Intel Corporation Modulating CPU frequency and voltage in a multi-core CPU architecture
US6717599B1 (en) 2000-06-29 2004-04-06 Microsoft Corporation Method, system, and computer program product for implementing derivative operators with graphics hardware
US6724825B1 (en) 2000-09-22 2004-04-20 General Instrument Corporation Regeneration of program clock reference data for MPEG transport streams
US6724915B1 (en) 1998-03-13 2004-04-20 Siemens Corporate Research, Inc. Method for tracking a video object in a time-ordered sequence of image frames
US6728862B1 (en) 2000-05-22 2004-04-27 Gazelle Technology Corporation Processor array and parallel data processing methods
US6731289B1 (en) 2000-05-12 2004-05-04 Microsoft Corporation Extended range pixel display system and method
US20040117678A1 (en) 2002-12-13 2004-06-17 Soltis Donald C. System, method and apparatus for conserving power consumed by a system having a processor integrated circuit
US6762797B1 (en) 1999-04-14 2004-07-13 Koninklijke Philips Electronics N.V. Method and apparatus for catch-up video viewing
US6784879B1 (en) 1997-07-14 2004-08-31 Ati Technologies Inc. Method and apparatus for providing control of background video
US6789037B2 (en) 1999-03-30 2004-09-07 Intel Corporation Methods and apparatus for thermal management of an integrated circuit die
US6788710B1 (en) 1998-03-19 2004-09-07 Thomson Licensing S.A. Auxiliary data insertion in a transport datastream
US6825843B2 (en) 2002-07-18 2004-11-30 Nvidia Corporation Method and apparatus for loop and branch instructions in a programmable graphics pipeline
US6829713B2 (en) * 2000-12-30 2004-12-07 Intel Corporation CPU power management based on utilization with lowest performance mode at the mid-utilization range
US20040260958A1 (en) 2003-06-20 2004-12-23 Sami Issa Integrated circuit dynamic parameter management in response to dynamic energy evaluation
US6845456B1 (en) 2001-05-01 2005-01-18 Advanced Micro Devices, Inc. CPU utilization measurement techniques for use in power management
US20050046400A1 (en) 2003-05-21 2005-03-03 Efraim Rotem Controlling operation of a voltage supply according to the activity of a multi-core integrated circuit component or of multiple IC components
US6889332B2 (en) 2001-12-11 2005-05-03 Advanced Micro Devices, Inc. Variable maximum die temperature based on performance state
US6897871B1 (en) 2003-11-20 2005-05-24 Ati Technologies Inc. Graphics processing architecture employing a unified shader
US6901522B2 (en) 2001-06-07 2005-05-31 Intel Corporation System and method for reducing power consumption in multiprocessor system
US6922783B2 (en) 2002-01-16 2005-07-26 Hewlett-Packard Development Company, L.P. Method and apparatus for conserving power on a multiprocessor integrated circuit
US6928559B1 (en) 1997-06-27 2005-08-09 Broadcom Corporation Battery powered device with dynamic power and performance management
US6952520B1 (en) 1999-09-06 2005-10-04 Nec Corporation Picture recorder/reproducer and method thereof
US6954204B2 (en) 2002-07-18 2005-10-11 Nvidia Corporation Programmable graphics system and method using flexible, high-precision data formats
US6976182B1 (en) 2002-02-01 2005-12-13 Advanced Micro Devices, Inc. Apparatus and method for decreasing power consumption in an integrated circuit
US6978389B2 (en) 2001-12-20 2005-12-20 Texas Instruments Incorporated Variable clocking in an embedded symmetric multiprocessor system
US7015913B1 (en) 2003-06-27 2006-03-21 Nvidia Corporation Method and apparatus for multithreaded processing of data in a programmable graphics processor
US7038685B1 (en) 2003-06-30 2006-05-02 Nvidia Corporation Programmable graphics processor for multithreaded execution of programs
US7039755B1 (en) 2000-05-31 2006-05-02 Advanced Micro Devices, Inc. Method and apparatus for powering down the CPU/memory controller complex while preserving the self refresh state of memory in the system
US7051306B2 (en) 2003-05-07 2006-05-23 Mosaid Technologies Corporation Managing power on integrated circuits using power islands
US7085945B2 (en) 2003-01-24 2006-08-01 Intel Corporation Using multiple thermal points to enable component level power and thermal management
US7095945B1 (en) 2000-11-06 2006-08-22 Ati Technologies, Inc. System for digital time shifting and method thereof
US7100056B2 (en) 2002-08-12 2006-08-29 Hewlett-Packard Development Company, L.P. System and method for managing processor voltage in a multi-processor computer system for optimized performance
US7100061B2 (en) 2000-01-18 2006-08-29 Transmeta Corporation Adaptive power control
US7100060B2 (en) 2002-06-26 2006-08-29 Intel Corporation Techniques for utilization of asymmetric secondary processing resources
US7134031B2 (en) 2003-08-04 2006-11-07 Arm Limited Performance control within a multi-processor system
US7144152B2 (en) 2002-08-23 2006-12-05 Intel Corporation Apparatus for thermal management of multiple core microprocessors
US7174467B1 (en) 2001-07-18 2007-02-06 Advanced Micro Devices, Inc. Message based power management in a multi-processor system
US7174194B2 (en) 2000-10-24 2007-02-06 Texas Instruments Incorporated Temperature field controlled scheduling for processing systems
US7206966B2 (en) 2003-10-22 2007-04-17 Hewlett-Packard Development Company, L.P. Fault-tolerant multi-core microprocessing
US7233335B2 (en) 2003-04-21 2007-06-19 Nividia Corporation System and method for reserving and managing memory spaces in a memory resource
US7249268B2 (en) 2004-06-29 2007-07-24 Intel Corporation Method for performing performance optimization operations for a processor having a plurality of processor cores in response to a stall condition
US7272298B1 (en) 1998-05-06 2007-09-18 Burst.Com, Inc. System and method for time-shifted program viewing
US7313706B2 (en) 2002-09-17 2007-12-25 Hewlett-Packard Development Company, L.P. System and method for managing power consumption for a plurality of processors based on a supply voltage to each processor, temperature, total power consumption and individual processor power consumption
US7321369B2 (en) 2002-08-30 2008-01-22 Intel Corporation Method and apparatus for synchronizing processing of multiple asynchronous client queues on a graphics controller device
US7363472B2 (en) 1999-04-09 2008-04-22 Clearspeed Technology Limited Memory access consolidation for SIMD processing elements having access indicators
US7366407B2 (en) 1999-12-16 2008-04-29 Sharp Laboratories Of America, Inc. Method and apparatus for storing MPEG-2 transport streams using a conventional digital video recorder
US7428645B2 (en) 2003-12-29 2008-09-23 Marvell International, Ltd. Methods and apparatus to selectively power functional units
US7437581B2 (en) 2004-09-28 2008-10-14 Intel Corporation Method and apparatus for varying energy per instruction according to the amount of available parallelism
US7475175B2 (en) 2003-03-17 2009-01-06 Hewlett-Packard Development Company, L.P. Multi-processor module
US7502948B2 (en) 2004-12-30 2009-03-10 Intel Corporation Method, system, and apparatus for selecting a maximum operation point based on number of active cores and performance level of each of the active cores
US7516334B2 (en) 2001-03-22 2009-04-07 Sony Computer Entertainment Inc. Power management for processing modules
US7636863B2 (en) 2004-01-13 2009-12-22 Lg Electronics Inc. Apparatus for controlling power of processor having a plurality of cores and control method of the same
US7664971B2 (en) 2005-06-10 2010-02-16 Lg Electronics Inc. Controlling power supply in a multi-core processor
US7673304B2 (en) 2003-02-18 2010-03-02 Microsoft Corporation Multithreaded kernel for graphics processing unit
US7742053B2 (en) 2003-09-29 2010-06-22 Ati Technologies Ulc Multi-thread graphics processing system
US7966511B2 (en) 2004-07-27 2011-06-21 Intel Corporation Power management coordination in multi-core processors
USRE43184E1 (en) 1997-07-22 2012-02-14 Lg Electronics Inc. Method of application menu selection and activation using image cognition
US8285109B2 (en) 1995-09-11 2012-10-09 Panasonic Corporation Video signal recording and reproducing apparatus
US8463110B2 (en) 1997-12-23 2013-06-11 Intel Corporation Storage of video stream multiplexed with random access playback after variable time delay
USRE47420E1 (en) * 2001-03-02 2019-06-04 Advanced Micro Devices, Inc. Performance and power optimization via block oriented performance measurement and control

Patent Citations (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4544950A (en) 1984-01-03 1985-10-01 At&T Bell Laboratories Technique for the transmission of video and audio signals over a digital transmission system
US4821261A (en) 1985-07-30 1989-04-11 Etat Francais, Etablissement Public de Telediffusion Packet transmission of digital signals over a high capacity channel, particularly over a satellite broadcasting channel
US4843568A (en) 1986-04-11 1989-06-27 Krueger Myron W Real time perception of and response to the actions of an unencumbered participant/user
US4745402A (en) 1987-02-19 1988-05-17 Rca Licensing Corporation Input device for a display system using phase-encoded signals
US4926353A (en) * 1987-04-04 1990-05-15 Sipra Patententwicklungs-Und Beteiligungsgesellschaft Gmbh Measuring apparatus for determining the degree of utilization of a machine
US4833601A (en) 1987-05-28 1989-05-23 Bull Hn Information Systems Inc. Cache resiliency in processing a variety of address faults
US4924428A (en) * 1987-12-08 1990-05-08 Northern Telecom Limited Real time digital signal processor idle indicator
US5142684A (en) 1989-06-23 1992-08-25 Hand Held Products, Inc. Power conservation in microprocessor controlled devices
US5307003A (en) 1989-06-30 1994-04-26 Poqet Computer Corporation Varying the supply voltage in response to the current supplied to a computer system
US5146210A (en) 1989-08-22 1992-09-08 Deutsche Itt Industries Gmbh Wireless remote control system for a television receiver
US5418969A (en) 1990-03-30 1995-05-23 Matsushita Electric Industrial Co., Ltd. Low power consumption microprocessor
US5396635A (en) 1990-06-01 1995-03-07 Vadem Corporation Power conservation apparatus having multiple power reduction levels dependent upon the activity of the computer system
US6859882B2 (en) 1990-06-01 2005-02-22 Amphus, Inc. System, method, and architecture for dynamic server power management and dynamic workload management for multi-server environment
US20030188208A1 (en) 1990-06-01 2003-10-02 Amphus, Inc. System, method, and architecture for dynamic server power management and dynamic workload management for multi-server environment
US5333296A (en) 1990-06-29 1994-07-26 Digital Equipment Corporation Combined queue for invalidates and return data in multiprocessor system
US5784630A (en) 1990-09-07 1998-07-21 Hitachi, Ltd. Method and apparatus for processing data in multiple modes in accordance with parallelism of program by using cache memory
US5280530A (en) 1990-09-07 1994-01-18 U.S. Philips Corporation Method and apparatus for tracking a moving object
US5241428A (en) 1991-03-12 1993-08-31 Goldwasser Eric P Variable-delay video recorder
US5327160A (en) 1991-05-09 1994-07-05 Asher David J Touch sensitive user interface for television control
US5534917A (en) 1991-05-09 1996-07-09 Very Vivid, Inc. Video image based control system
JPH05252439A (en) 1991-07-23 1993-09-28 C S K Sogo Kenkyusho:Kk Non-contact type video special effect device
US5438372A (en) 1991-09-10 1995-08-01 Sony Corporation Picture-in-picture television receiver with menu displayed in second sub-screen
US6256743B1 (en) 1992-03-31 2001-07-03 Seiko Epson Corporation Selective power-down for high performance CPU/system
US5511205A (en) 1992-04-15 1996-04-23 International Business Machines Corporation System for distributed power management in portable computers
US5423045A (en) 1992-04-15 1995-06-06 International Business Machines Corporation System for distributed power management in portable computers
US5930444A (en) 1992-04-23 1999-07-27 Camhi; Elie Simultaneous recording and playback apparatus
US5675358A (en) 1992-08-04 1997-10-07 International Business Machines Corporation Digital image capture control
US5613152A (en) 1992-10-19 1997-03-18 U.S. Philips Corporation Data processor with operation units executing dyadic and monadic operations sharing groups of register files with one of the units exclusively accessing one of the register files
EP0594240A2 (en) 1992-10-19 1994-04-27 Koninklijke Philips Electronics N.V. Data processor with operation units sharing groups of register files
JPH06153017A (en) 1992-11-02 1994-05-31 Sanyo Electric Co Ltd Remote controller for equipment
JPH06217271A (en) 1993-01-18 1994-08-05 Toshiba Corp Method and device for data transmission
US5436639A (en) 1993-03-16 1995-07-25 Hitachi, Ltd. Information processing system
US5532753A (en) 1993-03-22 1996-07-02 Sony Deutschland Gmbh Remote-controlled on-screen audio/video receiver control apparatus
US5521922A (en) 1993-05-25 1996-05-28 Sony Corporation Data demultiplexer
US5621456A (en) 1993-06-22 1997-04-15 Apple Computer, Inc. Methods and apparatus for audio-visual interface for the display of multiple program categories
EP0632360A1 (en) 1993-06-29 1995-01-04 Xerox Corporation Reducing computer power consumption by dynamic voltage and frequency variation
US7587262B1 (en) 1993-09-21 2009-09-08 Intel Corporation Temperature averaging thermal sensor apparatus and method
US5838578A (en) 1993-09-21 1998-11-17 Intel Corporation Method and apparatus for programmable thermal sensor for an integrated circuit
US7216064B1 (en) 1993-09-21 2007-05-08 Intel Corporation Method and apparatus for programmable thermal sensor for an integrated circuit
JPH07154626A (en) 1993-11-30 1995-06-16 Sanyo Electric Co Ltd Television receiver
US5923755A (en) 1993-12-03 1999-07-13 Scientific-Atlanta, Inc. Multi-service data receiver architecture
US5511203A (en) 1994-02-02 1996-04-23 Advanced Micro Devices Power management system distinguishing between primary and secondary system activity
JPH07222027A (en) 1994-02-04 1995-08-18 Sony Corp Control system
JPH07281666A (en) 1994-04-05 1995-10-27 Casio Comput Co Ltd Image controlling device
US5502838A (en) 1994-04-28 1996-03-26 Consilium Overseas Limited Temperature management for integrated circuits
US5798667A (en) 1994-05-16 1998-08-25 At&T Global Information Solutions Company Method and apparatus for regulation of power dissipation
US5436676A (en) 1994-06-10 1995-07-25 Zenith Electronics Corporation Remote control unit code translation
US5528263A (en) 1994-06-15 1996-06-18 Daniel M. Platzker Interactive projected video image display system
US5974557A (en) 1994-06-20 1999-10-26 Thomas; C. Douglass Method and system for performing thermal and power management for a computer
US5570108A (en) 1994-06-27 1996-10-29 Radius Inc. Method and apparatus for display calibration and control
US5528304A (en) 1994-07-22 1996-06-18 Zenith Electronics Corp. Picture-in-picture feedback for channel related features
US5545857A (en) 1994-07-27 1996-08-13 Samsung Electronics Co. Ltd. Remote control method and apparatus thereof
US5563988A (en) 1994-08-01 1996-10-08 Massachusetts Institute Of Technology Method and system for facilitating wireless, full-body, real-time user interaction with a digitally represented visual environment
US5729280A (en) 1994-08-31 1998-03-17 Sony Corporation Near video-on-demand signal receiver having a memory which provides for VCR like functions
US5490059A (en) 1994-09-02 1996-02-06 Advanced Micro Devices, Inc. Heuristic clock speed optimizing mechanism and computer system employing the same
US5451892A (en) 1994-10-03 1995-09-19 Advanced Micro Devices Clock control technique and system for a microprocessor including a thermal sensor
JPH08106421A (en) 1994-10-04 1996-04-23 Hitachi Ltd Information processor
US6311287B1 (en) 1994-10-11 2001-10-30 Compaq Computer Corporation Variable frequency clock control for microprocessor-based computer systems
US5925133A (en) 1994-10-19 1999-07-20 Advanced Micro Devices, Inc. Integrated processor system adapted for portable personal information devices
US5737029A (en) 1994-11-11 1998-04-07 Sony Corporation Image reception controller and method with ordered display of previously viewed channels
US5592679A (en) 1994-11-14 1997-01-07 Sun Microsystems, Inc. Apparatus and method for distributed control in a processor architecture
US5793980A (en) 1994-11-30 1998-08-11 Realnetworks, Inc. Audio-on-demand communication system
US5589893A (en) 1994-12-01 1996-12-31 Zenith Electronics Corporation On-screen remote control of a television receiver
US5754837A (en) 1994-12-22 1998-05-19 Texas Instruments Incorporated Clock control circuits, systems and methods
US5845132A (en) 1994-12-22 1998-12-01 Texas Instruments Incorporated Computer system power management interconnection circuitry, system and methods
US5754436A (en) 1994-12-22 1998-05-19 Texas Instruments Incorporated Adaptive power management processes, circuits and systems
US5987244A (en) 1994-12-22 1999-11-16 Texas Instruments Incorporated Power management masked clock circuitry, systems and methods
US5781780A (en) 1994-12-22 1998-07-14 Texas Instruments Incorporated Power management supply interface circuitry, systems and methods
US5778237A (en) 1995-01-10 1998-07-07 Hitachi, Ltd. Data processor and single-chip microcomputer with changing clock frequency and operating voltage
USRE36839E (en) 1995-02-14 2000-08-29 Philips Semiconductor, Inc. Method and apparatus for reducing power consumption in digital electronic circuits
US5594469A (en) 1995-02-21 1997-01-14 Mitsubishi Electric Information Technology Center America Inc. Hand gesture machine control system
US5517250A (en) 1995-02-28 1996-05-14 General Instrument Corporation Of Delaware Acquisition of desired data from a packetized data stream and synchronization thereto
US5528698A (en) 1995-03-27 1996-06-18 Rockwell International Corporation Automotive occupant sensing device
US5517257A (en) 1995-03-28 1996-05-14 Microsoft Corporation Video control user interface for interactive television systems and method for controlling display of a video movie
US5751373A (en) 1995-03-31 1998-05-12 Sony Corporation Television function selection method, television receiver and remote commander for television receiver
US5781247A (en) 1995-04-05 1998-07-14 Thomson Consumer Electronics, Inc. Customizable menu for a television receiver accessed via a remote control keyboard
EP0737007A2 (en) 1995-04-05 1996-10-09 Thomson Consumer Electronics, Inc. A television receiver using received channel guide information and a secondary video signal processor for displaying secondary channel information
EP0737006B2 (en) 1995-04-05 2008-03-05 Thomson Consumer Electronics, Inc. Customizable menu for a television receiver accessed via a remote control keyboard
US5852737A (en) 1995-04-24 1998-12-22 National Semiconductor Corporation Method and apparatus for operating digital static CMOS components in a very low voltage mode during power-down
US6191773B1 (en) 1995-04-28 2001-02-20 Matsushita Electric Industrial Co., Ltd. Interface apparatus
US5654748A (en) 1995-05-05 1997-08-05 Microsoft Corporation Interactive program identification system
US5682511A (en) 1995-05-05 1997-10-28 Microsoft Corporation Graphical viewer interface for an interactive network system
US5721829A (en) 1995-05-05 1998-02-24 Microsoft Corporation System for automatic pause/resume of content delivered on a channel in response to switching to and from that channel and resuming so that a portion of the content is repeated
US6014611A (en) 1995-05-30 2000-01-11 Kabushiki Kaisha Toshiba Cooling mode switching system for CPU
JPH08328698A (en) 1995-05-30 1996-12-13 Toshiba Corp Portable computer
JPH08331415A (en) 1995-05-31 1996-12-13 Internatl Business Mach Corp <Ibm> System and method for receiving/displaying video
US5696985A (en) 1995-06-07 1997-12-09 International Business Machines Corporation Video processor
JPH096947A (en) 1995-06-23 1997-01-10 Toyota Central Res & Dev Lab Inc Object tracking device
US5719800A (en) * 1995-06-30 1998-02-17 Intel Corporation Performance throttling to reduce IC power consumption
US5920572A (en) 1995-06-30 1999-07-06 Divicom Inc. Transport stream decoder/demultiplexer for hierarchically organized audio-video streams
US5790877A (en) 1995-07-06 1998-08-04 Hitachi, Ltd. Method for controlling a processor for power-saving in a computer for executing a program, compiler medium and processor system
US6020912A (en) 1995-07-11 2000-02-01 U.S. Philips Corporation Video-on-demand system
US6147714A (en) 1995-07-21 2000-11-14 Sony Corporation Control apparatus and control method for displaying electronic program guide
US5996083A (en) 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
CN1154629A (en) 1995-08-17 1997-07-16 菲利浦电子有限公司 Television apparatus for MPEG-2 signal
EP0762769B1 (en) 1995-08-17 2000-05-17 Koninklijke Philips Electronics N.V. Television apparatus and integrated circuit for processing an MPEG-2 signal and converting an MPEG-1 signal into an MPEG-2 signal
US6226447B1 (en) 1995-08-18 2001-05-01 Matsushita Electric Industrial Co., Ltd. Video signal recording and reproducing apparatus
US5850470A (en) 1995-08-30 1998-12-15 Siemens Corporate Research, Inc. Neural network for locating and recognizing a deformable object
US8285109B2 (en) 1995-09-11 2012-10-09 Panasonic Corporation Video signal recording and reproducing apparatus
US5828895A (en) 1995-09-20 1998-10-27 International Business Machines Corporation Methods and system for predecoding instructions in a superscalar data processing system
US5745375A (en) 1995-09-29 1998-04-28 Intel Corporation Apparatus and method for controlling power usage
US6323911B1 (en) 1995-10-02 2001-11-27 Starsight Telecast, Inc. System and method for using television schedule information
US5973704A (en) 1995-10-09 1999-10-26 Nintendo Co., Ltd. Three-dimensional image processing apparatus
JPH09167260A (en) 1995-10-09 1997-06-24 Nintendo Co Ltd Three-dimensional image processor
US5713030A (en) 1995-10-11 1998-01-27 Vlsi Technology, Inc. Thermal management device and method for a computer processor
US6636635B2 (en) 1995-11-01 2003-10-21 Canon Kabushiki Kaisha Object extraction method, and image sensing apparatus using the method
WO1997019560A1 (en) 1995-11-21 1997-05-29 Imedia Corporation Method and apparatus for multiplexing and distributing data with preformatted real-time video
US6239810B1 (en) 1995-11-22 2001-05-29 Nintendo Co., Ltd. High performance low cost video game system with coprocessor providing high speed efficient 3D graphics and digital audio signal processing
US5774591A (en) 1995-12-15 1998-06-30 Xerox Corporation Apparatus and method for recognizing facial expressions and facial gestures in a sequence of images
US6111584A (en) 1995-12-18 2000-08-29 3Dlabs Inc. Ltd. Rendering system with mini-patch retrieval from local texture storage
US5808690A (en) 1996-01-02 1998-09-15 Integrated Device Technology, Inc. Image generation system, methods and computer program products using distributed processing
US5812860A (en) 1996-02-12 1998-09-22 Intel Corporation Method and apparatus providing multiple voltages and frequencies selectable based on real time criteria to control power consumption
US5784628A (en) 1996-03-12 1998-07-21 Microsoft Corporation Method and system for controlling power consumption in a computer system
KR0185947B1 (en) 1996-03-26 1999-05-01 김광호 Method of decoding the selection program of a digital satellite broadcasting receiver and apparatus thereof
US5968167A (en) 1996-04-04 1999-10-19 Videologic Limited Multi-threaded data processing management system
US5940785A (en) 1996-04-29 1999-08-17 International Business Machines Corporation Performance-temperature optimization by cooperatively varying the voltage and frequency of a circuit
US6047248A (en) 1996-04-29 2000-04-04 International Business Machines Corporation Performance-temperature optimization by cooperatively varying the voltage and frequency of a circuit
US20020054146A1 (en) 1996-05-20 2002-05-09 Masaharu Fukumoto Customized menu system for hierarchical menu and television system with the same
EP0840504A1 (en) 1996-05-20 1998-05-06 Sony Corporation Customized menu system for hierarchical menu and television system with the same
KR100191731B1 (en) 1996-05-28 1999-06-15 구자홍 A dvcr
US6108033A (en) 1996-05-31 2000-08-22 Hitachi Denshi Kabushiki Kaisha Method and system monitoring video image by updating template image
US5887179A (en) 1996-06-11 1999-03-23 Motorola, Inc. System power saving means and method
US5781783A (en) * 1996-06-28 1998-07-14 Intel Corporation Method and apparatus for dynamically adjusting the power consumption of a circuit block within an integrated circuit
US5828370A (en) 1996-07-01 1998-10-27 Thompson Consumer Electronics Inc. Video delivery system and method for displaying indexing slider bar on the subscriber video screen
US5873000A (en) 1996-07-19 1999-02-16 Compaq Computer Corporation System incorporating hot docking and undocking capabilities without requiring a standby or suspend mode by placing local arbiters of system and base into idle state
US5774704A (en) 1996-07-29 1998-06-30 Silicon Graphics, Inc. Apparatus and method for dynamic central processing unit clock adjustment
US6151059A (en) 1996-08-06 2000-11-21 Starsight Telecast, Inc. Electronic program guide with interactive areas
US6105127A (en) 1996-08-27 2000-08-15 Matsushita Electric Industrial Co., Ltd. Multithreaded processor for processing multiple instruction streams independently of each other by flexibly controlling throughput in each instruction stream
US5881298A (en) 1996-09-05 1999-03-09 Micron Technology, Inc. Portable computer with selectively operable cooling unit
US5881245A (en) 1996-09-10 1999-03-09 Digital Video Systems, Inc. Method and apparatus for transmitting MPEG data at an adaptive data rate
US5958055A (en) * 1996-09-20 1999-09-28 Vlsi Technology, Inc. Power management system for a computer
US5886690A (en) 1996-10-31 1999-03-23 Uniden America Corporation Program schedule user interface
US6184877B1 (en) 1996-12-11 2001-02-06 International Business Machines Corporation System and method for interactively accessing program information on a television
US5832284A (en) 1996-12-23 1998-11-03 International Business Machines Corporation Self regulating temperature/performance/voltage scheme for micros (X86)
US6119241A (en) 1996-12-23 2000-09-12 International Business Machines Corporation Self regulating temperature/performance/voltage scheme for micros (X86)
US6111517A (en) 1996-12-30 2000-08-29 Visionics Corporation Continuous video monitoring using face recognition for access control
US5884049A (en) 1996-12-31 1999-03-16 Compaq Computer Corporation Increased processor performance comparable to a desktop computer from a docked portable computer
US6105142A (en) 1997-02-11 2000-08-15 Vlsi Technology, Inc. Intelligent power management interface for computer system hardware
US5850218A (en) 1997-02-19 1998-12-15 Time Warner Entertainment Company L.P. Inter-active program guide with default selection control
US6128653A (en) 1997-03-17 2000-10-03 Microsoft Corporation Method and apparatus for communication media commands and media data using the HTTP protocol
US6141003A (en) 1997-03-18 2000-10-31 Microsoft Corporation Channel bar user interface for an entertainment system
US6076171A (en) 1997-03-28 2000-06-13 Mitsubishi Denki Kabushiki Kaisha Information processing apparatus with CPU-load-based clock frequency
US5898849A (en) 1997-04-04 1999-04-27 Advanced Micro Devices, Inc. Microprocessor employing local caches for functional units to store memory operands used by the functional units
US6185641B1 (en) 1997-05-01 2001-02-06 Standard Microsystems Corp. Dynamically allocating space in RAM shared between multiple USB endpoints and USB host
US6195753B1 (en) 1997-06-09 2001-02-27 Nec Corporation Information processing apparatus with reduced power consumption
US6185314B1 (en) 1997-06-19 2001-02-06 Ncr Corporation System and method for matching image information to object model information
US6219723B1 (en) * 1997-06-25 2001-04-17 Sun Microsystems, Inc. Method and apparatus for moderating current demand in an integrated circuit processor
US6151681A (en) 1997-06-25 2000-11-21 Texas Instruments Incorporated Dynamic device power management
US5978864A (en) 1997-06-25 1999-11-02 Sun Microsystems, Inc. Method for thermal overload detection and prevention for an intergrated circuit processor
US6928559B1 (en) 1997-06-27 2005-08-09 Broadcom Corporation Battery powered device with dynamic power and performance management
US7376848B2 (en) 1997-06-27 2008-05-20 Broadcom Corporation Battery powered device with dynamic power and performance management
US6252598B1 (en) 1997-07-03 2001-06-26 Lucent Technologies Inc. Video hand image computer interface
US6784879B1 (en) 1997-07-14 2004-08-31 Ati Technologies Inc. Method and apparatus for providing control of background video
US5958058A (en) 1997-07-18 1999-09-28 Micron Electronics, Inc. User-selectable power management interface with application threshold warnings
USRE43184E1 (en) 1997-07-22 2012-02-14 Lg Electronics Inc. Method of application menu selection and activation using image cognition
US5978923A (en) * 1997-08-07 1999-11-02 Toshiba America Information Systems, Inc. Method and apparatus for a computer power management function including selective sleep states
US6397000B1 (en) 1997-09-09 2002-05-28 Hitachi, Ltd. Digital signal recording devices, digital signal recording/ playback devices, and digital signal receiving/recording/playback devices
US7197229B2 (en) 1997-09-09 2007-03-27 Hitachi, Ltd. Digital signal recording devices, digital signal recording/playback devices, and digital signal receiving/recording/playback devices
US6327418B1 (en) 1997-10-10 2001-12-04 Tivo Inc. Method and apparatus implementing random access and time-based functions on a continuous stream of formatted digital data
WO1999019805A1 (en) 1997-10-10 1999-04-22 Rambus Incorporated Method and apparatus for two step memory write operations
US6252878B1 (en) * 1997-10-30 2001-06-26 Cisco Technology, Inc. Switched architecture access server
US6026186A (en) 1997-11-17 2000-02-15 Xerox Corporation Line and curve detection using local information
US6591058B1 (en) 1997-12-23 2003-07-08 Intel Corporation Time shifting by concurrently recording and playing a data stream
US8463110B2 (en) 1997-12-23 2013-06-11 Intel Corporation Storage of video stream multiplexed with random access playback after variable time delay
US6073244A (en) 1997-12-24 2000-06-06 Mitsubishi Denki Kabushiki Kaisha Power-saving clock control apparatus and method
US6490000B1 (en) 1997-12-24 2002-12-03 Echostar Communications Corporation Method and apparatus for time shifting and controlling broadcast audio and video signals
US6295321B1 (en) 1997-12-29 2001-09-25 Lg Electronics Inc. Video decoding method, video decoder and digital TV system using the video decoding method and video decoder
KR100301826B1 (en) 1997-12-29 2001-10-27 구자홍 Video decoder
US6122676A (en) 1998-01-07 2000-09-19 National Semiconductor Corporation Apparatus and method for transmitting and receiving data into and out of a universal serial bus device
US6724915B1 (en) 1998-03-13 2004-04-20 Siemens Corporate Research, Inc. Method for tracking a video object in a time-ordered sequence of image frames
US6788710B1 (en) 1998-03-19 2004-09-07 Thomson Licensing S.A. Auxiliary data insertion in a transport datastream
US6421463B1 (en) 1998-04-01 2002-07-16 Massachusetts Institute Of Technology Trainable system to search for objects in images
US6275782B1 (en) 1998-05-05 2001-08-14 Advanced Micro Devices, Inc. Non-intrusive performance monitoring
US7272298B1 (en) 1998-05-06 2007-09-18 Burst.Com, Inc. System and method for time-shifted program viewing
US6513124B1 (en) 1998-05-20 2003-01-28 International Business Machines Corporation Method and apparatus for controlling operating speed of processor in computer
US6128745A (en) 1998-05-28 2000-10-03 Phoenix Technologies Ltd. Power management inactivity monitoring using software threads
US6266715B1 (en) 1998-06-01 2001-07-24 Advanced Micro Devices, Inc. Universal serial bus controller with a direct memory access mode
US6208361B1 (en) 1998-06-15 2001-03-27 Silicon Graphics, Inc. Method and system for efficient context switching in a computer graphics system
US6650327B1 (en) 1998-06-16 2003-11-18 Silicon Graphics, Inc. Display system having floating point rasterization and floating point framebuffering
JP2000010673A (en) 1998-06-25 2000-01-14 Hitachi Ltd Active maintenance system for information processor
US6233389B1 (en) 1998-07-30 2001-05-15 Tivo, Inc. Multimedia time warping system
US7529465B2 (en) 1998-07-30 2009-05-05 Tivo Inc. System for time shifting multimedia content streams
US6141762A (en) 1998-08-03 2000-10-31 Nicol; Christopher J. Power reduction in a multiprocessor digital signal processor based on processor load
US6542621B1 (en) 1998-08-31 2003-04-01 Texas Instruments Incorporated Method of dealing with occlusion when tracking multiple objects and people in video sequences
US6535798B1 (en) 1998-12-03 2003-03-18 Intel Corporation Thermal management in a system
US20010003206A1 (en) 1998-12-03 2001-06-07 Edwin J. Pole Managing a system's performance state
US6353628B1 (en) * 1998-12-15 2002-03-05 Nortel Networks Limited Apparatus, method and system having reduced power consumption in a multi-carrier wireline environment
US6639998B1 (en) 1999-01-11 2003-10-28 Lg Electronics Inc. Method of detecting a specific object in an image signal
US6564329B1 (en) * 1999-03-16 2003-05-13 Linkup Systems Corporation System and method for dynamic clock generation
EP1039750A2 (en) 1999-03-26 2000-09-27 Matsushita Electric Industrial Co., Ltd. Video storage and retrieval apparatus
US7024100B1 (en) 1999-03-26 2006-04-04 Matsushita Electric Industrial Co., Ltd. Video storage and retrieval apparatus
US6363490B1 (en) 1999-03-30 2002-03-26 Intel Corporation Method and apparatus for monitoring the temperature of a processor
US6789037B2 (en) 1999-03-30 2004-09-07 Intel Corporation Methods and apparatus for thermal management of an integrated circuit die
US6510400B1 (en) 1999-03-31 2003-01-21 Nec Corporation Temperature control circuit for central processing unit
US6345362B1 (en) 1999-04-06 2002-02-05 International Business Machines Corporation Managing Vt for reduced power using a status table
US7363472B2 (en) 1999-04-09 2008-04-22 Clearspeed Technology Limited Memory access consolidation for SIMD processing elements having access indicators
US6762797B1 (en) 1999-04-14 2004-07-13 Koninklijke Philips Electronics N.V. Method and apparatus for catch-up video viewing
US6545683B1 (en) 1999-04-19 2003-04-08 Microsoft Corporation Apparatus and method for increasing the bandwidth to a graphics subsystem
US6535905B1 (en) 1999-04-29 2003-03-18 Intel Corporation Method and apparatus for thread switching within a multithreaded processor
US6425086B1 (en) * 1999-04-30 2002-07-23 Intel Corporation Method and apparatus for dynamic power control of a low power processor
US6647502B1 (en) 1999-07-13 2003-11-11 Sony Corporation Method and apparatus for providing power based on the amount of data stored in buffers
US6442700B1 (en) * 1999-08-10 2002-08-27 Intel Corporation Thermal control within systems having multiple CPU performance states
US6470290B1 (en) 1999-08-31 2002-10-22 Lg Electronics, Inc. Device having an improved apparatus and method for setting power management mode
US6952520B1 (en) 1999-09-06 2005-10-04 Nec Corporation Picture recorder/reproducer and method thereof
US6573900B1 (en) 1999-12-06 2003-06-03 Nvidia Corporation Method, apparatus and article of manufacture for a sequencer in a transform/lighting module capable of processing multiple independent execution threads
US7366407B2 (en) 1999-12-16 2008-04-29 Sharp Laboratories Of America, Inc. Method and apparatus for storing MPEG-2 transport streams using a conventional digital video recorder
US6564328B1 (en) * 1999-12-23 2003-05-13 Intel Corporation Microprocessor with digital power throttle
US7100061B2 (en) 2000-01-18 2006-08-29 Transmeta Corporation Adaptive power control
US20030188212A1 (en) 2000-02-14 2003-10-02 Opher Kahn A method and apparatus for resuming memory operations upon exit from a low latency wake-up low power state
US6407595B1 (en) 2000-04-04 2002-06-18 Silicon Integrated Systems Corp. Digital clock throttling means
US6630935B1 (en) 2000-04-21 2003-10-07 Ati International, Srl Geometric engine including a computational module for use in a video graphics controller
US6731289B1 (en) 2000-05-12 2004-05-04 Microsoft Corporation Extended range pixel display system and method
US6728862B1 (en) 2000-05-22 2004-04-27 Gazelle Technology Corporation Processor array and parallel data processing methods
US7039755B1 (en) 2000-05-31 2006-05-02 Advanced Micro Devices, Inc. Method and apparatus for powering down the CPU/memory controller complex while preserving the self refresh state of memory in the system
US6717599B1 (en) 2000-06-29 2004-04-06 Microsoft Corporation Method, system, and computer program product for implementing derivative operators with graphics hardware
US6636976B1 (en) * 2000-06-30 2003-10-21 Intel Corporation Mechanism to control di/dt for a microprocessor
US6724825B1 (en) 2000-09-22 2004-04-20 General Instrument Corporation Regeneration of program clock reference data for MPEG transport streams
US6608476B1 (en) * 2000-09-26 2003-08-19 Sun Microsystems, Inc. Method and apparatus for reducing power consumption
US7174194B2 (en) 2000-10-24 2007-02-06 Texas Instruments Incorporated Temperature field controlled scheduling for processing systems
US7095945B1 (en) 2000-11-06 2006-08-22 Ati Technologies, Inc. System for digital time shifting and method thereof
US6829713B2 (en) * 2000-12-30 2004-12-07 Intel Corporation CPU power management based on utilization with lowest performance mode at the mid-utilization range
US20020112193A1 (en) 2001-02-09 2002-08-15 International Business Machines Corporation Power control of a processor using hardware structures controlled by a compiler with an accumulated instruction profile
USRE47420E1 (en) * 2001-03-02 2019-06-04 Advanced Micro Devices, Inc. Performance and power optimization via block oriented performance measurement and control
US7516334B2 (en) 2001-03-22 2009-04-07 Sony Computer Entertainment Inc. Power management for processing modules
US6845456B1 (en) 2001-05-01 2005-01-18 Advanced Micro Devices, Inc. CPU utilization measurement techniques for use in power management
US6901522B2 (en) 2001-06-07 2005-05-31 Intel Corporation System and method for reducing power consumption in multiprocessor system
US7174467B1 (en) 2001-07-18 2007-02-06 Advanced Micro Devices, Inc. Message based power management in a multi-processor system
US20030030326A1 (en) 2001-08-10 2003-02-13 Shakti Systems, Inc. Distributed power and supply architecture
US6804632B2 (en) 2001-12-06 2004-10-12 Intel Corporation Distribution of processing activity across processing hardware based on power consumption considerations
US20030110012A1 (en) 2001-12-06 2003-06-12 Doron Orenstien Distribution of processing activity across processing hardware based on power consumption considerations
US6889332B2 (en) 2001-12-11 2005-05-03 Advanced Micro Devices, Inc. Variable maximum die temperature based on performance state
US6978389B2 (en) 2001-12-20 2005-12-20 Texas Instruments Incorporated Variable clocking in an embedded symmetric multiprocessor system
US20030122429A1 (en) 2001-12-28 2003-07-03 Zhang Kevin X. Method and apparatus for providing multiple supply voltages for a processor
US6922783B2 (en) 2002-01-16 2005-07-26 Hewlett-Packard Development Company, L.P. Method and apparatus for conserving power on a multiprocessor integrated circuit
US6976182B1 (en) 2002-02-01 2005-12-13 Advanced Micro Devices, Inc. Apparatus and method for decreasing power consumption in an integrated circuit
US7100060B2 (en) 2002-06-26 2006-08-29 Intel Corporation Techniques for utilization of asymmetric secondary processing resources
US20040003301A1 (en) 2002-06-28 2004-01-01 Nguyen Don J. Methods and apparatus to control processor performance to regulate heat generation
US7081895B2 (en) 2002-07-18 2006-07-25 Nvidia Corporation Systems and methods of multi-pass data processing
US6954204B2 (en) 2002-07-18 2005-10-11 Nvidia Corporation Programmable graphics system and method using flexible, high-precision data formats
US6825843B2 (en) 2002-07-18 2004-11-30 Nvidia Corporation Method and apparatus for loop and branch instructions in a programmable graphics pipeline
US7100056B2 (en) 2002-08-12 2006-08-29 Hewlett-Packard Development Company, L.P. System and method for managing processor voltage in a multi-processor computer system for optimized performance
US7144152B2 (en) 2002-08-23 2006-12-05 Intel Corporation Apparatus for thermal management of multiple core microprocessors
US7321369B2 (en) 2002-08-30 2008-01-22 Intel Corporation Method and apparatus for synchronizing processing of multiple asynchronous client queues on a graphics controller device
US7313706B2 (en) 2002-09-17 2007-12-25 Hewlett-Packard Development Company, L.P. System and method for managing power consumption for a plurality of processors based on a supply voltage to each processor, temperature, total power consumption and individual processor power consumption
US7028196B2 (en) 2002-12-13 2006-04-11 Hewlett-Packard Development Company, L.P. System, method and apparatus for conserving power consumed by a system having a processor integrated circuit
US20040117678A1 (en) 2002-12-13 2004-06-17 Soltis Donald C. System, method and apparatus for conserving power consumed by a system having a processor integrated circuit
US6711447B1 (en) 2003-01-22 2004-03-23 Intel Corporation Modulating CPU frequency and voltage in a multi-core CPU architecture
US7085945B2 (en) 2003-01-24 2006-08-01 Intel Corporation Using multiple thermal points to enable component level power and thermal management
US7673304B2 (en) 2003-02-18 2010-03-02 Microsoft Corporation Multithreaded kernel for graphics processing unit
US7475175B2 (en) 2003-03-17 2009-01-06 Hewlett-Packard Development Company, L.P. Multi-processor module
US7233335B2 (en) 2003-04-21 2007-06-19 Nividia Corporation System and method for reserving and managing memory spaces in a memory resource
US7051306B2 (en) 2003-05-07 2006-05-23 Mosaid Technologies Corporation Managing power on integrated circuits using power islands
US20050046400A1 (en) 2003-05-21 2005-03-03 Efraim Rotem Controlling operation of a voltage supply according to the activity of a multi-core integrated circuit component or of multiple IC components
US20040260958A1 (en) 2003-06-20 2004-12-23 Sami Issa Integrated circuit dynamic parameter management in response to dynamic energy evaluation
US7015913B1 (en) 2003-06-27 2006-03-21 Nvidia Corporation Method and apparatus for multithreaded processing of data in a programmable graphics processor
US7038685B1 (en) 2003-06-30 2006-05-02 Nvidia Corporation Programmable graphics processor for multithreaded execution of programs
US7134031B2 (en) 2003-08-04 2006-11-07 Arm Limited Performance control within a multi-processor system
US7742053B2 (en) 2003-09-29 2010-06-22 Ati Technologies Ulc Multi-thread graphics processing system
US7206966B2 (en) 2003-10-22 2007-04-17 Hewlett-Packard Development Company, L.P. Fault-tolerant multi-core microprocessing
US6897871B1 (en) 2003-11-20 2005-05-24 Ati Technologies Inc. Graphics processing architecture employing a unified shader
US7327369B2 (en) 2003-11-20 2008-02-05 Ati Technologies Inc. Graphics processing architecture employing a unified shader
US7428645B2 (en) 2003-12-29 2008-09-23 Marvell International, Ltd. Methods and apparatus to selectively power functional units
US7636863B2 (en) 2004-01-13 2009-12-22 Lg Electronics Inc. Apparatus for controlling power of processor having a plurality of cores and control method of the same
US7249268B2 (en) 2004-06-29 2007-07-24 Intel Corporation Method for performing performance optimization operations for a processor having a plurality of processor cores in response to a stall condition
US7966511B2 (en) 2004-07-27 2011-06-21 Intel Corporation Power management coordination in multi-core processors
US7437581B2 (en) 2004-09-28 2008-10-14 Intel Corporation Method and apparatus for varying energy per instruction according to the amount of available parallelism
US7502948B2 (en) 2004-12-30 2009-03-10 Intel Corporation Method, system, and apparatus for selecting a maximum operation point based on number of active cores and performance level of each of the active cores
US7664971B2 (en) 2005-06-10 2010-02-16 Lg Electronics Inc. Controlling power supply in a multi-core processor

Non-Patent Citations (204)

* Cited by examiner, † Cited by third party
Title
"8237/8237-2 High Performance Programmable DMA Controller," Component Data Catalog, Intel Corporation, Jan. 1981; 23 pages.
"Advanced Power Configuration and Power Interface Specification," Revision 2.0, Compaq Computer Corporation, Intel Corporation, Microsoft Corporation, Phoenix Technologies, Ltd., Toshiba Corporation, Jul. 27, 2000; 481 pages.
"Am186TMED/EDLV High Performance, 80C186- and 80C188-Compatible, 16-Bit Embedded Microcontrollers," Advanced Micro Devices, Inc., May 1997; 88 pages.
"AMD Boosts Battery Life for Notebook Computers with AMD PowerNow! Technology," AMD, Inc., Press Release, Jun. 26, 2000, archived by the Internet Archive on Aug. 15, 2000, at https://web.archive.org/web/20000815210041/http://www.amd.com/news/prodpr/20119.html; 2 pages.
"AMD Introduces 0.18 Micron Mobile AMD-K6-III+ and Mobile AMD-K6-2+ Processors," AMD, Inc., Press Release, Apr. 18, 2000, archived by the Internet Archive on Jun. 17, 2000, at https://web.archive.org/web/20000617082528/http://www.amd.com/news/prodpr/20083.html; 2 pages.
"AMD Introduces Powerful and Power Friendly AMD-K6-2E+, AMD-K6-IIIE+ Embedded Processors," AMD, Inc., Press Release, Sep. 25, 2000, archived by the Internet Archive on Oct. 17, 2000, at https://web.archive.org/web/20001017162155/http://www.amd.com/news/prodpr/20138.html; 3 pages.
"AMD PowerNow! Technology Dynamically Manages Power and Performance," AMD, Inc., Informational White Paper, Publication No. 24404, Rev. A, Nov. 2000; 18 pages.
"AMD PowerNow! Technology Platform Design Guide for Embedded Processors, Application Note," AMD, Inc., Publication No. 24267, Rev. A, Dec. 2000.
"AMD-762 System Controller Data Sheet," AMD, Inc., Publication No. 24416, Rev. C, Dec. 2001; 122 pages.
"AMD-K6-IIIE+ Embedded Processor Data Sheet," AMD, Inc., Publication No. 23543, Rev. A, Sep. 2000; 370 pages.
"Analogue Dialogue, a forum for the exchange of circuits, systems, and software for real-world signal processing," vol. 34, Analog Devices, Inc., 2000; 58 pages.
"Hardware Maintenance Service for Service Level I, Machine Types 2144 and 2168 and IBM Monitors," IBM Corporation, Dec. 1995; 246 pages.
"History," TiVo, archived on Aug. 7, 2013 by the Internet Archive at http://www3.tivo.com/jobs/questions/history-of-tivo/index.html; 2 pages.
"i860 64-Bit Microprocessor Hardware Design Guide," Intel Corporation, Feb. 1989; 219 pages.
"IBM Aptiva" Wikipedia, available at http://en.wikipedia.org/wiki/IBM_Aptiva, accessed Sep. 24, 2014; 3 pages.
"Intel 386 SL Microprocessor SuperSet Programmer's Reference Manual," Order No. 240815-001, Intel Corporation, 1990; 468 pages.
"Intel Announces New Microarchitecture For Wireless And Internet Infrastructure Applications," Intel Corporation Press Release, Aug. 23, 2000, archived by the Internet Archive at <http://web.archive.org/web/20001208135800/http://www.intel.com/pressroom/archive/releases/em082300.htm>; 3 pages.
"Intel Demonstrates ‘Geyserville’ Technology—Bringing Near Desktop Performance to Mobile PCs," Intel Corporation Press Release, Feb. 24, 1999, archived by the Internet Archive at <http://web.archive.org/http://developer.intel.com/pressroom/archive/releases/mp022499.htm>; 3 pages.
"Intel Pentium II Processor Mobile Module: Mobile Module Connector 2 (MMC-2)," Intel Corporation, Order No. 243668-002, Aug. 1998; 56 pages.
"Introduction to the Intel386 SL Microprocessor SuperSet Technical Overview," Intel Corporation, Order No. 2408952-002, 1991; 99 pages.
"Microsoft DirectX 8.1 (C++) Programmers Guide," Microsoft Corporation, Jun. 17, 2002; 406 pages.
"Mobile AMD-K6-2+ Processor Data Sheet," AMD, Inc., Publication No. 23446, Rev. B, Jul. 2000; 332 pages.
"Mobile Intel Pentium III Processor in BGA2 and Micro-PGA2 Packages," Intel Corporation Data Sheet, Order No. 249562-001, 2001; 84 pages.
"NetShow," Wikipedia, available at http://en.wikipedia.org/wiki/NetShow, accessed Sep. 24, 2014; 2 pages.
"Notebooks with Transmeta chip arrive in U.S.," CNET, Jan. 2, 2002, accessible at http://www.cnet.com/news/notebooks-with-transmeta-chip-arrive-in-u-s; 6 pages.
"Our History," AMD, Inc., accessible at http://www.amd.com/en-us/who-we-are/corporate-information/history, last accessed Aug. 4, 2016; 16 pages.
"PERMEDIA Testimonials Oct. 1995", Oct. 1995, available at http://www.thefreelibrary.com/PERMEDIA+ Testimonials+Oct+95.-a017424284; 5 pages.
"Philips HDR110", Tivopedia.com, archived on Feb. 28, 2014 by the Internet Archive at https://web.archive.org/web/20140228205013/http://www.tivopedia.com/model-philips-hdr110.php; 3 pages.
"PowerPC MPC823 Users Manual, The Microprocessor for Mobile Computing," Motorola, Inc. (now Freescale Semiconductor, Inc.), Apr. 1998; 1357 pages.
"Press Kit", Frox Inc.
"RealPlayer 5.0, Release Notes," RealNetworks Inc., 1996, available at http://service.real.com/help/player/free5.0/notes.htm; 7 pages.
"RFC: 793, Transmission Control Protocol, Darpa Internet Program Protocol Specification," Information Sciences Institute University of Southern California, Sep. 1981; 90 pages.
"SL-11 USB Controller Technical Reference, Revision 1.11," ScanLogic Corporation (now Cypress Semiconductor Corporation), Jan. 1997; 57 pages.
"SL-11 USB Controller Technical Reference," Scanlogic Corporation (now Cypress Semiconductor Corporation), Dec. 1996; 56 pages.
"SL11RUSB Hardware Specification Technical Reference," Revision 1.20, Scanlogic Corporation, Jul. 14, 1998; 47 pages.
"SL11-R-USB RISC Processor Product Information, Revision 1.0," ScanLogic Corporation (now Cypress Semiconductor Corporation), Aug. 1997; 2 pages.
"SN54153, SN54LS153, SN54S153 SN74153, SN74LS153, SN74S153 Dual 4-Line to 1-Line Data Selectors/Multiplexers," Texas Instruments, Mar. 1988; 4 pages.
"ST72671 Product Preview," SGS—Thomson Microelectronics (now STMicroelectronics), Rev. 1.1. Mar. 1998: 101 pages.
"Standard Microsystems Corporation Reports Net Income from Continuing Operations for the Third Quarter of Fiscal 1998," Business Wire, Dec. 16, 1997; 5 pages.
"Transmeta Breaks the Silence, Unveils Smart Processor to Revolutionize Mobile Internet Computing ," Crusoe, Jan. 19, 2000, archived by the Internet Archive at https://web.archive.org/web/20000817082320/http://www.transmeta.com/press/011900-1.html; 3 pages.
"Transmeta Breaks the Silence, Unveils Smart Processor to Revolutionize Mobile Internet Computing," Crusoe Press Release, Jan. 19, 2000, archived by the Internet Archive on Aug. 17, 2000 at https://web.archive.org/web/20000817082320/http://www.transmeta.com/press/011900-1.html; 3 pages.
"Universal Serial Bus Specification", Revision 1.0, Compaq Computer Corporation, Digital Equipment Corporation, IBM PC Company, Intel Corporation, Microsoft Corporation, NEC, Northern Telecom, Jan. 15, 1996; 268 pages.
"USB Products Keep Rollin," EDN Network Magazine, Nov. 7, 1996; 2 pages.
"USB97C100 Advance Information, Multi-Endpoint USB Peripheral Controller," Standard Microsystems Corporation, Feb. 11, 1998; 80 pages.
"USBFC (USB Function Controller) EIFUFAL501 User's Manual," Rev. 2.0, Seiko Epson Corporation, Mar. 24, 1998; 35 pages.
"USBFC (USB Function Controller) EIFUFAL501 User's Manual," Revision 2.0, Seiko Epson Corporation, Mar. 24, 1998; 35 pages.
"USBN9602 (Universal Serial Bus) Full Speed Function Controller with DMA Support," National Semiconductor Corporation (now Texas Instruments), Nov. 1998; 47 pages.
Advanced Configuration and Power Interface Specification, Revision 1.0b, Intel Corporation, Microsoft, and Toshiba, Feb. 2, 1999; 397 pages.
Advanced Configuration and Power Interface Specification, Revision 2.0, Intel Corporation, Microsoft Corporation, Phoenix Technologies Ltd., Toshiba Corporation, Jul. 27, 2000; 481 pages.
Advanced Configuration and Power Interface Specification, Revision 2.0c, Compaq Computer Corporation, Intel Corporation, Microsoft Corporation, Phoenix Technologies Ltd., Toshiba Corporation, Aug. 25, 2003; 518 pages.
Advanced Configuration and Power Interface Specification, Revision 3.0, Compaq Computer Corporation, Intel Corporation, Microsoft Corporation, Phoenix Technologies Ltd., Toshiba Corporation, Sep. 2, 2004; 618 pages.
Araki, et al., "Video DSP Architecture for MPEG 2 Codec," Proceedings of 1994 IEEE International Conference on Acoustics, Speech, and Signal Processing, vol. 2, Apr. 1994; 4 pages.
Bajaj, et al., "Parallel Multi-PC Volume Rendering System," University of Texas at Austin, 2002; 6 pages.
Bellosa, F., "OS-Directed Throttling of Processor Activity for Dynamic Power Management," Technical Report, Friedrich-Alexander-University, Computer Science Department, Jun. 1999; 7 pages.
Benini, et al., "A Survey of Design Techniques for System-Level Dynamic Power Management," IEEE Transaction on Very Large Scale Integration (VLSI) Systems, vol. 8, No. 3, Jun. 2000; pp. 299-316.
Beymer, D., "Pose-Invariant Face Recognition Using Real and Virtual Views", Massachusetts Institute of Technology Artificial Intelligence Laboratory, A.I. Technical Report No. 1574, Mar. 1996; 186 pages.
Boleyn, et al., "A Split Data Cache for Superscalar Processors", Proceedings of the IEEE International Conference on Computer Design: VLSI in Computers & Processors, Oct. 1993; 8 pages.
Bolt, R., "‘Put-That-There’: Voice and Gesture at the Graphics Interface," Architecture Machine Group, Massachusetts Institute of Technology, 1980; 9 pages.
Boyd, C., "Chapter 5: DirectX," SIGGRAPH 2002; 50 pages.
Burd, et al., "WA 17.4 A Synamic Voltage Scaled Microprocessor System," IEEE International Solid-State Circuits Conference, 2000; 3 pages.
Chapman, M., "The Benefits of Dual-Core Processors in High-Performance Computing," IBM Systems and Technology Group, Jun. 2005; 18 pages.
Cortes, et al., "The SICMA Teleteaching Trial on ADSL and Intranet Networks," 4th European Conference on Multimedia Applications, Services, and Techniques. 1999; 14 pages.
Crockett, et al., "A MIMD Rendering Algorithm for Distributed Memory Architectures," IEEE, Oct. 1993; 8 pages.
Crockett, et al., "Parallel Polygon Rendering for Message-Passing Architectures," vol. 2, No. 2, IEEE Parallel & Distributed Technology, 1994; 12 pages.
Crusoe Processor Model TM5400, Transmeta Corporation, Jan. 18, 2000; 7 pages.
Crusoe Processor Model TM5800, Transmeta Corporation, Jul. 5, 2001; 8 pages.
Curriculum Vitae for Bill Gervasi, available at http://www.discobolusdesigns.com/personal/resume.html, last accessed Aug. 26, 2016; 3 pages.
Dalal, et al., "48-bit Absolute Internet and Ethernet Host Numbers," Xerox Corporation, Jul. 1981; 18 pages.
Darrell, et al., "Integrated Person Tracking Using Stereo, Color, and Pattern Detection," Conference on Computer Vision and Pattern Recognition, Jun. 23-25, 1998; pp. 601-609.
Definition of "live", Merriam-Webster's Online Dictionary, available at http://www.merriam-webster.com/dictionary/live; 3 pages.
Duarte, et al., "Evaluating Run-Time Techniques for Leakage Power Reduction," VLSI Design/ASPDAC 2002; 30 pages.
Eggers, et al., "Simultaneous Multithreading: A Platform for Next-Generation Processors," WRL Technical Note TN-52, Digital Western Research Laboratory; 26 pages.
Ellsworth, D., "Polygon Rendering for Interactive Visualization on Multicomputers," the University of North Carolina at Chapel Hill, Doctoral Dissertation, 1996; 236 pages.
England, N., "Graphics System Architecture for Interactive Application-Specific Display Functions," 1986.
England, N., "Graphics-Intensive Applications Get a Boost, Application Acceleration: Development of the TAAC-1," 1988.
English-language Abstract of Chinese Patent Application Publication No. 1154629 A, published Jul. 16, 1997; 1 page.
English-language Abstract of European Patent Application Publication No. 0762769 A1, published Mar. 12, 1997; 1 page.
English-language Abstract of Japanese Patent Application Publication No. 05-252439, published Sep. 28, 1993; 2 pages.
English-language Abstract of Japanese Patent Application Publication No. 06-153017, published May 31, 1994; 2 pages.
English-language Abstract of Japanese Patent Application Publication No. 06-217271, published Aug. 5, 1994; 2 pages.
English-language Abstract of Japanese Patent Application Publication No. 07-154626, published Jun. 16, 1995; 2 pages.
English-language Abstract of Japanese Patent Application Publication No. 07-222027, published Aug. 18, 1995; 2 pages.
English-language Abstract of Japanese Patent Application Publication No. 07-281666, published Oct. 27, 1995; 2 pages.
English-language Abstract of Japanese Patent Application Publication No. 08-106421, published Apr. 23, 1996; 2 pages.
English-language Abstract of Japanese Patent Application Publication No. 08-331415, published Dec. 13, 1996; 2 pages.
English-language Abstract of Japanese Patent Application Publication No. 09-006947, published Jan. 10, 1997; 2 pages.
English-language Abstract of Japanese Patent Application Publication No. 2000-10673A, published Jan. 14, 2000; 2 pages.
English-language Abstract of Korean Patent Application Publication No. 100185947, published Dec. 28, 1998; 2 pages.
English-language Abstract of Korean Patent Application Publication No. 100191731, published Jan. 26, 1999; 2 pages.
EPC-21/22 Hardware Reference, Radisys Corporation, Aug. 1993; 55 pages.
Excerpt from The Authoritative Dictionary of IEEE Standards Terms, Seventh Edition, 2000; pp. 458-459.
Excerpt from the IBM Dictionary of Computing, International Business Machines Corporation, 1994; p. 108.
Excerpts from Panda, et al., Power-efficient Design, New York: Springer, 2010; pp. 11-39.
Fleischmann, M., "Crusoe Power Management: Cutting x86 Operating Power Through LongRun," PowerPoint Presentation, 12th Hot Chips Symposium, Aug. 15, 2000; 21 pages.
Fleischmann, M., "Crusoe Power Management: Cutting x86 Operating Power Through LongRun," PowerPoint Presentation, Nikkei Electronics Design Conference 2000, May 30, 2000; 18 pages.
Fleischmann, M., "LongRung Power Management, Dynamic Power Management for Crusoe Processors," Transmeta Corporation, Jan. 17, 2001; 18 pages.
Fredlund, et al., "Film-to-Video Imaging; Concepts and Applications," Consumer Electronics 1990, IEEE 1990 International Conference, Jun. 1990; 8 pages.
Freeman, et al., "Television Control by Hand Gestures," Mitsubishi Electric Research Laboratories, Dec. 1994; 7 pages.
Fried, J., "Notebooks with Transmeta chip arrive in U.S.," CNET News, Oct. 25, 2000, archived by the Internet Archive at http://web.archive.org/web/20011101161522/http://news.cnet.com/news/0-1006-200-3294237.html; 2 pages.
Gavrilla, et al., "Real-Time Object Detection for ‘Smart’ Vehicles," Proceedings of the International Conference on Computer Vision, 1999; 7 pages.
Geppert, et al., "Transmeta's Magic Show," IEEE Spectrum, May 2001; 8 pages.
Gervasi, B., "DDR SDRAM, the Memory of Choice for Mobile Computing," PowerPoint Presentation, Computex 2000 Conference, Jun. 2000; 22 pages.
Grimes, et al., "The Intel i860 64-Bit Processor: A General-Purpose CPU with 3D Graphics Capabilities," IEEE Computer Graphics and Applications, vol. 9, Issue 4, Jul. 1989; pp. 85-94.
Gruian, F., "System-Level Design Methods for Low-Energy Architectures Containing Variable Voltage Processors," Department of Computer Science, Lund University, 2001; 7 pages.
Halfhill, T., "Top PC Vendors Adopt Crusoe," Cahners Microprocessor Report, Jul. 10, 2000; 6 pages.
Halfhill, T., "Top PC Vendors Adopt Crusoe," Microprocessor Report, Jul. 10, 2000; 6 pages.
Halfhill, T., "Transmeta Breaks x86 Low-Power Barrier," Cahners Microprocessor Report, Feb. 14, 2000; 11 pages.
Halfhill, T., "Transmeta Breaks x86 Low-Power Barrier," Microprocessor Report, Feb. 14, 2000; 11 pages.
Hammond, et al., "Next Generation Itanium Processor Overview," Intel Developer's Forum, Aug. 27-30, 2001; 27 pages.
Hand, C., "A Survey of 3D Interaction Techniques," Computer Graphics Forum, vol. 16, No. 5, 1997; pp. 269-281.
Haritaoglu, I., "A Real Time System for Detection and Tracking of People and Recognizing Their Activities," European Conference on Computer Vision, Oct. 1998; 35 pages.
Harvey, A., et al., "Software Speedup Techniques for Binary Image Object Recognition," vol. 3, IEEE, 1991; 5 pages.
Hirata, et al., "An Elementary Processor Architecture with Simultaneous Instruction Issuing from Multiple Threads," Proceedings of the 19th Annual Symposium on Computer Architecture, May 1992; 10 pages.
Hong, et al., "Power Optimization of Variable-Voltage Core-Based Systems," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 18, No. 12, Dec. 1999; 13 pages.
Horowitz, et al., "Low-Power Digital Design," IEEE Symposium on Low Power Electronics, 1994; pp. 8-11.
Hu et al., "Microarchitectural Techniques for Power Gating of Execution Units," Proceedings of the International Symposium on Low Power Electronics and Design, 2004; pp. 32-37.
i860 Microprocessor Family Programmer's Reference Manual, 1991.
IBM Technical Disclosure Bulletin "Power Management Clock Change for 603 Processor", vol. 38, No. 12, Dec. 1995; 5 pages.
Intel Corporation 1990 Annual Report, Order No. 240946-001, Intel Corporation, 1990; 34 pages.
Intel Xscale Microarchitecture Technical Summary, Intel Corporation, 2000; 14 pages.
Intel® Microprocessor Quick Reference Guide, 1990; 34 pages.
Iyer, et al., "Power Aware Microarchitecture Resource Scaling," Proceedings of the Conference and Exhibition on Design, Automation, and Test in Europe, Mar. 13-16, 2001; pp. 190-196.
Iyer, et al., "Power Efficiency of Voltage Scaling in Multiple Clock, Multiple Voltage Cores," IEEE International Conference on Computer Aided Design, 2002; 8 pages.
Jebara, et al., "Parametrized Structure from Motion for 3D Adaptive Feedback Tracking of Faces," MIT Media Laboratory, Perceptual Computing Technical Report No. 401, Nov. 28, 1996; 8 pages.
Jebara, T., "3D Pose Estimation and Normalization for Face Recognition," Bachelor's Thesis, Department of Electrical Engineering, McGill University, May 1996; 138 pages.
Jepson, et al., "Robust Online Appearance Models for Visual Tracking" IEEE Transactions on Pattern Analysis and Machine Intelligence, vol. 25, No. 10, Oct. 2003; 16 pages.
Kalva, et al., "Implementing Multiplexing, Streaming and Server Interaction for MPEG-4," IEEE Transactions on Curcuits and Systems for Video Technology, vol. 9, No. 8, Dec. 1999; 14 pages.
Kapasi, et al., "Stream Scheduling," Stanford University Concurrent VLSI Architecture Memo 122, Proceedings of the 3rd Workshop on Media and Stream Processors, Dec. 2, 2001; 11 pages.
Katz, et al., "Dynamic Power Management Optimizes Performance vs. Power in Embedded Applications of Blackfin Processors," Analog Dialogue, vol. 36, No. 4, Jul.-Aug. 2002; 7 pages.
Khailany, et al., "Imagine: Media Processing with Streams," IEEE Micro, Mar.-Apr. 2001; 12 pages.
Kim, et al., "Long-Term Power Minimization of Dual-VT CMOS Circuits," IBM Thomas J. Watson Research Center, Jun. 20, 2002; 5 pages.
Kinghorn, J.R., "Enhanced On-Screen Displays for Simpler TV Control," IEEE Transactions on Consumer Electronics, vol. 38, No. 3, Aug. 1992; 9 pages.
Kjeldsen, et al., "Interaction with On-Screen Objects using Visual Gesture Recognition," IEEE Computer Society, Jun. 1997; 6 pages.
Kjeldsen, F., "Visual Interpretation of Hand Gestures as a Practical Interface Modality," Columbia University, Doctoral Dissertation, 1997; 178 pages.
Klaiber, A., "The Technology Behind Crusoe Processors, Low-Power x86-Compatible Processors Implemented with Code Morphing Software," Transmeta Corporation, Jan. 2000; 18 pages.
Kohn, et al., "Introducing the Intel i860 64-Bit Microprocessor," IEEE Micro, vol. 9, Issue 4, Jul. 1989; pp. 15-30.
Krueger, et al., "Videoplace—An Artificial Reality," Proceedings of the SIGCHI Conference on Human Factors in Computing Systems, Apr. 1985; pp. 35-40.
Lackey, et al., "Managing Power and Performance for System-on-Chip Designs using Voltage Islands" ("Lackey"), IBM Microelectronics Division, IEEE/ACM International Conference, 2002; 8 pages.
Lahan, et al., "Broadband Network Set-Top Box System," Tampere University of Technology, Oct. 25, 2000; 7 pages.
Laird, D., "Crusoe Processor Products and Technology," PowerPoint Presentation, Transmeta Corporation, Jan. 19, 2000; 23 pages.
Lee, et al. "An Intelligent Real-Time Multiple Moving Object Tracker" ("Lee 1988"), SPIE vol. 937, Applications of Artificial Intelligence VI, 1988; 8 pages.
Lee, et al., "Point & Pick User Interface for Projection Television," Consumer Electronics 1995, Jun. 1995; 2 pages.
Lefurgy, et al., "Energy Management for Commercial Servers", vol. 36, Issue 12, IBM Austin Research Lab, 2003; 10 pages.
Lenoski, et. al., "The DASH Prototype: Implementation and Performance," ACM, 1992; pp. 418-429.
Lewis, P., "Personal Computers; Innovative Portables From Zenith," New York Times, May 21, 1991; 3 pages.
Lewis, P., "Personal Computers; Innovative Portables From Zenith", The New York Times, May 21, 1991; 3 pages.
Lu, et al., "Operating-System Directed Power Reduction," Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000; pp. 37-42.
Maes, et al., "The ALIVE System: Wireless, Full-body Interaction with Autonomous Agents," M.I.T. Media Laboratory Perceptual Computing Technical Report No. 257, Nov. 1995; 17 pages.
Marculescu, D., "Application-Driven Processor Design Exploration for Power-Performance Trade-off Analysis," IEEE International Conference on Computer-Aided Design, 2001; pp. 306-313.
Marculescu, et al., "Adaptive Program Execution for Low Power in Superscalar Processors," University of Maryland, Department of Electrical and Computer Engineering, Oct. 1999; 13 pages.
Mattson, P., "A programming System for the Imagine Media Processor," Stanford University, Doctoral Dissertation, Mar. 2002; 210 pages.
McConnell, R., "Massively Parallel Computing on the Fuzion Chip," PixelFusion PLC, 2000; 23 pages.
McConnell, R., "Massively Parallel Computing on the Fuzion Chip," PixelFusion plc, Presentation slides, Aug. 25, 1999; 24 pages.
McCool, M. "SMASH: A Next-Generation API for Programmable Graphics Accelerators," University of Waterloo, Technical Report CS-2000-14, Apr. 20, 2001; 31 pages.
Meissner, et al., "Parallel volume rendering on a single-chip SIMD architecture," Proceedings of the IEEE 2001 Symposium on Parallel and Large-Data Visualization and Graphics; 8 pages.
Microprocessor Quick Reference Guide, Intel Corporation, accessible at http://www.intel.com/pressroom/kits/quickrefyr.htm. last accessed Aug. 4, 2016; 34 pages.
Miller, E., "Standardization of Set Top Box Design for Interactive Television," Consumer Electronics 1994, Jun. 1994; 2 pages.
Montera, D., "Object Tracking Through Adaptive Correlation," Master's Thesis, School of Engineering of the Air Force Institute of Technology, Dec. 17, 1992; 164 pages.
Murhammer, et al., "TCP/IP Tutorial and Technical Overview," IBM, Oct. 1998; 738 pages.
Mutoh, et al., "1-V Power Supply High-Speed Digital Circuit Technology with Multithreshold—Voltage CMOS," IEEE Journal of Solid-State Circuits, vol. 30, No. 8, Aug. 1995; 8 pages.
Olano, M., "SGI OpenGL Shader," Level-of-Detail White Paper, SIGGRAPH 2002; 22 pages.
Oliver, et al.,"LAFTER: Lips and Face Real Time Tracker," Proceedings of the Computer Vision and Pattern Recognition Conference, 1997; 11 pages.
Owens, et al., "Polygon Rendering on a Stream Architecture," 2000 SIGGRAPH Eurographics Workshop on Graphics Hardware, Aug. 2000; 10 pages.
Owens, J., "Computer Graphics on a Steam Architecture," Stanford University, Doctoral Dissertation, Nov. 2002; 178 pages.
Patel, et al., "Architectural Features of the i860™—Microprocessor RISC Core and On-Chip Caches," Proceedings of the IEEE International conference on Computer Design: VLSI in Computers and Processors, Oct. 2-4, 1989; 6 pages.
Pering, et al., "The Simulation and Evaluation of Dynamic Voltage Scaling Algorithms," Proceedings of the International Symposium on Low Power Electronics and Design, Aug. 10-12, 1998; pp. 76-81.
Pering, et al., "Voltage Scheduling in the IpARM Microprocessor System," Proceedings of the International Symposium on Low Power Electronics and Design, Jul. 26-27, 2000; pp. 96-101.
Perry, T., "The Trials and Travails of Interactive TV,", IEEE Spectrum, vol. 33, No. 4, Apr. 1996; 7 pages.
Podgorny, et al., "Video On Demand Technologies and Demonstrations," Air Force Research Laboratory Information Directorate, May 1998; 393 pages.
Quan, M., "Notebooks try on Crusoe processor at PC Expo," EE Times, Jun. 30, 2000, accessible at http://www.eetimes.com/document.asp?doc_id=1141693&print=yes; 3 pages.
Quan, M., "Notebooks try on Crusoe processor at PC Expo," EE Times, Jun. 30, 2000; 3 pages.
Raja, et al., "Colour Model Selection and Adaptation in Dynamic Scenes," Proceedings European Conference Computer Vision, 1998; 15 pages.
Rauterberg, et al., "A gesture based interaction technique for a planning tool for construction and design," IEEE International Workshop on Robot and Human Communication, 1997; 6 pages.
Rixner, et al., "A Bandwidth-Efficient Architecture for Media Processing," Proceedings of the 31st Annual ACM/IEEE International Symposium on Microarchitecture, 1998; 11 pages.
Rixner, R., "Stream Processor Architecture," Rice University, Aug. 1, 2001; 134 pages.
Rixner, S., "A Bandwidth-efficient Architecture for a streaming Media Processor," Massachusetts Institute of Technology, Doctoral Dissertation, Apr. 24, 2001; 146 pages.
Rosenfeld, et al. "Coarse-Fine Template Matching", vol. 7, No. 2, IEEE Systems, Feb. 1977; 4 pages.
Rost, R., "Course 17: State-of-the-Art in Hardware Rendering, Chapter 6: The OpenGL Shading Language," SIGGRAPH 2002 Course Notes, Aug. 5, 2002; 56 pages.
Rost, R., "Course 17: State-of-the-Art in Hardware Rendering, The OpenGL Shading Language," SIGGRAPH 2002.
Saavedra, et al., "Measuring Cache and TLB Performance and Their Effect on Benchmark Run Times," IEEE Transactions on Computers, vol. 44. No. 10, Oct. 1995; pp. 1223-1235.
Sakamoto, el al., "An MPEG-1 & 2 Decoder and GUI System for a Multimedia Home Terminal (STB)," Consumer Electronics 1996, Jun. 1996; 2 pages.
Saltzer, J., "Request for Comments: 1498, On the Naming and Binding of Network Destinations," Network Working Group, Aug. 1993: 10 pages.
Segal, et al., "The OpenGL Graphics System: A Specification, Version 1.4," Silicon Graphics, Inc., Jul. 24, 2002; 312 pages.
Semeraro, et al. "Dynamic Frequency and Voltage Control for a Multiple Clock Domain Architecture" Department of Electrical and Computer Engineering and Department of Computer Science University of Rochester, 2002; 12 pages.
Semeraro, et al., "Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling," High Performance Computer Architecture, 2002; 12 pages.
Smith, A., "Cache Memory Design: An Evolving Art," IEEE Spectrum, vol. 24, No. 12, Dec. 1987; 6 pages.
Stokes, J., "Introduction to Multithreading, Superthreading and Hyperthreading," Ars Technica, Oct. 3, 2002; 10 pages.
Sturman, et al., "A Survey of Glove-based Input," IEEE Computer Graphics and Applications, 1994; 10 pages.
Technical Reference Guide, Compaq LTE Lite Family of Personal Computers, Nov. 1992, Part No. 140097-001; 343 pages.
Tullsen, et al., "Simultaneous Multithreading: Maximizing On-Chip Parallelism," Proceedings of the 22nd Annual International Symposium on Computer Architecture, Jun. 1995; 12 pages.
Turk, et al., "Eigenfaces for Recognition," Journal of Cognitive Neuroscience. vol. 3, No. 1, 1991; pp. 71-86.
Ungerer, et al., "Multithreaded Processors," The Computer Journal, vol. 45, No. 3, 2002; 29 pages.
USB97C100 Advance Information, Feb. 11. 1998, Standard Microsystems Corporation (now Microchip Technology Inc.); 54 pages.
USS-620 USB Device Controller with DMA Bridge Advance Data Sheet, Revision 4, Lucent Technologies (now Alcaltel-Lucent), Oct. 1997; 1 page.
Usui, et al., "Multimedia Services in the HDTV Muse System", IEEE Transactions and Broadcasting, vol. 42, No. 3, Sep. 1996; 6 pages.
Wagner, K, "Clock System Design," IEEE Design & Text of Computers, vol. 5, Issue 5, Oct. 1988; pp. 9-27.
Wazlowski, M., "A Reconfigurable Architecture Superscalar Coprocessor", Brown University, 1992; 128 pages.
Weber, el al., "Exploring the Benefits of Multiple Hardware Contexts in a Multiprocessor Architecture: Preliminary Results," Proceedings of the 16th Annual International Symposium on Computer Architecture, Jun. 1989; 8 pages.
Whitman, S., "A Task Adaptive Parallel Graphics Renderer," IEEE Oct. 1993: 8 pages.
Wirthlin, et al., "The Nano Processor: a Low Resource Reconfigurable Processor," 1994; 8 pages.
Wu, et al., "Voltage and Frequency Control with Adaptive Reaction Time in Multiple-Clock-Domain Processors," IEEE Computer Society, Feb. 2005; 12 pages.
Yuille A., "Deformable Templates for Face Recognition," Massachusetts Institute of Technology, Journal of Cognitive Neuroscience, vol. 3, No. 1, 1991; 12 pages.
Yuille, et al., "Feature Extraction from Faces Using Deformable Templates," International Journal of Computer Vision, 8:2, 99-111, 1992; pp. 99-111.
Zorian, et al.,"Testing Embedded-Core Based System Chips" IEEE Computer, vol. 32, No. 6, 1999; 9 pages.

Also Published As

Publication number Publication date
USRE47420E1 (en) 2019-06-04
US6895520B1 (en) 2005-05-17

Similar Documents

Publication Publication Date Title
USRE48819E1 (en) Performance and power optimization via block oriented performance measurement and control
US10551896B2 (en) Method and apparatus for dynamic clock and voltage scaling in a computer processor based on program phase
US7861068B2 (en) Method and apparatus for using dynamic workload characteristics to control CPU frequency and voltage scaling
US7770034B2 (en) Performance monitoring based dynamic voltage and frequency scaling
US6192479B1 (en) Data processing with progressive, adaptive, CPU-driven power management
Bellosa The benefits of event: driven energy accounting in power-sensitive systems
US6845456B1 (en) CPU utilization measurement techniques for use in power management
US7254721B1 (en) System and method for controlling an intergrated circuit to enter a predetermined performance state by skipping all intermediate states based on the determined utilization of the intergrated circuit
US7134029B2 (en) Computer-component power-consumption monitoring and control
US7062304B2 (en) Task based adaptative profiling and debugging
US8140868B2 (en) Performance conserving power consumption reduction in a server system
US7036030B1 (en) Computer system and method of using temperature measurement readings to detect user activity and to adjust processor performance
EP2297629B1 (en) Power manager and method for managing power
US6795927B1 (en) Power state resynchronization
US7594128B2 (en) Systems and methods to determine processor utilization
US8135966B2 (en) Method and device for power management
US20130311815A1 (en) Providing Adaptive Frequency Control For A Processor
US7975155B2 (en) Apparatus and method for controlling voltage and frequency
KR100390645B1 (en) Method for reducing current in mobile telecommunication terminal system
US5784627A (en) Integrated timer for power management and watchdog functions
Chen et al. Memory access aware on-line voltage control for performance and energy optimization
US8402288B2 (en) Apparatus and method for controlling voltage and frequency using multiple reference circuits
US20220413584A1 (en) System and method for controlling power consumption in processor using interconnected event counters and weighted sum accumulators
CN117546121A (en) System and method for controlling current supply in a multiprocessor core system by reducing the number of instructions per cycle
JPH11110063A (en) Computer system

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY