US20230005844A1 - Structures with copper doped hybrid metallization for line and via - Google Patents

Structures with copper doped hybrid metallization for line and via Download PDF

Info

Publication number
US20230005844A1
US20230005844A1 US17/839,827 US202217839827A US2023005844A1 US 20230005844 A1 US20230005844 A1 US 20230005844A1 US 202217839827 A US202217839827 A US 202217839827A US 2023005844 A1 US2023005844 A1 US 2023005844A1
Authority
US
United States
Prior art keywords
layer
dopant
copper layer
approximately
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/839,827
Other languages
English (en)
Inventor
Suketu Parikh
Alexander Jansen
Joung Joo Lee
Lequn Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US17/839,827 priority Critical patent/US20230005844A1/en
Priority to TW111123138A priority patent/TW202303712A/zh
Priority to PCT/US2022/035235 priority patent/WO2023278387A1/en
Priority to KR1020220080473A priority patent/KR20230006403A/ko
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PARIKH, SUKETU, LIU, LEQUN, LEE, JOUNG JOO, JANSEN, ALEXANDER
Publication of US20230005844A1 publication Critical patent/US20230005844A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Definitions

  • Embodiments of the present principles generally relate to semiconductor processing of semiconductor substrates.
  • Copper is often used in semiconductor connectivity as a low resistance material for metallization processes.
  • electrical pathways such as vias have been failing due to copper voids occurring at the interfaces.
  • the copper voids cause high resistance and even complete failure of the vias, decreasing the wafer yields.
  • Some processes attempting to resolve the issues have reduced the number of voids but at the expense of a higher resistance in the interconnects.
  • the inventors have provided improved processes that improve the performances of vias while maintaining lower resistivity in dual damascene interconnects.
  • a structure for interconnecting semiconductor circuits on a substrate may comprise at least one opening with a sidewall from an upper surface to an underlying metallic layer, a barrier layer formed on the sidewall of the at least one opening, a liner layer formed on the barrier layer and on the underlying metallic layer, a first copper layer with a dopant with a first dopant content formed on the liner layer and filling a lower portion of the at least one opening to form a via, and a second copper layer with the dopant with a second dopant content formed on the first copper layer and filling the at least one opening, where the second dopant content is less than the first dopant content.
  • the structure may further include where the first dopant content is approximately 0.5 percent to approximately 10 percent, where the second dopant content is zero percent to approximately 0.5 percent of the dopant, where the dopant is manganese, aluminum, graphene, cobalt, or magnesium, where the barrier layer is tantalum nitride, where the liner layer is cobalt or ruthenium, where the underlying metallic layer is copper, where the second copper layer has a narrower cross-section at a bottom of the second copper layer than a cross-section at a top of the second copper layer, where the first copper layer has a higher dopant content than the second copper layer and the barrier layer has a higher dopant content than the first copper layer, and/or where the second copper layer has lower resistivity than the first copper layer.
  • a structure for interconnecting semiconductor circuits on a substrate may comprise at least one opening with a sidewall from an upper surface to an underlying metallic layer, a barrier layer formed on the sidewall of the at least one opening, a liner layer formed on the barrier layer and on the underlying metallic layer, a first copper layer with a dopant with a first dopant content formed on the liner layer and filling a lower portion of the at least one opening to form a via, and a second copper layer with the dopant with a second dopant content formed on the first copper layer and filling the at least one opening, where the second dopant content is less than the first dopant content.
  • the structure may further include where the first dopant content is approximately 0.5 percent to approximately 10 percent, where the second dopant content is zero percent to approximately 0.5 percent of the dopant, where the dopant is manganese, aluminum, graphene, cobalt, or magnesium, where the barrier layer is tantalum nitride, where the liner layer is cobalt or ruthenium, where the underlying metallic layer is copper, where the second copper layer has a narrower cross-section at a bottom of the second copper layer than a cross-section at a top of the second copper layer, where the barrier layer has a higher dopant content than the first copper layer, and or where the second copper layer has lower resistivity than the first copper layer.
  • a structure for interconnecting semiconductor circuits on a substrate may comprise at least one opening with a sidewall from an upper surface to an underlying metallic layer of copper, a barrier layer of tantalum nitride formed on the sidewall of the at least one opening, a liner layer of cobalt or ruthenium formed on the barrier layer and on the underlying metallic layer, a first copper layer with a dopant with a first dopant content formed on the liner layer and filling a lower portion of the at least one opening to form a via, where the first dopant content is approximately 0.5 percent to approximately 10 percent, and a second copper layer with the dopant with a second dopant content formed on the first copper layer and filling the at least one opening, where the second dopant content is zero percent to approximately 0.5 percent of the dopant and is less than the first dopant content.
  • the structure may further include where the dopant is manganese, aluminum, graphene, cobalt, or magnesium, and/or where the barrier layer has a higher dopant content than the first copper layer or where the second copper layer has lower resistivity than the first copper layer.
  • an integrated tool for producing a substrate with metallization may comprise a first etch chamber configured to dry etch and remove etch stop layers in vias, a preclean chamber configured to clean the substrate, a first deposition chamber configured to deposit a barrier layer on the substrate, a second deposition chamber configured to deposit a liner layer on the substrate, a third deposition chamber configured to deposit a first copper layer with a dopant with a first dopant content at first temperature and to reflow the first copper layer at a second temperature, and a fourth deposition chamber configured to deposit a second copper layer with the dopant with a second dopant content at the first temperature and to reflow the second copper layer at a third temperature, where the second dopant content is less than the first dopant content, where the integrated tool is configured to process the substrate without a vacuum break between chambers.
  • the integrated tool may further comprise a second etch chamber configured to etch a portion of the first copper layer after an annealing process, where the first dopant content is approximately 0.5 percent to approximately 10 percent, where the second dopant content is zero percent to approximately 0.5 percent, where the first temperature is zero degrees Celsius to approximately 200 degrees Celsius, where the second temperature is approximately 200 degrees Celsius to approximately 400 degrees Celsius, and/or where the third temperature is approximately 200 degrees Celsius to approximately 400 degrees Celsius.
  • FIG. 1 a method of dual metallization for via and line on a substrate in accordance with some embodiments of the present principles.
  • FIG. 2 A depicts a cross-sectional view of a dual damascene via and line without an etch stop layer in a bottom of the via in accordance with some embodiments of the present principles.
  • FIG. 2 B depicts a cross-sectional view of a dual damascene via and line with an etch stop layer present in a bottom of the via in accordance with some embodiments of the present principles.
  • FIG. 2 C depicts a cross-sectional view of a dual damascene via and line with an etch stop layer removed from a bottom of the via in accordance with some embodiments of the present principles.
  • FIG. 2 D depicts a cross-sectional view of a dual damascene via and line after deposition of a barrier layer in accordance with some embodiments of the present principles.
  • FIG. 2 E depicts a cross-sectional view of a dual damascene via and line after deposition of a liner layer on the barrier layer in accordance with some embodiments of the present principles.
  • FIG. 2 F depicts a cross-sectional view of a dual damascene via and line after deposition and anneal of a first copper layer with dopant in accordance with some embodiments of the present principles.
  • FIG. 2 G depicts a cross-sectional view of a dual damascene via and line after deposition and anneal of a first copper layer with dopant in accordance with some embodiments of the present principles.
  • FIG. 2 H depicts a cross-sectional view of a dual damascene via and line indicating an optional etch back of the first copper layer after deposition and anneal in accordance with some embodiments of the present principles.
  • FIG. 2 I depicts a cross-sectional view of a dual damascene via and line after a deposition and anneal of a second copper layer in accordance with some embodiments of the present principles.
  • FIG. 2 J depicts a cross-sectional view of a dual damascene via and line after a chemical mechanical process in accordance with some embodiments of the present principles.
  • FIG. 3 is a method of dual metallization for via and line on a substrate in accordance with some embodiments of the present principles.
  • FIG. 4 depicts a top-down view of an integrated tool configured to perform operations on a dual damascene via and line in accordance with some embodiments of the present principles.
  • the structures and integrated tools provide a high-quality copper interface with low resistivity in vias and line interconnects.
  • the methods use an integrated tool to first deposit a copper doped with high dopant such as, but not limited to, manganese (Mn), aluminum (Al), graphene, or magnesium (Mg), which keeps the highly doped copper at the via and trench interfaces (barrier interfaces).
  • a slight anneal and reflow process moves the dopant towards the interface of the dielectric and barrier layer, improving the barrier layer and electro migration (EM) properties.
  • EM electro migration
  • a second process of reflow fills the vias and trenches with pure or low doped copper for the bulk or center of trenches, resulting in low resistivity.
  • the structures and methods of the present principles have the advantages of improved line EM and TDDB (time dependent dielectric breakdown) with increased dopant at the interfaces, improved via EM which allows scaling of dual damascene to sub 30 nm pitch, high dopant in via and trench sidewalls which allows, for example Ta—Mn O—N formation, to enhance barrier properties, scaling of barrier layer thickness (dead area) with dopant protection, and reduced line resistivity as the dopant in trench bulk areas is kept low.
  • line EM and TDDB time dependent dielectric breakdown
  • the inventors have discovered that by creating a two-part copper process having a dopant such as Mn in the first 30 A to 70 A, the process can allow for improved dopant at the interface and via bottom, improving EM and barrier properties. Subsequently deposited pure copper (or lower dopant copper) allows for low resistivity in the rest of the interconnect (e.g., trenches).
  • a dopant such as Mn in the first 30 A to 70 A
  • a feature on a substrate may include an interconnect structure, a trench structure, a damascene structure, a via structure (e.g., a dual damascene via, etc.) and the like.
  • a via structure e.g., a dual damascene via, etc.
  • Different types of features may be used as examples in some embodiments but are not meant to be limiting to only that feature type.
  • FIG. 1 is a method 100 of dual metallization for dual damascene via and trench structures on a substrate in accordance with some embodiments.
  • the method 100 may be performed in an integrated tool (e.g., integrated tool 400 of FIG. 4 ) without a vacuum break between processes, ensuring high quality interfaces.
  • the method 100 is described with reference to FIGS. 2 A- 2 J .
  • a substrate is received with at least one dual damascene via and trench formed on the substrate.
  • the via may or may not have an etch stop layer at the bottom of the via.
  • the substrate has a first dielectric layer 202 with metallic material 204 underlying an etch stop layer 206 .
  • a second dielectric layer 208 has been formed on the etch stop layer 206 .
  • a via 210 and a first trench 212 have been formed in a dual damascene process along with a second trench 214 .
  • the portion of the etch stop layer at the bottom 216 of the via has been removed, exposing the underlying portion of the metallic material 204 .
  • the exposed portion of the metallic material 204 will immediately begin corroding and oxidizing when exposed to air/moisture.
  • the substrate must then be subsequently transferred and processed within a certain time period or queue time limit, or the substrate may be too damaged to process.
  • the queue time is the amount of time that hydrogen passivated wafers can be exposed to air (moisture) before requiring to be in an inert environment for further processing.
  • the inventors have found that the queue time may be eliminated by receiving the substrate with the etch stop layer 206 remaining intact in the bottom 218 of the via 210 as depicted in a view 200 B of FIG. 2 B .
  • the queue time is eliminated because the underlying metallization material 204 remains protected from corrosion and oxidation by the etch stop layer 206 , saving processing time, increasing yield, and allowing the wafers to be processed whenever equipment becomes available.
  • the optional process in block 104 is performed to remove the etch stop layer in the bottom of the via to expose the underlying metallic layer 204 as depicted in a view 200 C of FIG. 2 C .
  • the etch stop layer 206 may be opened using a dry directional etch with nitrogen trifluoride (NF 3 ) radicals or boron trichloride (BCl 3 ) radicals, and the like.
  • NF 3 nitrogen trifluoride
  • BCl 3 boron trichloride
  • a barrier layer 220 is deposited by selective deposition or reverse selective deposition on the substrate in the via 210 , the first trench 212 , and the second trench 214 as depicted in a view 200 D of FIG. 2 D .
  • the bottom 216 of the via 210 is not coated with the barrier layer 220 as part of the selective/reverse selective deposition process.
  • the barrier layer 220 may be a tantalum nitride (TaN) material or a titanium nitride (TiN) material and the like.
  • a liner layer 224 is deposited on the substrate in the via 210 , the first trench 212 , and the second trench 214 as depicted in a view 200 E of FIG. 2 E .
  • the liner layer 224 is deposited on the bottom 222 of the via 210 on the metallic material 204 .
  • the liner layer 224 may be a material such as, but not limited to, cobalt or ruthenium and may include multiple layers.
  • a first copper layer 226 is deposited by directional sputtering on the substrate in the via 210 , the first trench 212 , and the second trench 214 at a first temperature as depicted in a view 200 F of FIG. 2 F .
  • the first copper layer 226 is doped with a dopant such as, but not limited to, Mn, Al, graphene, Co, or Mg, and the like.
  • the first copper layer 226 is doped with a high concentration of dopant ranging from approximately 0.5% to approximately 10%.
  • the thickness of the first copper layer 226 may range from approximately 20 angstroms to approximately 100 angstroms when deposited. Horizontal surfaces within the via and trenches tend to be thicker than the sidewalls of the via and trenches.
  • the first temperature during deposition of the first copper layer 226 is a low temperature which may range from zero degrees Celsius to approximately 200 degrees Celsius.
  • the substrate is annealed at a second temperature to cause reflow of the first copper layer 226 and migration of the dopant towards the barrier layer 220 and interface, increasing the TDDB performance of the barrier for the trenches and vias.
  • the migration strengthens the barrier allowing a reduced barrier thickness to be used without sacrificing barrier performance.
  • the annealing also reflows the first copper layer 226 into the via 210 and fills the via 210 (see view 200 G of FIG. 2 G ) with the doped first copper layer material, improving the via electro migration performance significantly over copper material alone.
  • the second temperature is a high temperature which may range from approximately 200 degrees Celsius to approximately 400 degrees Celsius.
  • the deposition and annealing of the first copper layer 226 may be a cyclic process that is performed more than once as indicated at 124 of the method 100 . Each time the first copper layer 226 is deposited and annealed, more of the dopant migrates to the barrier, increasing TDDB performance of the barrier layer 220 and interface, and more of the first copper layer material fills the via 210 , increasing electro migration performance of the via 210 .
  • optional block 116 may be performed to etch back a portion of the first copper layer 226 as depicted in a view 200 H of FIG. 2 H .
  • a dashed line 228 indicates an example etch back in the first trench 212 and the second trench 214 .
  • the etch back process is not intended to diminish the first copper layer material in the via 210 , but to widen the first trench 212 and the second trench 214 to allow more room for a subsequent deposition (increased gapfill to reduce resistance) by thinning the trench sidewalls of material of the first copper layer 226 .
  • a second copper layer 230 is deposited by sputtering at the first temperature on the substrate.
  • the second copper layer 230 may be doped with a dopant such as, but not limited to, Mn, Al, graphene, Co, or Mg, and the like.
  • the second copper layer 230 is either not doped or has a low concentration of dopant ranging from greater than zero percent to approximately 0.5 percent.
  • the first temperature during deposition of the second copper layer 230 is a low temperature which may range from zero degrees Celsius to approximately 200 degrees Celsius.
  • the substrate is annealed at a third temperature to cause reflow of the second copper layer 230 and filling the first trench 212 and the second trench 214 as depicted in a view 200 I of FIG. 2 I .
  • the third temperature is a high temperature which may range from approximately 200 degrees Celsius to approximately 400 degrees Celsius. In some embodiments, the third temperature may be less than the second temperature.
  • the deposition and annealing of the second copper layer 230 may be a cyclic process that is performed more than once as indicated at 126 of the method 100 .
  • the high dopant concentration in the first copper layer 226 increases performance of the via by increasing the via's electro migration performance while also increasing the TDDB performance of the barrier layer 220 and interface.
  • the resistance of the first trench 212 and the second trench 214 would be substantially increased.
  • the resistance of the first trench 212 and the second trench 214 is kept low.
  • the intervening annealing processes of the first copper layer 226 and the second copper layer 230 help to increase performance while ensuring that voids are filled.
  • a chemical mechanical polishing (CMP) process is performed on the substrate to remove overburden as depicted in a view 200 J of FIG. 2 J .
  • the CMP process removes the extra material from the top surface of the substrate to allow for subsequent processing.
  • FIG. 3 is a method 300 of dual metallization for dual damascene via and trench structures on a substrate in accordance with some embodiments.
  • the method 300 may be performed in an integrated tool (e.g., integrated tool 400 of FIG. 4 ) without a vacuum break between processes, ensuring high quality interfaces.
  • the method 300 is described with reference to FIGS. 2 A- 2 J .
  • a substrate is received with at least one dual damascene via and trench formed on the substrate.
  • the via may or may not have an etch stop layer at the bottom of the via.
  • the substrate has a first dielectric layer 202 with metallic material 204 underlying an etch stop layer 206 .
  • a second dielectric layer 208 has been formed on the etch stop layer 206 .
  • a via 210 and a first trench 212 have been formed in a dual damascene process along with a second trench 214 .
  • the portion of the etch stop layer at the bottom 216 of the via has been removed, exposing the underlying portion of the metallic material 204 .
  • the exposed portion of the metallic material 204 will immediately begin corroding and oxidizing when exposed to air/moisture.
  • the substrate must then be subsequently transferred and processed within a certain time period or queue time limit or the substrate may be too damaged to process.
  • the queue time is the amount of time that hydrogen passivated wafers can be exposed to air (moisture) before requiring to be in an inert environment for further processing.
  • the inventors have found that the queue time may be eliminated by receiving the substrate with the etch stop layer 206 remaining intact in the bottom 218 of the via 210 as depicted in a view 200 B of FIG. 2 B .
  • the queue time is eliminated because the underlying metallization material 204 remains protected from corrosion and oxidation by the etch stop layer 206 , saving processing time, increasing yield, and allowing the wafers to be processed whenever equipment becomes available.
  • the optional process in block 304 is performed to remove the etch stop layer in the bottom of the via to expose the underlying metallic layer 204 as depicted in a view 200 C of FIG. 2 C .
  • the etch stop layer 206 may be opened using a dry directional etch with nitrogen trifluoride (NF 3 ) radicals or boron trichloride (BCl 3 ) radicals, and the like.
  • NF 3 nitrogen trifluoride
  • BCl 3 boron trichloride
  • a barrier layer 220 is deposited by selective deposition or reverse selective deposition on the substrate in the via 210 , the first trench 212 , and the second trench 214 as depicted in a view 200 D of FIG. 2 D .
  • the bottom 216 of the via 210 is not coated with the barrier layer 220 as part of the selective/reverse selective deposition process.
  • the barrier layer 220 may be a tantalum nitride (TaN) material or a titanium nitride (TiN) material and the like.
  • a liner layer 224 is deposited on the substrate in the via 210 , the first trench 212 , and the second trench 214 as depicted in a view 200 E of FIG. 2 E .
  • the liner layer 224 is deposited on the bottom 222 of the via 210 on the metallic material 204 .
  • the liner layer 224 may be a material such as, but not limited to, cobalt or ruthenium and may include multiple layers.
  • a metal layer (similar to a first copper layer 226 ) is deposited by chemical vapor deposition or atomic layer deposition on the substrate in the via 210 , the first trench 212 , and the second trench 214 at a first temperature as depicted in a view 200 F of FIG. 2 F .
  • the metal layer may be cobalt, ruthenium molybdenum, tungsten, or copper-based alloy with dopant (e.g., dopants as found in method 100 for the first copper layer 226 ).
  • the thickness of the metal layer may range from approximately 20 angstroms to approximately 300 angstroms when deposited. Horizontal surfaces within the via and trenches tend to be thicker than the sidewalls of the via and trenches.
  • the first temperature during deposition of the first copper layer 226 is a low temperature which may range from zero degrees Celsius to approximately 200 degrees Celsius.
  • the substrate is annealed at a second temperature to cause reflow of the metal layer.
  • the annealing reflows the metal layer on the sidewalls of the trenches to improve electro migration in the sidewalls and into the via 210 , filling the via 210 (see view 200 G of FIG. 2 G ) with the metal layer material and improving the via electro migration performance as well.
  • the second temperature is a high temperature which may range from approximately 200 degrees Celsius to approximately 400 degrees Celsius.
  • the deposition and annealing of the metal layer may be a cyclic process that is performed more than once as indicated at 324 of the method 300 . Each time the metal layer more of the metal layer material fills the via 210 , increasing electro migration performance of the via 210 .
  • block 316 is performed to etch back a portion of the metal layer (see, e.g., view 200 H of FIG. 2 H and first copper layer 226 ).
  • the etching process may be an isotropic metal etch using nitrogen trifluoride gas with oxygen gas or chlorine gas with oxygen gas to etch the metal layer.
  • a dashed line 228 indicates an example etch back in the first trench 212 and the second trench 214 .
  • a copper layer (similar to the second copper layer 230 ) is deposited by sputtering at the first temperature on the substrate.
  • the copper layer may be doped with a dopant such as, but not limited to, Mn, Al, graphene, Co, or Mg, and the like.
  • the copper layer is either not doped or has a low concentration of dopant ranging from greater than zero percent to approximately 0.5 percent.
  • the first temperature during deposition of the copper layer is a low temperature which may range from zero degrees Celsius to approximately 200 degrees Celsius.
  • the substrate is annealed at a third temperature to cause reflow of the copper layer and filling the first trench 212 and the second trench 214 as depicted in a view 200 I of FIG. 2 I .
  • the third temperature is a high temperature which may range from approximately 200 degrees Celsius to approximately 400 degrees Celsius. In some embodiments, the third temperature may be less than the second temperature.
  • the deposition and annealing of the copper layer may be a cyclic process that is performed more than once as indicated at 326 of the method 300 . If a high dopant concentration were used in the copper layer, the resistance of the first trench 212 and the second trench 214 would be substantially increased.
  • the resistance of the first trench 212 and the second trench 214 is kept low.
  • the intervening annealing processes of the metal layer and the copper layer help to increase performance while ensuring that voids are filled.
  • a chemical mechanical polishing (CMP) process is performed on the substrate to remove overburden as depicted in a view 200 J of FIG. 2 J .
  • the CMP process removes the extra material from the top surface of the substrate to allow for subsequent processing.
  • the methods for creating dual metal interconnects described herein may be performed in individual process chambers that may be provided in a standalone configuration or as part of a cluster tool, for example, an integrated tool 400 (i.e., cluster tool) described below with respect to FIG. 4 .
  • an integrated tool 400 i.e., cluster tool
  • the advantage of using an integrated tool 400 is that there is no vacuum break and, therefore, no requirement to degas and pre-clean a substrate before treatment.
  • the methods discussed above may advantageously be performed in an integrated tool such that there are limited or no vacuum breaks between processes. For example, reduced vacuum breaks may limit or prevent contamination of the substrate such as after removing portions of an etch stop layer in a bottom of a via.
  • the integrated tool 400 includes a processing platform 401 that is vacuum-tight, a factory interface 404 , and a system controller 402 .
  • the processing platform 401 comprises multiple processing chambers, such as 414 A, 414 B, 414 C, 414 D, 414 E, and 414 F operatively coupled to a vacuum substrate transfer chamber (transfer chambers 403 A, 403 B).
  • the factory interface 404 is operatively coupled to the transfer chamber 403 A by one or more load lock chambers (two load lock chambers, such as 406 A and 406 B shown in FIG. 4 ).
  • the factory interface 404 comprises at least one docking station 407 , at least one factory interface robot 438 to facilitate the transfer of the semiconductor substrates.
  • the docking station 407 is configured to accept one or more front opening unified pod (FOUP).
  • FOUP front opening unified pod
  • Four FOUPS, such as 405 A, 405 B, 405 C, and 405 D are shown in the embodiment of FIG. 4 .
  • the factory interface robot 438 is configured to transfer the substrates from the factory interface 404 to the processing platform 401 through the load lock chambers, such as 406 A and 406 B.
  • Each of the load lock chambers 406 A and 406 B have a first port coupled to the factory interface 404 and a second port coupled to the transfer chamber 403 A.
  • the load lock chamber 406 A and 406 B are coupled to a pressure control system (not shown) which pumps down and vents the load lock chambers 406 A and 406 B to facilitate passing the substrates between the vacuum environment of the transfer chamber 403 A and the substantially ambient (e.g., atmospheric) environment of the factory interface 404 .
  • the transfer chambers 403 A, 403 B have vacuum robots 442 A, 442 B disposed in the respective transfer chambers 403 A, 403 B.
  • the vacuum robot 442 A is capable of transferring substrates 421 between the load lock chamber 406 A, 406 B, the processing chambers 414 A and 414 F and a cooldown station 440 or a pre-clean station 442 .
  • the vacuum robot 442 B is capable of transferring substrates 421 between the cooldown station 440 or pre-clean station 442 and the processing chambers 414 B, 414 C, 414 D, and 414 E.
  • the processing chambers 414 A, 414 B, 414 C, 414 D, 414 E, and 414 F are coupled to the transfer chambers 403 A, 403 B.
  • the processing chambers 414 A, 414 B, 414 C, 414 D, 414 E, and 414 F comprise at least a first etch chamber configured to dry etch and remove etch stop layers in vias, a first deposition chamber configured to deposit a barrier layer on a substrate, a second deposition chamber configured to deposit a liner layer on the substrate, a third deposition chamber configured to deposit a first copper layer with a dopant of approximately 0.5 percent to approximately 10 percent at first temperature and to reflow the first copper layer at a second temperature, a fourth deposition chamber configured to deposit a second copper layer with a dopant of zero percent to approximately 0.5 percent at a third temperature and to reflow the second copper layer at a fourth temperature, and a second etch chamber configured to etch a portion of the first copper layer after an annea
  • Additional chambers may also be provided such as chemical vapor deposition (CVD) chambers, annealing chambers, atomic layer deposition (ALD) chambers, plasma vapor deposition (PVD) chambers, or the like.
  • ALD and PVD chambers may include any chambers suitable to perform all or portions of the methods described herein, as discussed above.
  • one or more optional service chambers may be coupled to the transfer chamber 403 A.
  • the service chambers 416 A and 416 B may be configured to perform other substrate processes, such as degassing, orientation, substrate metrology, cool down and the like.
  • the system controller 402 controls the operation of the integrated tool 400 using a direct control of the process chambers 414 A, 414 B, 414 C, 414 D, 414 E, and 414 F or alternatively, by controlling the computers (or controllers) associated with the process chambers 414 A, 414 B, 414 C, 414 D, 414 E, and 414 F and the integrated tool 400 .
  • the system controller 402 enables data collection and feedback from the respective chambers and systems to optimize performance of the integrated tool 400 .
  • the system controller 402 generally includes a Central Processing Unit (CPU) 430 , a memory 434 , and a support circuit 432 .
  • the CPU 430 may be any form of a general-purpose computer processor that can be used in an industrial setting.
  • the support circuit 432 is conventionally coupled to the CPU 430 and may comprise a cache, clock circuits, input/output subsystems, power supplies, and the like.
  • Software routines, such as a method as described above may be stored in the memory 434 and, when executed by the CPU 430 , transform the CPU 430 into a specific purpose computer (system controller 402 ).
  • the software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the integrated tool 400 .
  • the memory 434 is in the form of computer-readable storage media that contains instructions, when executed by the CPU 430 , to facilitate the operation of the semiconductor processes and equipment.
  • the instructions in the memory 434 are in the form of a program product such as a program that implements the method of the present principles.
  • the program code may conform to any one of a number of different programming languages.
  • the disclosure may be implemented as a program product stored on a computer-readable storage media for use with a computer system.
  • the program(s) of the program product define functions of the aspects (including the methods described herein).
  • Illustrative computer-readable storage media include, but are not limited to: non-writable storage media (e.g., read-only memory devices within a computer such as CD-ROM disks readable by a CD-ROM drive, flash memory, ROM chips, or any type of solid-state non-volatile semiconductor memory) on which information is permanently stored; and writable storage media (e.g., floppy disks within a diskette drive or hard-disk drive or any type of solid-state random access semiconductor memory) on which alterable information is stored.
  • non-writable storage media e.g., read-only memory devices within a computer such as CD-ROM disks readable by a CD-ROM drive, flash memory, ROM chips, or any type of solid-state non-volatile semiconductor memory
  • writable storage media e.g., floppy disks within a diskette drive or hard-disk drive or any type of solid-state random access semiconductor memory
  • Embodiments in accordance with the present principles may be implemented in hardware, firmware, software, or any combination thereof. Embodiments may also be implemented as instructions stored using one or more computer readable media, which may be read and executed by one or more processors.
  • a computer readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing platform or a “virtual machine” running on one or more computing platforms).
  • a computer readable medium may include any suitable form of volatile or non-volatile memory.
  • the computer readable media may include a non-transitory computer readable medium.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
US17/839,827 2021-07-02 2022-06-14 Structures with copper doped hybrid metallization for line and via Pending US20230005844A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US17/839,827 US20230005844A1 (en) 2021-07-02 2022-06-14 Structures with copper doped hybrid metallization for line and via
TW111123138A TW202303712A (zh) 2021-07-02 2022-06-22 用於線路及通孔之銅摻雜混成金屬化的結構
PCT/US2022/035235 WO2023278387A1 (en) 2021-07-02 2022-06-28 Structures with copper doped hybrid metallization for line and via
KR1020220080473A KR20230006403A (ko) 2021-07-02 2022-06-30 라인 및 비아에 대한 구리 도핑된 하이브리드 금속화를 이용한 구조들

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163218015P 2021-07-02 2021-07-02
US17/839,827 US20230005844A1 (en) 2021-07-02 2022-06-14 Structures with copper doped hybrid metallization for line and via

Publications (1)

Publication Number Publication Date
US20230005844A1 true US20230005844A1 (en) 2023-01-05

Family

ID=84692043

Family Applications (1)

Application Number Title Priority Date Filing Date
US17/839,827 Pending US20230005844A1 (en) 2021-07-02 2022-06-14 Structures with copper doped hybrid metallization for line and via

Country Status (4)

Country Link
US (1) US20230005844A1 (zh)
KR (1) KR20230006403A (zh)
TW (1) TW202303712A (zh)
WO (1) WO2023278387A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100385042B1 (ko) * 1998-12-03 2003-06-18 인터내셔널 비지네스 머신즈 코포레이션 내 일렉트로 마이그레이션의 구조물을 도핑으로 형성하는 방법
US7026244B2 (en) * 2003-08-08 2006-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance and reliable copper interconnects by variable doping
US7169700B2 (en) * 2004-08-06 2007-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Metal interconnect features with a doping gradient
US8372739B2 (en) * 2007-03-26 2013-02-12 Tokyo Electron Limited Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US11101174B2 (en) * 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process

Also Published As

Publication number Publication date
WO2023278387A1 (en) 2023-01-05
KR20230006403A (ko) 2023-01-10
TW202303712A (zh) 2023-01-16

Similar Documents

Publication Publication Date Title
US11948885B2 (en) Methods and apparatus for forming dual metal interconnects
US11996283B2 (en) Method for metal gate surface clean
US10002834B2 (en) Method and apparatus for protecting metal interconnect from halogen based precursors
US20220336227A1 (en) Methods for controlling contact resistance in cobalt-titanium structures
US20190027403A1 (en) Methods for depositing dielectric barrier layers and aluminum containing etch stop layers
TWI830960B (zh) 低電阻接觸互連的方法與設備
US9847252B2 (en) Methods for forming 2-dimensional self-aligned vias
US20230005844A1 (en) Structures with copper doped hybrid metallization for line and via
US20230005789A1 (en) Methods for copper doped hybrid metallization for line and via
US20160240432A1 (en) Methods for forming cobalt-copper selective fill for an interconnect
KR102118580B1 (ko) 루테늄 필름들의 화학 기상 증착 (cvd) 및 그 용도들
US20240194527A1 (en) Interlayer for Resistivity Reduction in Metal Deposition Applications
US20240153816A1 (en) Methods to form metal liners for interconnects
TWI837348B (zh) 用於形成雙重金屬內連線的方法及設備
US20240194605A1 (en) Post-treatment for removing residues from dielectric surface
US20230023235A1 (en) Enhanced stress tuning and interfacial adhesion for tungsten (w) gap fill
US20240047267A1 (en) Tungsten gap fill with hydrogen plasma treatment
US20240105444A1 (en) Methods for Forming Low Resistivity Contacts
US20230326791A1 (en) Self field-suppression cvd tungsten (w) fill on pvd w liner
US20230035288A1 (en) Methods for removing etch stop layers
KR20230009305A (ko) 하부 금속 상의 완전히 랜딩된 비아를 위한 선택적 에칭 정지 캡핑 및 선택적 비아 개방을 위한 방법들 및 장치

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PARIKH, SUKETU;JANSEN, ALEXANDER;LEE, JOUNG JOO;AND OTHERS;SIGNING DATES FROM 20220614 TO 20220705;REEL/FRAME:060656/0319