US20190393335A1 - Method for forming replacement air gap - Google Patents

Method for forming replacement air gap Download PDF

Info

Publication number
US20190393335A1
US20190393335A1 US16/016,828 US201816016828A US2019393335A1 US 20190393335 A1 US20190393335 A1 US 20190393335A1 US 201816016828 A US201816016828 A US 201816016828A US 2019393335 A1 US2019393335 A1 US 2019393335A1
Authority
US
United States
Prior art keywords
gate
forming
cavity
layer
insulation layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US16/016,828
Other versions
US10535771B1 (en
Inventor
Laertis Economikos
Shesh Mani Pandey
Hui Zang
Haiting Wang
Jinping Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US16/016,828 priority Critical patent/US10535771B1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIU, JINPING, WANG, HAITING, ECONOMIKOS, LAERTIS, PANDEY, SHESH MANI, ZANG, Hui
Priority to US16/664,056 priority patent/US11456382B2/en
Publication of US20190393335A1 publication Critical patent/US20190393335A1/en
Application granted granted Critical
Publication of US10535771B1 publication Critical patent/US10535771B1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Definitions

  • the present disclosure generally relates to the fabrication of semiconductor devices, and, more particularly, to methods for forming an air gap in a replacement gate.
  • Transistors come in a variety of shapes and forms, e.g., planar transistors, FinFET transistors, nanowire devices, etc.
  • the transistors are typically either NMOS (NFET) or PMOS (PFET) type devices wherein the “N” and “P” designation is based upon the type of dopants used to create the source/drain regions of the devices.
  • CMOS Complementary Metal Oxide Semiconductor
  • CMOS Complementary Metal Oxide Semiconductor
  • each device comprises drain and source regions and a gate electrode structure positioned above and between the source/drain regions.
  • a gate electrode structure positioned above and between the source/drain regions.
  • a conductive channel region forms between the drain region and the source region.
  • FIG. 1 is a perspective view of an illustrative prior art FinFET semiconductor device 100 that is formed above a semiconductor substrate 105 at an intermediate point during fabrication.
  • the FinFET device 100 includes three illustrative fins 110 , an isolation material 130 , a gate structure 115 , sidewall spacers 120 and a gate cap layer 125 .
  • the fins 110 have a three-dimensional configuration: a height, a width, and an axial length.
  • the portions of the fins 110 covered by the gate structure 115 are the channel regions of the FinFET device 100 , while the portions of the fins 110 positioned laterally outside of the spacers 120 are part of the source/drain regions of the device 100 .
  • the portions of the fins 110 in the source/drain regions may have additional epi semiconductor material formed thereon in either a merged or unmerged condition.
  • One technique for improving performance in a transistor device involves reducing the capacitance of the gate structure.
  • Low dielectric constant materials have been employed for gate spacers to reduce the capacitance.
  • air gaps have been employed in conjunction with the gate spacers.
  • such techniques often require multiple process operations, which increases process complexity and can reduce yield.
  • the present disclosure is directed to various methods and resulting devices that may avoid, or at least reduce, the effects of one or more of the problems identified above.
  • One illustrative method includes, among other things, forming a placeholder gate structure above a semiconductor material region, forming a sidewall spacer adjacent the placeholder gate structure, removing the placeholder gate structure to define a gate cavity bounded by the sidewall spacer, forming a gate insulation layer in the gate cavity, wherein the gate insulation layer includes a first portion positioned in a lower portion of the gate cavity having a first thickness and a second portion positioned in an upper portion of the gate cavity having a second thickness greater than the first thickness, forming a gate electrode in the gate cavity above the gate insulation layer, removing at least a portion of the second portion of the gate insulation layer to define an air gap cavity adjacent the gate electrode, and forming a first gate cap layer above the gate electrode, wherein the first gate cap layer seals an upper end of the air gap cavity.
  • Another illustrative method includes, among other things, forming a placeholder gate structure above a semiconductor material region, forming a sidewall spacer adjacent the placeholder gate structure, removing the placeholder gate structure to define a gate cavity bounded by the sidewall spacer, forming a mask layer in a lower portion of the gate cavity, forming a first gate insulation layer in the gate cavity and above the mask layer, etching the first gate insulation layer to define an inner spacer, removing the mask layer, forming a second gate insulation layer in the gate cavity and above the inner spacer, forming a gate electrode in the gate cavity above the second gate insulation layer, removing at least portions of the inner spacer and the second gate insulation layer in an upper portion of the gate cavity to define an air gap cavity adjacent the gate electrode, and forming a first gate cap layer above the gate electrode, wherein the first gate cap layer seals an upper end of the air gap cavity.
  • One illustrative device includes, among other things, a gate electrode formed above a semiconductor material region, a sidewall spacer positioned adjacent the gate electrode, wherein an air gap is defined between an upper portion of the sidewall spacer and the gate electrode, a gate insulation layer having a first portion positioned between the gate electrode and the semiconductor material region and a second portion positioned between a lower portion of the sidewall spacer and the gate electrode along a portion of a sidewall of the gate electrode, and a gate cap layer positioned above the gate electrode, wherein the first gate cap layer seals an upper end of the air gap cavity.
  • FIG. 1 schematically depicts an illustrative prior art finFET device
  • FIGS. 2A-2I and 3A-3C depict various methods disclosed herein of forming transistor devices with an air gap in a replacement gate structure.
  • the present disclosure generally relates to various methods of forming transistor devices with an air gap in the replacement gate structure.
  • the present method is applicable to a variety of devices, including, but not limited to, logic devices, memory devices, etc.
  • various illustrative embodiments of the methods and devices disclosed herein will now be described in more detail.
  • FIGS. 2A-2I and 3A-3C illustrate various illustrative methods disclosed herein for forming an integrated circuit product 200 with a replacement gate air gap.
  • the product includes finFET transistor devices, but the techniques described herein are not so limited, and they may be applied to other types of devices, such as planar devices.
  • FIGS. 2A-2I and 3A-3C show a cross-sectional view of the product 200 taken through the long axis of one of a fin 205 formed in a substrate 210 . The cross-sectional view is taken in a direction corresponding to the gate length direction of the product 200 .
  • a replacement gate technique is used to form devices in the product 200 .
  • a placeholder gate structure 220 was formed above the fin 205 .
  • the placeholder gate structure 220 includes a sacrificial placeholder material 225 , such as amorphous polysilicon, and a gate insulation layer (not separately shown), such as silicon dioxide.
  • a gate insulation layer such as silicon dioxide.
  • an illustrative gate cap layer 230 and a sidewall spacer 235 both of which may be made of a dielectric material such as silicon nitride.
  • Source/drain regions 240 were formed adjacent the placeholder gate structure 220 by recessing the fin 205 and performing an epitaxial growth process.
  • a dielectric layer 245 (e.g., silicon dioxide, a low-k dielectric material having a dielectric constant of approximately 3.0 or lower, or an ultra-low-k (ULK) material having a dielectric constant of approximately 2.5 or lower) was formed above the placeholder gate structure 220 and the source/drain regions 240 .
  • a dielectric layer 245 e.g., silicon dioxide, a low-k dielectric material having a dielectric constant of approximately 3.0 or lower, or an ultra-low-k (ULK) material having a dielectric constant of approximately 2.5 or lower
  • the transistor devices formed in the product 200 depicted herein may be either NMOS or PMOS transistors, or a combination of both. Additionally, various doped regions, e.g., halo implant regions, well regions and the like, may be formed, but are not depicted in the attached drawings.
  • the substrate 210 may have a variety of configurations, such as the depicted bulk silicon configuration.
  • the substrate 210 may also have a silicon-on-insulator (SOI) configuration that includes a bulk silicon layer, a buried insulation layer and an active layer, wherein semiconductor devices are formed in and above the active layer.
  • SOI silicon-on-insulator
  • the substrate 210 may be formed of silicon or silicon germanium or it may be made of materials other than silicon, such as germanium.
  • the terms “substrate” or “semiconductor substrate” should be understood to cover all semiconducting materials and all forms of such materials.
  • the substrate 210 may have different layers.
  • the fin 205 may be formed in a process layer formed above the base layer of the substrate 210 .
  • FIG. 2B illustrates the product 200 after the dielectric layer 245 was planarized to remove the gate cap layer 230 , reduce the height of the sidewall spacer 235 , and expose a top surface of the sacrificial placeholder material 225 .
  • FIG. 2C illustrates the product 200 after a plurality of etch processes were performed to remove the sacrificial placeholder material 225 and any underlying gate dielectric layer (not visible), thereby defining a gate cavity 250 .
  • FIG. 2D illustrates the product 200 after several deposition processes were performed to form a mask layer 255 (e.g., organic patterning layer (OPL)) in the lower portion of the gate cavity 250 and to form a first gate insulation layer 260 (e.g., high-k material, such as hafnium dioxide).
  • a mask layer 255 e.g., organic patterning layer (OPL)
  • OPL organic patterning layer
  • first gate insulation layer 260 e.g., high-k material, such as hafnium dioxide
  • FIG. 2E illustrates the product 200 after an anisotropic etch process was performed to define an inner spacer 260 S from the first gate insulation layer 260 .
  • An ashing process was performed to strip the mask layer 255 .
  • FIG. 2F illustrates the product 200 after several processes were performed.
  • a deposition process was performed to form a second gate insulation layer 265 in the gate cavity 250 .
  • One or more deposition processes were performed to form a gate electrode 270 in the gate cavity.
  • the gate electrode 270 may have multiple layers, such as a barrier layer, one or more work function material layers, and a metal fill layer (e.g., tungsten, aluminum, etc.).
  • a planarization process was performed to remove excess portions of the gate electrode 270 and the second gate insulation layer 265 positioned above the upper surface of the dielectric layer 245 .
  • the first and second gate insulation layers 260 , 265 may be the same material, so the combination of the inner spacer 260 S and the second gate insulation layer 265 may be seen as a gate insulation layer 275 with an increased thickness in an upper portion the gate cavity 250 .
  • FIG. 2G illustrates the product 200 after an etch process was performed to recess the gate electrode 270 .
  • FIG. 2H illustrates the product 200 after an etch process was performed to recess the inner spacer 260 S and the second gate insulation layer 265 to define an air gap cavity 280 adjacent the gate electrode 270 .
  • the recessing may extend to the entire depth of the inner spacer 260 S (i.e., the portion of the gate insulation layer 275 having the increased thickness).
  • FIG. 2I illustrates the product 200 after a deposition process was performed to form a gate cap layer 285 in the gate cavity 250 above the gate electrode 270 .
  • a planarization process was performed to remove excess portions of the gate cap layer 285 extending above the dielectric layer 245 .
  • the gate cap layer 285 pinches off the upper portion of the air gap cavity 280 .
  • the provision of the air gap cavity 280 adjacent the gate electrode 270 reduces the effective capacitance of the product 200 , thereby improving performance.
  • FIGS. 3A-3C illustrate an alternative process flow for forming the product 200 ′.
  • FIG. 3A illustrates the product 200 ′ starting with the device 200 shown in FIG. 2G after an etch process was performed to recess the sidewall spacer 235 , the inner spacer 260 S, and the gate insulation layer 265 .
  • FIG. 3B illustrates the product 200 ′ after an etch process was performed to recess the inner spacer 260 S and the second gate insulation layer 265 to define the air gap cavity 280 adjacent the gate electrode 270 .
  • the recessing may extend to the entire depth of the inner spacer 260 S (i.e., the portion of the gate insulation layer 275 having the increased thickness).
  • FIG. 3C illustrates the product 200 ′ after a deposition process was performed to form the gate cap layer 285 in the gate cavity 250 above the gate electrode 270 .
  • a planarization process was performed to remove excess portions of the gate cap layer 285 extending above the dielectric layer 245 .
  • the gate cap layer 285 pinches off the upper portion of the air gap cavity 280 .

Abstract

A method of forming transistor devices with an air gap in the replacement gate structure is disclosed including forming a placeholder gate structure above a semiconductor material region, forming a sidewall spacer adjacent the placeholder gate structure, removing the placeholder gate structure to define a gate cavity bounded by the sidewall spacer, forming a gate insulation layer in the gate cavity, the gate insulation layer including a first portion having a first thickness and a second portion having a second thickness greater than the first thickness, forming a gate electrode in the gate cavity above the gate insulation layer, removing at least a portion of the second portion of the gate insulation layer to define an air gap cavity adjacent the gate electrode, and forming a first gate cap layer above the gate electrode, wherein the first gate cap layer seals an upper end of the air gap cavity.

Description

    BACKGROUND 1. FIELD OF THE INVENTION
  • The present disclosure generally relates to the fabrication of semiconductor devices, and, more particularly, to methods for forming an air gap in a replacement gate.
  • 2. DESCRIPTION OF THE RELATED ART
  • In modern integrated circuits, such as microprocessors, storage devices and the like, a very large number of circuit elements, especially transistors, are provided on a restricted chip area. Transistors come in a variety of shapes and forms, e.g., planar transistors, FinFET transistors, nanowire devices, etc. The transistors are typically either NMOS (NFET) or PMOS (PFET) type devices wherein the “N” and “P” designation is based upon the type of dopants used to create the source/drain regions of the devices. So-called CMOS (Complementary Metal Oxide Semiconductor) technology or products refers to integrated circuit products that are manufactured using both NMOS and PMOS transistor devices. Irrespective of the physical configuration of the transistor device, each device comprises drain and source regions and a gate electrode structure positioned above and between the source/drain regions. Upon application of an appropriate control voltage to the gate electrode, a conductive channel region forms between the drain region and the source region.
  • In some applications, fins for FinFET devices are formed such that the fin is vertically spaced apart from and above the substrate with an isolation material positioned between the fin and the substrate. FIG. 1 is a perspective view of an illustrative prior art FinFET semiconductor device 100 that is formed above a semiconductor substrate 105 at an intermediate point during fabrication. In this example, the FinFET device 100 includes three illustrative fins 110, an isolation material 130, a gate structure 115, sidewall spacers 120 and a gate cap layer 125. The fins 110 have a three-dimensional configuration: a height, a width, and an axial length. The portions of the fins 110 covered by the gate structure 115 are the channel regions of the FinFET device 100, while the portions of the fins 110 positioned laterally outside of the spacers 120 are part of the source/drain regions of the device 100. Although not depicted, the portions of the fins 110 in the source/drain regions may have additional epi semiconductor material formed thereon in either a merged or unmerged condition.
  • In an integrated circuit device, there are different performance requirements for different functional blocks or regions of the device. One technique for improving performance in a transistor device involves reducing the capacitance of the gate structure. Low dielectric constant materials have been employed for gate spacers to reduce the capacitance. To further reduce capacitance, air gaps have been employed in conjunction with the gate spacers. However, such techniques often require multiple process operations, which increases process complexity and can reduce yield.
  • The present disclosure is directed to various methods and resulting devices that may avoid, or at least reduce, the effects of one or more of the problems identified above.
  • SUMMARY
  • The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention. This summary is not an exhaustive overview of the invention. It is not intended to identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts in a simplified form as a prelude to the more detailed description that is discussed later.
  • Generally, the present disclosure is directed to various methods of forming transistor devices with an air gap in the replacement gate structure. One illustrative method includes, among other things, forming a placeholder gate structure above a semiconductor material region, forming a sidewall spacer adjacent the placeholder gate structure, removing the placeholder gate structure to define a gate cavity bounded by the sidewall spacer, forming a gate insulation layer in the gate cavity, wherein the gate insulation layer includes a first portion positioned in a lower portion of the gate cavity having a first thickness and a second portion positioned in an upper portion of the gate cavity having a second thickness greater than the first thickness, forming a gate electrode in the gate cavity above the gate insulation layer, removing at least a portion of the second portion of the gate insulation layer to define an air gap cavity adjacent the gate electrode, and forming a first gate cap layer above the gate electrode, wherein the first gate cap layer seals an upper end of the air gap cavity.
  • Another illustrative method includes, among other things, forming a placeholder gate structure above a semiconductor material region, forming a sidewall spacer adjacent the placeholder gate structure, removing the placeholder gate structure to define a gate cavity bounded by the sidewall spacer, forming a mask layer in a lower portion of the gate cavity, forming a first gate insulation layer in the gate cavity and above the mask layer, etching the first gate insulation layer to define an inner spacer, removing the mask layer, forming a second gate insulation layer in the gate cavity and above the inner spacer, forming a gate electrode in the gate cavity above the second gate insulation layer, removing at least portions of the inner spacer and the second gate insulation layer in an upper portion of the gate cavity to define an air gap cavity adjacent the gate electrode, and forming a first gate cap layer above the gate electrode, wherein the first gate cap layer seals an upper end of the air gap cavity.
  • One illustrative device includes, among other things, a gate electrode formed above a semiconductor material region, a sidewall spacer positioned adjacent the gate electrode, wherein an air gap is defined between an upper portion of the sidewall spacer and the gate electrode, a gate insulation layer having a first portion positioned between the gate electrode and the semiconductor material region and a second portion positioned between a lower portion of the sidewall spacer and the gate electrode along a portion of a sidewall of the gate electrode, and a gate cap layer positioned above the gate electrode, wherein the first gate cap layer seals an upper end of the air gap cavity.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The disclosure may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which:
  • FIG. 1 schematically depicts an illustrative prior art finFET device; and
  • FIGS. 2A-2I and 3A-3C depict various methods disclosed herein of forming transistor devices with an air gap in a replacement gate structure.
  • While the subject matter disclosed herein is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.
  • DETAILED DESCRIPTION
  • Various illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.
  • The present subject matter will now be described with reference to the attached figures. Various structures, systems and devices are schematically depicted in the drawings for purposes of explanation only and so as to not obscure the present disclosure with details that are well known to those skilled in the art. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present disclosure. The words and phrases used herein should be understood and interpreted to have a meaning consistent with the understanding of those words and phrases by those skilled in the relevant art. No special definition of a term or phrase, i.e., a definition that is different from the ordinary and customary meaning as understood by those skilled in the art, is intended to be implied by consistent usage of the term or phrase herein. To the extent that a term or phrase is intended to have a special meaning, i.e., a meaning other than that understood by skilled artisans, such a special definition will be expressly set forth in the specification in a definitional manner that directly and unequivocally provides the special definition for the term or phrase.
  • The present disclosure generally relates to various methods of forming transistor devices with an air gap in the replacement gate structure. As will be readily apparent to those skilled in the art upon a complete reading of the present application, the present method is applicable to a variety of devices, including, but not limited to, logic devices, memory devices, etc. With reference to the attached figures, various illustrative embodiments of the methods and devices disclosed herein will now be described in more detail.
  • FIGS. 2A-2I and 3A-3C illustrate various illustrative methods disclosed herein for forming an integrated circuit product 200 with a replacement gate air gap. In the illustrated embodiment, the product includes finFET transistor devices, but the techniques described herein are not so limited, and they may be applied to other types of devices, such as planar devices. FIGS. 2A-2I and 3A-3C show a cross-sectional view of the product 200 taken through the long axis of one of a fin 205 formed in a substrate 210. The cross-sectional view is taken in a direction corresponding to the gate length direction of the product 200.
  • In the illustrated embodiment, a replacement gate technique is used to form devices in the product 200. A placeholder gate structure 220 was formed above the fin 205. The placeholder gate structure 220 includes a sacrificial placeholder material 225, such as amorphous polysilicon, and a gate insulation layer (not separately shown), such as silicon dioxide. Also depicted are an illustrative gate cap layer 230 and a sidewall spacer 235, both of which may be made of a dielectric material such as silicon nitride. Source/drain regions 240 were formed adjacent the placeholder gate structure 220 by recessing the fin 205 and performing an epitaxial growth process. A dielectric layer 245 (e.g., silicon dioxide, a low-k dielectric material having a dielectric constant of approximately 3.0 or lower, or an ultra-low-k (ULK) material having a dielectric constant of approximately 2.5 or lower) was formed above the placeholder gate structure 220 and the source/drain regions 240.
  • The transistor devices formed in the product 200 depicted herein may be either NMOS or PMOS transistors, or a combination of both. Additionally, various doped regions, e.g., halo implant regions, well regions and the like, may be formed, but are not depicted in the attached drawings. The substrate 210 may have a variety of configurations, such as the depicted bulk silicon configuration. The substrate 210 may also have a silicon-on-insulator (SOI) configuration that includes a bulk silicon layer, a buried insulation layer and an active layer, wherein semiconductor devices are formed in and above the active layer. The substrate 210 may be formed of silicon or silicon germanium or it may be made of materials other than silicon, such as germanium. Thus, the terms “substrate” or “semiconductor substrate” should be understood to cover all semiconducting materials and all forms of such materials. The substrate 210 may have different layers. For example, the fin 205 may be formed in a process layer formed above the base layer of the substrate 210.
  • FIG. 2B illustrates the product 200 after the dielectric layer 245 was planarized to remove the gate cap layer 230, reduce the height of the sidewall spacer 235, and expose a top surface of the sacrificial placeholder material 225.
  • FIG. 2C illustrates the product 200 after a plurality of etch processes were performed to remove the sacrificial placeholder material 225 and any underlying gate dielectric layer (not visible), thereby defining a gate cavity 250.
  • FIG. 2D illustrates the product 200 after several deposition processes were performed to form a mask layer 255 (e.g., organic patterning layer (OPL)) in the lower portion of the gate cavity 250 and to form a first gate insulation layer 260 (e.g., high-k material, such as hafnium dioxide).
  • FIG. 2E illustrates the product 200 after an anisotropic etch process was performed to define an inner spacer 260S from the first gate insulation layer 260. An ashing process was performed to strip the mask layer 255.
  • FIG. 2F illustrates the product 200 after several processes were performed. A deposition process was performed to form a second gate insulation layer 265 in the gate cavity 250. One or more deposition processes were performed to form a gate electrode 270 in the gate cavity. The gate electrode 270 may have multiple layers, such as a barrier layer, one or more work function material layers, and a metal fill layer (e.g., tungsten, aluminum, etc.). A planarization process was performed to remove excess portions of the gate electrode 270 and the second gate insulation layer 265 positioned above the upper surface of the dielectric layer 245. The first and second gate insulation layers 260, 265 may be the same material, so the combination of the inner spacer 260S and the second gate insulation layer 265 may be seen as a gate insulation layer 275 with an increased thickness in an upper portion the gate cavity 250.
  • FIG. 2G illustrates the product 200 after an etch process was performed to recess the gate electrode 270.
  • FIG. 2H illustrates the product 200 after an etch process was performed to recess the inner spacer 260S and the second gate insulation layer 265 to define an air gap cavity 280 adjacent the gate electrode 270. The recessing may extend to the entire depth of the inner spacer 260S (i.e., the portion of the gate insulation layer 275 having the increased thickness).
  • FIG. 2I illustrates the product 200 after a deposition process was performed to form a gate cap layer 285 in the gate cavity 250 above the gate electrode 270. A planarization process was performed to remove excess portions of the gate cap layer 285 extending above the dielectric layer 245. During the deposition process, the gate cap layer 285 pinches off the upper portion of the air gap cavity 280. The provision of the air gap cavity 280 adjacent the gate electrode 270 reduces the effective capacitance of the product 200, thereby improving performance.
  • FIGS. 3A-3C illustrate an alternative process flow for forming the product 200′. FIG. 3A illustrates the product 200′ starting with the device 200 shown in FIG. 2G after an etch process was performed to recess the sidewall spacer 235, the inner spacer 260S, and the gate insulation layer 265.
  • FIG. 3B illustrates the product 200′ after an etch process was performed to recess the inner spacer 260S and the second gate insulation layer 265 to define the air gap cavity 280 adjacent the gate electrode 270. The recessing may extend to the entire depth of the inner spacer 260S (i.e., the portion of the gate insulation layer 275 having the increased thickness).
  • FIG. 3C illustrates the product 200′ after a deposition process was performed to form the gate cap layer 285 in the gate cavity 250 above the gate electrode 270. A planarization process was performed to remove excess portions of the gate cap layer 285 extending above the dielectric layer 245. During the deposition process, the gate cap layer 285 pinches off the upper portion of the air gap cavity 280.
  • The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. For example, the process steps set forth above may be performed in a different order. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Note that the use of terms, such as “first,” “second,” “third” or “fourth” to describe various processes or structures in this specification and in the attached claims is only used as a shorthand reference to such steps/structures and does not necessarily imply that such steps/structures are performed/formed in that ordered sequence. Of course, depending upon the exact claim language, an ordered sequence of such processes may or may not be required. Accordingly, the protection sought herein is as set forth in the claims below.

Claims (21)

1. A method, comprising:
forming a placeholder gate structure above a semiconductor material region;
forming a sidewall spacer adjacent the placeholder gate structure;
removing the placeholder gate structure to define a gate cavity bounded by the sidewall spacer;
forming a gate insulation layer in the gate cavity, wherein the gate insulation layer comprises a first vertical portion positioned in a lower portion of the gate cavity having a first thickness in a horizontal direction and a second vertical portion positioned in an upper portion of the gate cavity having a second thickness in the horizontal direction greater than the first thickness;
forming a gate electrode in the gate cavity above the gate insulation layer;
removing at least a portion of the second vertical portion of the gate insulation layer to define an air gap cavity adjacent the gate electrode; and
forming a first gate cap layer above the gate electrode, wherein the first gate cap layer seals an upper end of the air gap cavity.
2. The method of claim 1, wherein forming the gate insulation layer comprises:
forming an inner spacer on the upper portion of the gate cavity; and
forming a first insulating layer above the inner spacer and in the lower portion of the gate cavity, wherein the inner spacer and the first insulating layer define the gate insulation layer.
3. The method of claim 2, wherein forming the inner spacer comprises:
forming a mask layer in the lower portion of the gate cavity;
forming a second insulating layer above the mask layer;
etching the second insulating layer to define the inner spacer; and
removing the mask layer.
4. The method of claim 2, wherein the inner spacer and the first layer of insulating material comprise a same material.
5. The method of claim 4, wherein the inner spacer and the first layer of insulating material comprise a high-k dielectric material.
6. The method of claim 2, wherein removing at least a portion of the second vertical portion of the gate insulation layer comprises removing the inner spacer and portions of the first insulating layer adjacent the inner spacer.
7. The method of claim 1, wherein removing at least a portion of the second vertical portion of the gate insulation layer comprises removing an entirety of the second vertical portion.
8. The method of claim 1, further comprising recessing the sidewall spacer prior to removing at least a portion of the second vertical portion of the gate insulation layer.
9. The method of claim 1, wherein forming the gate electrode in the gate cavity comprises:
forming the gate electrode to fill the gate cavity; and
recessing the gate electrode prior to removing at least a portion of the second vertical portion of the gate insulation layer.
10. The method of claim 1, wherein a second gate cap layer is positioned above the placeholder gate structure, and the method further comprises:
forming a dielectric layer above the placeholder gate structure; and
planarizing the dielectric layer, the sidewall spacer and the second gate cap layer to expose the placeholder gate structure.
11. A method, comprising:
forming a placeholder gate structure above a semiconductor material region;
forming a sidewall spacer adjacent the placeholder gate structure;
removing the placeholder gate structure to define a gate cavity bounded by the sidewall spacer;
forming a mask layer in a lower portion of the gate cavity;
forming a first gate insulation layer in the gate cavity and above the mask layer;
etching the first gate insulation layer to define an inner spacer;
removing the mask layer;
forming a second gate insulation layer in the gate cavity and above the inner spacer;
forming a gate electrode in the gate cavity above the second gate insulation layer;
removing at least portions of the inner spacer and the second gate insulation layer in an upper portion of the gate cavity to define an air gap cavity adjacent the gate electrode; and
forming a first gate cap layer above the gate electrode, wherein the first gate cap layer seals an upper end of the air gap cavity.
12. The method of claim 11, wherein the first and second gate insulation layers comprise a same material.
13. The method of claim 12, wherein the first and second gate insulation layers comprise a high-k dielectric material.
14. The method of claim 11, wherein removing at least portions of the inner spacer and the second gate insulation layer comprises removing overlapping portions of the inner spacer and the second gate insulation layer.
15. The method of claim 11, further comprising recessing the sidewall spacer prior to removing portions of the inner spacer and the second gate insulation layer.
16. The method of claim 11, wherein forming the gate electrode in the gate cavity comprises:
forming the gate electrode to fill the gate cavity; and
recessing the gate electrode prior to removing portions of the inner spacer and the second gate insulation layer.
17. The method of claim 11, wherein a second gate cap layer is positioned above the placeholder gate structure, and the method further comprises:
forming a dielectric layer above the placeholder gate structure; and
planarizing the dielectric layer, the sidewall spacer and the second gate cap layer to expose the placeholder gate structure.
18.-20. (canceled)
21. A method, comprising:
forming a placeholder gate structure above a semiconductor material region;
forming a sidewall spacer adjacent the placeholder gate structure;
removing the placeholder gate structure to define a gate cavity bounded by the sidewall spacer;
forming a gate insulation layer in the gate cavity, wherein the gate insulation layer comprises a first portion positioned in a lower portion of the gate cavity having a first thickness and a second portion positioned in an upper portion of the gate cavity having a second thickness greater than the first thickness;
forming a gate electrode in the gate cavity above the gate insulation layer;
recessing the sidewall spacer;
removing a portion of the second portion of the gate insulation layer after recessing the sidewall spacer to define an air gap cavity adjacent the gate electrode; and
forming a first gate cap layer above the gate electrode, wherein the first gate cap layer seals an upper end of the air gap cavity.
22. The method of claim 21, wherein forming the gate electrode in the gate cavity comprises:
forming the gate electrode to fill the gate cavity; and
recessing the gate electrode prior to removing at least a portion of the second portion of the gate insulation layer.
23. The method of claim 21, wherein a second gate cap layer is positioned above the placeholder gate structure, and the method further comprises:
forming a dielectric layer above the placeholder gate structure; and
planarizing the dielectric layer, the sidewall spacer and the second gate cap layer to expose the placeholder gate structure.
US16/016,828 2018-06-25 2018-06-25 Method for forming replacement air gap Active US10535771B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/016,828 US10535771B1 (en) 2018-06-25 2018-06-25 Method for forming replacement air gap
US16/664,056 US11456382B2 (en) 2018-06-25 2019-10-25 Transistor comprising an air gap positioned adjacent a gate electrode

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US16/016,828 US10535771B1 (en) 2018-06-25 2018-06-25 Method for forming replacement air gap

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/664,056 Division US11456382B2 (en) 2018-06-25 2019-10-25 Transistor comprising an air gap positioned adjacent a gate electrode

Publications (2)

Publication Number Publication Date
US20190393335A1 true US20190393335A1 (en) 2019-12-26
US10535771B1 US10535771B1 (en) 2020-01-14

Family

ID=68982203

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/016,828 Active US10535771B1 (en) 2018-06-25 2018-06-25 Method for forming replacement air gap
US16/664,056 Active 2038-07-16 US11456382B2 (en) 2018-06-25 2019-10-25 Transistor comprising an air gap positioned adjacent a gate electrode

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/664,056 Active 2038-07-16 US11456382B2 (en) 2018-06-25 2019-10-25 Transistor comprising an air gap positioned adjacent a gate electrode

Country Status (1)

Country Link
US (2) US10535771B1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872965B2 (en) * 2018-07-27 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure
US20210249414A1 (en) * 2020-02-12 2021-08-12 Winbond Electronics Corp. Memory devices and methods for forming the same
US11101385B2 (en) * 2018-09-19 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with air gap and method for forming the same
KR20210122648A (en) * 2020-03-30 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Air spacer and capping structures in semiconductor devices
US11282920B2 (en) * 2019-09-16 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gap on gate structure and method for forming the same
US11417750B2 (en) 2020-01-31 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate air spacer for fin-like field effect transistor
TWI783357B (en) * 2020-01-31 2022-11-11 台灣積體電路製造股份有限公司 Transistor and method for manufacturing the same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11557510B2 (en) * 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5736446A (en) 1997-05-21 1998-04-07 Powerchip Semiconductor Corp. Method of fabricating a MOS device having a gate-side air-gap structure
US6001695A (en) 1998-03-02 1999-12-14 Texas Instruments - Acer Incorporated Method to form ultra-short channel MOSFET with a gate-side airgap structure
US6104077A (en) 1998-04-14 2000-08-15 Advanced Micro Devices, Inc. Semiconductor device having gate electrode with a sidewall air gap
US6127712A (en) * 1998-05-22 2000-10-03 Texas Instruments--Acer Incorporated Mosfet with buried contact and air-gap gate structure
US7078284B2 (en) * 2002-06-20 2006-07-18 Micron Technology, Inc. Method for forming a notched gate
US6867084B1 (en) * 2002-10-03 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure and method of forming the gate dielectric with mini-spacer
US7741663B2 (en) 2008-10-24 2010-06-22 Globalfoundries Inc. Air gap spacer formation
US8390079B2 (en) 2010-10-28 2013-03-05 International Business Machines Corporation Sealed air gap for semiconductor chip
US8637930B2 (en) 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
US8685817B1 (en) * 2012-11-19 2014-04-01 International Business Machines Corporation Metal gate structures for CMOS transistor devices having reduced parasitic capacitance
US9515156B2 (en) 2014-10-17 2016-12-06 Lam Research Corporation Air gap spacer integration for improved fin device performance
US10741654B2 (en) * 2016-11-17 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
KR102406716B1 (en) * 2016-12-02 2022-06-07 삼성전자주식회사 Semiconductor device and method for fabricating the same
TWI716601B (en) * 2017-06-06 2021-01-21 聯華電子股份有限公司 Semiconductor device and method for fabricating the same

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872965B2 (en) * 2018-07-27 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure
US11929419B2 (en) 2018-07-27 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US11101385B2 (en) * 2018-09-19 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with air gap and method for forming the same
US11282920B2 (en) * 2019-09-16 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gap on gate structure and method for forming the same
US11715761B2 (en) 2019-09-16 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with air gap on gate structure and method for forming the same
US11417750B2 (en) 2020-01-31 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate air spacer for fin-like field effect transistor
TWI783357B (en) * 2020-01-31 2022-11-11 台灣積體電路製造股份有限公司 Transistor and method for manufacturing the same
US20210249414A1 (en) * 2020-02-12 2021-08-12 Winbond Electronics Corp. Memory devices and methods for forming the same
US11665916B2 (en) * 2020-02-12 2023-05-30 Winbond Electronics Corp. Memory devices and methods for forming the same
KR20210122648A (en) * 2020-03-30 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Air spacer and capping structures in semiconductor devices
KR102555617B1 (en) 2020-03-30 2023-07-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Air spacer and capping structures in semiconductor devices

Also Published As

Publication number Publication date
US10535771B1 (en) 2020-01-14
US11456382B2 (en) 2022-09-27
US20200066899A1 (en) 2020-02-27

Similar Documents

Publication Publication Date Title
US10535771B1 (en) Method for forming replacement air gap
US9443956B2 (en) Method for forming air gap structure using carbon-containing spacer
US9799748B1 (en) Method of forming inner spacers on a nano-sheet/wire device
US9406676B2 (en) Method for forming single diffusion breaks between finFET devices and the resulting devices
US10170544B2 (en) Integrated circuit products that include FinFET devices and a protection layer formed on an isolation region
US9064932B1 (en) Methods of forming gate structures by a gate-cut-last process and the resulting structures
US9966456B1 (en) Methods of forming gate electrodes on a vertical transistor device
US20150279999A1 (en) Finfet devices with different fin heights in the channel and source/drain regions
US20160111491A1 (en) Fin device with blocking layer in channel region
US10366930B1 (en) Self-aligned gate cut isolation
US20180366553A1 (en) Methods of forming an air gap adjacent a gate structure of a finfet device and the resulting devices
US10242982B2 (en) Method for forming a protection device having an inner contact spacer and the resulting devices
US10229855B2 (en) Methods of forming transistor devices with different threshold voltages and the resulting devices
US10229999B2 (en) Methods of forming upper source/drain regions on a vertical transistor device
US9502308B1 (en) Methods for forming transistor devices with different source/drain contact liners and the resulting devices
US9711503B2 (en) Gate structures with protected end surfaces to eliminate or reduce unwanted EPI material growth
US9166025B1 (en) Methods of forming a nanowire device with a gate-all-around-channel configuration and the resulting nanowire device
US10056368B2 (en) Fin diode with increased junction area
US20170288041A1 (en) Method for forming a doped region in a fin using a variable thickness spacer and the resulting device
US10600876B2 (en) Methods for chamfering work function material layers in gate cavities having varying widths
US11121023B2 (en) FinFET device comprising a single diffusion break with an upper surface that is substantially coplanar with an upper surface of a fin
US9171922B1 (en) Combination finFET/ultra-thin body transistor structure and methods of making such structures
US10950692B2 (en) Methods of forming air gaps between source/drain contacts and the resulting devices
US10727133B2 (en) Method of forming gate structure with undercut region and resulting device
US20160013291A1 (en) Methods of forming isolated channel regions for a finfet semiconductor device and the resulting device

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ECONOMIKOS, LAERTIS;PANDEY, SHESH MANI;ZANG, HUI;AND OTHERS;SIGNING DATES FROM 20180621 TO 20180622;REEL/FRAME:046188/0984

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4