US20160111491A1 - Fin device with blocking layer in channel region - Google Patents

Fin device with blocking layer in channel region Download PDF

Info

Publication number
US20160111491A1
US20160111491A1 US14/983,329 US201514983329A US2016111491A1 US 20160111491 A1 US20160111491 A1 US 20160111491A1 US 201514983329 A US201514983329 A US 201514983329A US 2016111491 A1 US2016111491 A1 US 2016111491A1
Authority
US
United States
Prior art keywords
fin
source
drain regions
dielectric layer
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/983,329
Inventor
Ajey P. Jacob
Min-Hwa Chi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US14/983,329 priority Critical patent/US20160111491A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHI, MIN-HWA, JACOB, AJEY P.
Publication of US20160111491A1 publication Critical patent/US20160111491A1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0638Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for preventing surface leakage due to surface inversion layer, e.g. with channel stopper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Definitions

  • the present disclosure generally relates to the fabrication of semiconductor devices, and, more particularly, to a fin device with a blocking layer in the channel region.
  • FETs field effect transistors
  • MOSFETs complementary MOSFET transistors
  • FETs may be either so-called planar FET devices (at 20 nm or earlier CMOS nodes) or three-dimensional (3D) devices, such as finFET devices (at 20 nm or later 14 nm CMOS nodes).
  • a field effect transistor typically includes doped source/drain regions that are formed in a semiconductor substrate that are separated by a channel region.
  • a gate insulation layer e.g., dielectric
  • a conductive gate electrode is positioned above the gate insulation layer.
  • the gate insulation layer and the gate electrode may sometimes be referred to as the gate structure or gate stack for the device.
  • the gate structure is formed above a substantially planar upper surface of the substrate.
  • the gate structures for such planar FET devices may be manufactured using so-called “gate-first” or “replacement gate” (gate-last) manufacturing techniques.
  • the channel length of FETs has been significantly decreased, which has resulted in improving the switching speed of FETs.
  • decreasing the channel length of a FET also decreases the distance between the source region and the drain region. In some cases, this decrease in the separation between the source and the drain makes it difficult to efficiently inhibit the electrical potential of the source region (leading to large leakage current between source and drain in “off-state”) and the channel from being adversely affected by the electrical potential of the drain. This is sometimes referred to as a so-called short channel effect, wherein the characteristic of the FET as an active switch is degraded.
  • FIG. 1 is a side view of an illustrative prior art finFET semiconductor device 100 that is formed above a semiconductor substrate 105 .
  • the finFET device 100 includes three illustrative fins 110 , a gate structure 115 , sidewall spacers 120 and a gate cap 125 .
  • the gate structure 115 is typically made up of a layer of insulating material (not separately shown), e.g., a layer of high-k insulating material on a thin silicon dioxide interfacial layer, and one or more conductive material layers (e.g., metal, metal-nitride and/or polysilicon) that serve as the work-function material and gate electrode for the device 100 .
  • the fins 110 have a three-dimensional configuration. The portions of the fins 110 covered by the gate structure 115 define the channel region of the finFET device 100 .
  • An isolation structure 130 is formed between the fins 110 , similar to the shallow trench isolation (STI) structure formed between planar transistors.
  • STI shallow trench isolation
  • parasitic source and drain capacitances and resulting source-to-drain leakage are performance-limiting factors, similar to planar CMOS devices.
  • a counter-doped implant region i.e., using the opposite type of dopants as the source and drain
  • a punch through stopper implant may be provided in the lower portion of the channel region of the fin. This counter-doping of the fin reduces carrier mobility and damages to the fin due to the implantation through the fin regions. Even with a counter-doped region, the junction leakage from the source and drain to the substrate still significantly contributes to total device leakage at off-state.
  • Another approach involves locally implanting ions, such as oxygen, in the channel region during the gate replacement process after the sacrificial polysilicon is removed and prior to forming the replacement gate material.
  • ions such as oxygen
  • the present disclosure is directed to various methods and resulting devices that may avoid, or at least reduce, the effects of one or more of the problems identified above.
  • a method includes forming an ion implant layer in a fin defined on a semiconductor substrate.
  • the semiconductor substrate is annealed to convert the ion implant layer to a dielectric layer.
  • a gate electrode structure is formed above the fin in a channel region after forming the ion implant layer.
  • the fin is recessed in a source/drain region.
  • a semiconductor material is epitaxially grown in the source/drain region.
  • Another method includes forming an ion implant layer comprising oxygen in a fin defined on a semiconductor substrate.
  • a gate electrode structure is formed above the fin in a channel region of a transistor device after forming the ion implant layer.
  • the material of the fin including the ion implant layer is replaced in source/drain regions of the transistor device with a semiconductor material.
  • the semiconductor substrate is annealed to convert the ion implant layer to a dielectric layer.
  • One illustrative semiconductor device disclosed herein includes, among other things, a fin defined on a substrate and a gate electrode structure formed above the fin.
  • a channel region of the device is defined beneath the gate electrode structure and source/drain regions of the fin are defined adjacent the gate electrode structure.
  • a dielectric layer is defined in the channel region. The dielectric layer includes oxygen and at least one of nitrogen, carbon or fluorine.
  • FIG. 1 schematically depicts an illustrative prior art finFET device
  • FIGS. 2A-2F and 3A-3F depict various methods disclosed herein of forming a finFET device
  • FIGS. 4A-4B and 5A-5B depict various methods disclosed herein of forming a finFET device using an alternative blocking layer implant process.
  • the present disclosure generally relates to various methods of forming a finFET device with a blocking layer in a channel region of the device.
  • the present method is applicable to a variety of devices, including, but not limited to, logic devices, memory devices, etc.
  • various illustrative embodiments of the methods and devices disclosed herein will now be described in more detail.
  • FIGS. 2A-2F and 3A-3F illustrate various methods for forming a finFET device 200 .
  • FIGS. 2A-2F show a cross-sectional view (in the gate width direction of the device 200 ) of a substrate 205 with a fin 210 defined therein and isolation structures 215 formed adjacent the fin 210 to isolate the fin 210 from adjacent fins (not shown).
  • the isolation region 215 is 100-300 nm deep and may be formed prior to or at the same time as the usual STI structures for planar transistors.
  • the active fin 210 is ⁇ 30-50 nm above the surface of the isolation region 215 .
  • FIGS. 3A-3F illustrate a cross-sectional view of the device 200 taken through the fin 210 in a direction corresponding to the gate length direction of the device 200 (rotated 90 degrees with respect to the view of FIGS. 2A-2F ).
  • the substrate 205 may have a variety of configurations, such as the depicted bulk silicon configuration.
  • the substrate 205 may also have a silicon-on-insulator (SOI) configuration that includes a bulk silicon layer, a buried insulation layer and an active layer, wherein semiconductor devices are formed in and above the active layer.
  • SOI silicon-on-insulator
  • the substrate 205 may be formed of silicon or silicon/germanium or it may be made of materials other than silicon, such as germanium.
  • the terms “substrate” or “semiconductor substrate” should be understood to cover all semiconducting materials and all forms of such materials.
  • the substrate 205 may have different layers.
  • the fin 210 may be formed in a process layer formed above the base layer of the substrate 205 .
  • FIGS. 2B and 3B illustrate the device 200 after an implantation process 220 (e.g., vertical) is performed to generate an ion implant region 225 in the fin 210 .
  • the ion implant region 225 includes oxygen ions.
  • the implantation process 220 may be a low energy implantation through the fin to a desirable depth below the fin surface, (e.g., ⁇ 5-20 nm below the surface of the fin 215 ).
  • other ions such as nitrogen, carbon or fluorine, may be co-implanted with the oxygen.
  • the implant species are also implanted into the isolation region 215 between the fins 210 with no effect to the devices.
  • FIGS. 2C and 3C illustrate the device 200 after several processes are performed to form a placeholder (or dummy) gate electrode structure 230 above the fin 210 .
  • the placeholder gate electrode structure 230 includes a gate insulation layer 235 (e.g., silicon dioxide interfacial layer and a high-k dielectric material), a placeholder gate electrode 240 (e.g., polysilicon), sidewall spacers 245 (e.g., silicon nitride or silicon oxide) and a cap layer 250 (e.g., silicon nitride).
  • a gate insulation layer 235 e.g., silicon dioxide interfacial layer and a high-k dielectric material
  • a placeholder gate electrode 240 e.g., polysilicon
  • sidewall spacers 245 e.g., silicon nitride or silicon oxide
  • a cap layer 250 e.g., silicon nitride
  • a replacement gate technique is used to form the finFET device 200 , and the placeholder gate electrode structure 230 is illustrated prior to the formation of a replacement gate structure, where the placeholder gate electrode 240 is typically replaced with a metal gate electrode.
  • the placeholder gate electrode 240 divides the fin into source/drain (SD) regions 255 , 260 and a channel region 265 therebetween.
  • the ion implant region 225 may be formed by performing an implantation process (with larger implant energy) after forming the gate insulation layer 235 and a layer of placeholder material from which the placeholder gate electrode 240 is formed. Subsequently, the gate insulation layer 235 and the layer of placeholder material may be patterned to define the placeholder gate electrode 240 and the spacers 245 and cap layer 250 may be formed.
  • FIGS. 2D and 3D illustrate the device 200 after a silicon etch process is performed to recess the fin 210 by using the spacers 245 and cap layer 250 as an etch mask.
  • the fin 210 is recessed to a height even with the isolation region 215 .
  • the etch process may remove some or all of the portions of the ion implant region 225 in the SD regions 255 , 260 .
  • the sidewalls 210 s of the recessed fin 210 may be substantially aligned with the sidewalls 245 s of the spacers 245 .
  • FIGS. 2E and 3E illustrate the device 200 after an anneal process (e.g., low temperature thermal anneal, etc.) is performed to densify and convert the ion implant region 225 into a dielectric layer 270 by reacting the ions (e.g., oxygen) with the silicon in the fin 210 to form an insulating layer (i.e., silicon dioxide with species of the above-described co-implants).
  • an anneal process e.g., low temperature thermal anneal, etc.
  • FIGS. 2F and 3F illustrate the device 200 after replacement fin portions 275 are epitaxially grown at the recessed SD portions of the fin 210 .
  • the replacement fin portions 275 may be epitaxially-grown silicon or an epitaxially-grown stress-inducing alloy, such as silicon/germanium, silicon/carbon, etc., to induce a strain in the channel region 265 to affect carrier mobility.
  • the replacement fin portions 275 may have a generally rectangular cross-section (as illustrated) or a diamond-shaped cross-section (not shown in the cross-section view through the channel region in FIG. 2F ) depending on the crystallographic orientation of the substrate 205 .
  • the device 200 depicted herein may be part of either an NMOS or a PMOS transistor. Additionally, various doped regions, e.g., halo implant regions, well regions and the like, may be formed, but are not depicted in the attached drawings. Additional processing steps may be performed to complete fabrication of the device 200 , such as the forming of a replacement gate electrode, the forming of interlayer dielectric materials and contact structures, etc.
  • FIGS. 4A-4B and 5A-5B illustrate an alternative embodiment of a method for forming a finFET device 400 where a blanket implantation process is used to form the ion implant region 225 prior to forming the fin 210 .
  • FIGS. 4A-4B show a cross-sectional view (in the gate width direction of the device 400 ) of the substrate 205 after the blanket implantation to define the ion implant region 225 .
  • FIGS. 5A-5B illustrate a cross-sectional view of the device 400 in a direction corresponding to the gate length direction of the device 400 (rotated 90 degrees with respect to the view of FIGS. 4A-4B ).
  • FIGS. 4B and 5B illustrate the device 400 after several steps have been performed to define a patterned hard mask layer 405 above the substrate 205 and to etch the substrate 205 to define the fin 210 .
  • the patterned hard mask layer 405 may be formed by depositing a hard mask material layer, forming a photoresist layer above the hard mask material layer, exposing the photoresist layer using a reticle and a radiation source to define a pattern therein, and etching the hard mask material layer using the patterned photoresist layer.
  • a subsequent anisotropic etch process may be used to define the fin 210 .
  • Additional processing steps similar to those described above may be performed to complete the device 400 , e.g., forming isolation structures 215 adjacent to the fin 210 , forming a placeholder gate structure 230 including spacer 245 and cap layer 250 above the fin 210 , recessing the fin 210 so as to remove the ion implant regions 225 in the SD regions, forming fin replacement portion 275 , and the like.
  • a silicon-on-insulator (SOI) structure may be achieved without the use of a conventional SIMOX process, which requires a higher oxygen dose (e.g., >10 16 cm ⁇ 2 ) and a higher anneal temperature (e.g., ⁇ 1200° C.), resulting in a lower defect rate as compared to a SIMOX approach. Because the oxygen implant occurs along the entire length of the fin, the stress is balanced. The insulating layer in the bottom portion of the channel and source/drain region reduces punch through and leakage current between source and drain. The use of co-implants along with the oxygen can enhance the punch through blocking characteristics and further reduce leakage currents not only between source/drain but also junction to substrate.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)

Abstract

A semiconductor device includes a fin defined on a substrate and a gate electrode structure formed above the fin. A channel region of the device is defined beneath the gate electrode structure and source/drain regions of the fin are defined adjacent the gate electrode structure. A dielectric layer is defined at least in the channel region. The dielectric layer includes oxygen and at least one of nitrogen, carbon or fluorine.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present disclosure generally relates to the fabrication of semiconductor devices, and, more particularly, to a fin device with a blocking layer in the channel region.
  • 2. Description of the Related Art
  • In modern integrated circuits, such as microprocessors, storage devices and the like, a very large number of circuit elements, especially transistors, are provided and operated on a restricted chip area. In integrated circuits fabricated using metal-oxide-semiconductor (MOS) technology, field effect transistors (FETs) (both NMOS and PMOS transistors, or complementary MOSFET transistors or CMOS) are provided that are typically operated in a switching mode. That is, these transistor devices exhibit a highly conductive state (on-state) and a high impedance state (off-state). FETs may take a variety of forms and configurations. For example, among other configurations, FETs may be either so-called planar FET devices (at 20 nm or earlier CMOS nodes) or three-dimensional (3D) devices, such as finFET devices (at 20 nm or later 14 nm CMOS nodes).
  • A field effect transistor (FET), irrespective of whether an NMOS transistor or a PMOS transistor is considered, and irrespective of whether it is a planar or 3D finFET device, typically includes doped source/drain regions that are formed in a semiconductor substrate that are separated by a channel region. A gate insulation layer (e.g., dielectric) is positioned above the channel region and a conductive gate electrode is positioned above the gate insulation layer. The gate insulation layer and the gate electrode may sometimes be referred to as the gate structure or gate stack for the device. By applying an appropriate voltage to the gate electrode, the channel region becomes conductive and current is allowed to flow from the source region to the drain region. In a planar FET device, the gate structure is formed above a substantially planar upper surface of the substrate. The gate structures for such planar FET devices may be manufactured using so-called “gate-first” or “replacement gate” (gate-last) manufacturing techniques.
  • To improve the operating speed of FETs, and to increase the density of FETs on an integrated circuit device, device designers have greatly reduced the physical size of FETs over the years. More specifically, the channel length of FETs has been significantly decreased, which has resulted in improving the switching speed of FETs. However, decreasing the channel length of a FET also decreases the distance between the source region and the drain region. In some cases, this decrease in the separation between the source and the drain makes it difficult to efficiently inhibit the electrical potential of the source region (leading to large leakage current between source and drain in “off-state”) and the channel from being adversely affected by the electrical potential of the drain. This is sometimes referred to as a so-called short channel effect, wherein the characteristic of the FET as an active switch is degraded.
  • In contrast to a planar MOSFET, a so-called finFET device has a three-dimensional (3D) structure. FIG. 1 is a side view of an illustrative prior art finFET semiconductor device 100 that is formed above a semiconductor substrate 105. In this example, the finFET device 100 includes three illustrative fins 110, a gate structure 115, sidewall spacers 120 and a gate cap 125. The gate structure 115 is typically made up of a layer of insulating material (not separately shown), e.g., a layer of high-k insulating material on a thin silicon dioxide interfacial layer, and one or more conductive material layers (e.g., metal, metal-nitride and/or polysilicon) that serve as the work-function material and gate electrode for the device 100. The fins 110 have a three-dimensional configuration. The portions of the fins 110 covered by the gate structure 115 define the channel region of the finFET device 100. An isolation structure 130 is formed between the fins 110, similar to the shallow trench isolation (STI) structure formed between planar transistors.
  • In a finFET device 100 formed above a bulk substrate, parasitic source and drain capacitances and resulting source-to-drain leakage are performance-limiting factors, similar to planar CMOS devices. To reduce source-to-drain leakage, a counter-doped implant region (i.e., using the opposite type of dopants as the source and drain), commonly referred to as a punch through stopper implant, may be provided in the lower portion of the channel region of the fin. This counter-doping of the fin reduces carrier mobility and damages to the fin due to the implantation through the fin regions. Even with a counter-doped region, the junction leakage from the source and drain to the substrate still significantly contributes to total device leakage at off-state.
  • Another approach involves locally implanting ions, such as oxygen, in the channel region during the gate replacement process after the sacrificial polysilicon is removed and prior to forming the replacement gate material. However, this localized oxygen ion implant often creates unbalanced strain in the device.
  • The present disclosure is directed to various methods and resulting devices that may avoid, or at least reduce, the effects of one or more of the problems identified above.
  • SUMMARY OF THE INVENTION
  • The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention. This summary is not an exhaustive overview of the invention. It is not intended to identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts in a simplified form as a prelude to the more detailed description that is discussed later.
  • Generally, the present disclosure is directed to various methods of forming semiconductor devices. A method includes forming an ion implant layer in a fin defined on a semiconductor substrate. The semiconductor substrate is annealed to convert the ion implant layer to a dielectric layer. A gate electrode structure is formed above the fin in a channel region after forming the ion implant layer. The fin is recessed in a source/drain region. A semiconductor material is epitaxially grown in the source/drain region.
  • Another method includes forming an ion implant layer comprising oxygen in a fin defined on a semiconductor substrate. A gate electrode structure is formed above the fin in a channel region of a transistor device after forming the ion implant layer. The material of the fin including the ion implant layer is replaced in source/drain regions of the transistor device with a semiconductor material. The semiconductor substrate is annealed to convert the ion implant layer to a dielectric layer.
  • One illustrative semiconductor device disclosed herein includes, among other things, a fin defined on a substrate and a gate electrode structure formed above the fin. A channel region of the device is defined beneath the gate electrode structure and source/drain regions of the fin are defined adjacent the gate electrode structure. A dielectric layer is defined in the channel region. The dielectric layer includes oxygen and at least one of nitrogen, carbon or fluorine.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The disclosure may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which:
  • FIG. 1 schematically depicts an illustrative prior art finFET device;
  • FIGS. 2A-2F and 3A-3F depict various methods disclosed herein of forming a finFET device; and
  • FIGS. 4A-4B and 5A-5B depict various methods disclosed herein of forming a finFET device using an alternative blocking layer implant process.
  • While the subject matter disclosed herein is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.
  • DETAILED DESCRIPTION
  • Various illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.
  • The present subject matter will now be described with reference to the attached figures. Various structures, systems and devices are schematically depicted in the drawings for purposes of explanation only and so as to not obscure the present disclosure with details that are well known to those skilled in the art. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present disclosure. The words and phrases used herein should be understood and interpreted to have a meaning consistent with the understanding of those words and phrases by those skilled in the relevant art. No special definition of a term or phrase, i.e., a definition that is different from the ordinary and customary meaning as understood by those skilled in the art, is intended to be implied by consistent usage of the term or phrase herein. To the extent that a term or phrase is intended to have a special meaning, i.e., a meaning other than that understood by skilled artisans, such a special definition will be expressly set forth in the specification in a definitional manner that directly and unequivocally provides the special definition for the term or phrase.
  • The present disclosure generally relates to various methods of forming a finFET device with a blocking layer in a channel region of the device. As will be readily apparent to those skilled in the art upon a complete reading of the present application, the present method is applicable to a variety of devices, including, but not limited to, logic devices, memory devices, etc. With reference to the attached figures, various illustrative embodiments of the methods and devices disclosed herein will now be described in more detail.
  • FIGS. 2A-2F and 3A-3F illustrate various methods for forming a finFET device 200. FIGS. 2A-2F show a cross-sectional view (in the gate width direction of the device 200) of a substrate 205 with a fin 210 defined therein and isolation structures 215 formed adjacent the fin 210 to isolate the fin 210 from adjacent fins (not shown). In one illustrative example, the isolation region 215 is 100-300 nm deep and may be formed prior to or at the same time as the usual STI structures for planar transistors. The active fin 210 is ˜30-50 nm above the surface of the isolation region 215. FIGS. 3A-3F illustrate a cross-sectional view of the device 200 taken through the fin 210 in a direction corresponding to the gate length direction of the device 200 (rotated 90 degrees with respect to the view of FIGS. 2A-2F).
  • The substrate 205 may have a variety of configurations, such as the depicted bulk silicon configuration. The substrate 205 may also have a silicon-on-insulator (SOI) configuration that includes a bulk silicon layer, a buried insulation layer and an active layer, wherein semiconductor devices are formed in and above the active layer. The substrate 205 may be formed of silicon or silicon/germanium or it may be made of materials other than silicon, such as germanium. Thus, the terms “substrate” or “semiconductor substrate” should be understood to cover all semiconducting materials and all forms of such materials. The substrate 205 may have different layers. For example, the fin 210 may be formed in a process layer formed above the base layer of the substrate 205.
  • FIGS. 2B and 3B illustrate the device 200 after an implantation process 220 (e.g., vertical) is performed to generate an ion implant region 225 in the fin 210. In the illustrated embodiment, the ion implant region 225 includes oxygen ions. The implantation process 220 may be a low energy implantation through the fin to a desirable depth below the fin surface, (e.g., ˜5-20 nm below the surface of the fin 215). In some embodiments, other ions, such as nitrogen, carbon or fluorine, may be co-implanted with the oxygen. Note that the implant species are also implanted into the isolation region 215 between the fins 210 with no effect to the devices.
  • FIGS. 2C and 3C illustrate the device 200 after several processes are performed to form a placeholder (or dummy) gate electrode structure 230 above the fin 210. The placeholder gate electrode structure 230 includes a gate insulation layer 235 (e.g., silicon dioxide interfacial layer and a high-k dielectric material), a placeholder gate electrode 240 (e.g., polysilicon), sidewall spacers 245 (e.g., silicon nitride or silicon oxide) and a cap layer 250 (e.g., silicon nitride). Particular processes for forming the placeholder gate electrode structure 230 are known to those of ordinary skill in the art, so they are not explained in greater detail herein. In the illustrated embodiment, a replacement gate technique (RMG) is used to form the finFET device 200, and the placeholder gate electrode structure 230 is illustrated prior to the formation of a replacement gate structure, where the placeholder gate electrode 240 is typically replaced with a metal gate electrode. The placeholder gate electrode 240 divides the fin into source/drain (SD) regions 255, 260 and a channel region 265 therebetween.
  • In an alternative embodiment, the ion implant region 225 may be formed by performing an implantation process (with larger implant energy) after forming the gate insulation layer 235 and a layer of placeholder material from which the placeholder gate electrode 240 is formed. Subsequently, the gate insulation layer 235 and the layer of placeholder material may be patterned to define the placeholder gate electrode 240 and the spacers 245 and cap layer 250 may be formed.
  • FIGS. 2D and 3D illustrate the device 200 after a silicon etch process is performed to recess the fin 210 by using the spacers 245 and cap layer 250 as an etch mask. As illustrated in FIG. 3D, the fin 210 is recessed to a height even with the isolation region 215. In some embodiments, the etch process may remove some or all of the portions of the ion implant region 225 in the SD regions 255, 260. As shown in FIG. 3D, after the fin 210 has been recessed as described above, the sidewalls 210 s of the recessed fin 210 may be substantially aligned with the sidewalls 245 s of the spacers 245.
  • FIGS. 2E and 3E illustrate the device 200 after an anneal process (e.g., low temperature thermal anneal, etc.) is performed to densify and convert the ion implant region 225 into a dielectric layer 270 by reacting the ions (e.g., oxygen) with the silicon in the fin 210 to form an insulating layer (i.e., silicon dioxide with species of the above-described co-implants). Again, portions of the dielectric layer 270 may extend into the SD regions 255, 260.
  • FIGS. 2F and 3F illustrate the device 200 after replacement fin portions 275 are epitaxially grown at the recessed SD portions of the fin 210. The replacement fin portions 275 may be epitaxially-grown silicon or an epitaxially-grown stress-inducing alloy, such as silicon/germanium, silicon/carbon, etc., to induce a strain in the channel region 265 to affect carrier mobility. The replacement fin portions 275 may have a generally rectangular cross-section (as illustrated) or a diamond-shaped cross-section (not shown in the cross-section view through the channel region in FIG. 2F) depending on the crystallographic orientation of the substrate 205.
  • The device 200 depicted herein may be part of either an NMOS or a PMOS transistor. Additionally, various doped regions, e.g., halo implant regions, well regions and the like, may be formed, but are not depicted in the attached drawings. Additional processing steps may be performed to complete fabrication of the device 200, such as the forming of a replacement gate electrode, the forming of interlayer dielectric materials and contact structures, etc.
  • FIGS. 4A-4B and 5A-5B illustrate an alternative embodiment of a method for forming a finFET device 400 where a blanket implantation process is used to form the ion implant region 225 prior to forming the fin 210. FIGS. 4A-4B show a cross-sectional view (in the gate width direction of the device 400) of the substrate 205 after the blanket implantation to define the ion implant region 225. FIGS. 5A-5B illustrate a cross-sectional view of the device 400 in a direction corresponding to the gate length direction of the device 400 (rotated 90 degrees with respect to the view of FIGS. 4A-4B).
  • FIGS. 4B and 5B illustrate the device 400 after several steps have been performed to define a patterned hard mask layer 405 above the substrate 205 and to etch the substrate 205 to define the fin 210. The patterned hard mask layer 405 may be formed by depositing a hard mask material layer, forming a photoresist layer above the hard mask material layer, exposing the photoresist layer using a reticle and a radiation source to define a pattern therein, and etching the hard mask material layer using the patterned photoresist layer. A subsequent anisotropic etch process may be used to define the fin 210. Additional processing steps similar to those described above may be performed to complete the device 400, e.g., forming isolation structures 215 adjacent to the fin 210, forming a placeholder gate structure 230 including spacer 245 and cap layer 250 above the fin 210, recessing the fin 210 so as to remove the ion implant regions 225 in the SD regions, forming fin replacement portion 275, and the like.
  • The methods described for forming finFET devices 200, 400 herein have numerous advantages. A silicon-on-insulator (SOI) structure may be achieved without the use of a conventional SIMOX process, which requires a higher oxygen dose (e.g., >1016 cm−2) and a higher anneal temperature (e.g., ˜1200° C.), resulting in a lower defect rate as compared to a SIMOX approach. Because the oxygen implant occurs along the entire length of the fin, the stress is balanced. The insulating layer in the bottom portion of the channel and source/drain region reduces punch through and leakage current between source and drain. The use of co-implants along with the oxygen can enhance the punch through blocking characteristics and further reduce leakage currents not only between source/drain but also junction to substrate.
  • The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. For example, the process steps set forth above may be performed in a different order. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Note that the use of terms, such as “first.” “second.” “third” or “fourth” to describe various processes or structures in this specification and in the attached claims is only used as a shorthand reference to such steps/structures and does not necessarily imply that such steps/structures are performed/formed in that ordered sequence. Of course, depending upon the exact claim language, an ordered sequence of such processes may or may not be required. Accordingly, the protection sought herein is as set forth in the claims below.

Claims (19)

What is claimed:
1. A semiconductor device, comprising:
a fin defined on a substrate;
a gate electrode structure formed above said fin, wherein a channel region of said device is defined beneath said gate electrode structure and source/drain regions of said fin are defined adjacent said gate electrode structure; and
a dielectric layer defined at least in said channel region, said dielectric layer comprising oxygen and at least one of nitrogen, carbon or fluorine.
2. The device of claim 1, further comprising an epitaxial material in said source/drain regions, wherein said dielectric layer does not extend into said source/drain regions.
3. The device of claim 2, wherein said epitaxial material comprises silicon/germanium.
4. The device of claim 1, further comprising an isolation structure adjacent said fin.
5. The device of claim 1, further comprising an epitaxial material in said source/drain regions, wherein said dielectric layer is disposed in said source/drain regions below said epitaxial material.
6. The device of claim 1, wherein said dielectric layer is disposed in said source/drain regions.
7. The device of claim 1, further comprising:
an isolation structure adjacent said fin; and
an epitaxial material in said source/drain regions having a depth corresponding to a top surface of said isolation structure.
8. A semiconductor device, comprising:
a fin defined on a substrate;
a gate electrode structure formed above said fin, wherein a channel region of said device is defined beneath said gate electrode structure and source/drain regions of said fin are defined adjacent said gate electrode structure; and
a dielectric layer defined in said fin, said dielectric layer comprising oxygen and at least one of nitrogen, carbon or fluorine.
9. The device of claim 8, further comprising an epitaxial material in said source/drain regions, wherein said dielectric layer does not extend into said source/drain regions.
10. The device of claim 9, wherein said epitaxial material comprises silicon/germanium.
11. The device of claim 8, further comprising an isolation structure adjacent said fin.
12. The device of claim 8, further comprising an epitaxial material in said source/drain regions, wherein said dielectric layer is disposed in said source/drain regions below said epitaxial material.
13. The device of claim 8, wherein said dielectric layer is disposed in said source/drain regions.
14. The device of claim 8, further comprising:
an isolation structure adjacent said fin; and
an epitaxial material in said source/drain regions having a depth corresponding to a top surface of said isolation structure.
15. A semiconductor device, comprising:
a fin defined on a substrate:
a gate electrode structure formed above said fin, wherein a channel region of said device is defined beneath said gate electrode structure;
source/drain regions defined in said fin adjacent said gate electrode structure, said source/drain regions comprising an epitaxial silicon/germanium material; and
a dielectric layer defined at least in said channel region, said dielectric layer comprising oxygen and at least one of nitrogen, carbon or fluorine.
16. The device of claim 15, wherein said dielectric layer does not extend into said source/drain regions.
17. The device of claim 1, further comprising an isolation structure adjacent said fin.
18. The device of claim 15, wherein said dielectric layer is disposed in said source/drain regions below said epitaxial material.
19. The device of claim 15, further comprising an isolation structure adjacent said fin, wherein said epitaxial material in said source/drain regions has a depth corresponding to a top surface of said isolation structure.
US14/983,329 2014-09-04 2015-12-29 Fin device with blocking layer in channel region Abandoned US20160111491A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/983,329 US20160111491A1 (en) 2014-09-04 2015-12-29 Fin device with blocking layer in channel region

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/476,830 US9263587B1 (en) 2014-09-04 2014-09-04 Fin device with blocking layer in channel region
US14/983,329 US20160111491A1 (en) 2014-09-04 2015-12-29 Fin device with blocking layer in channel region

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/476,830 Division US9263587B1 (en) 2014-09-04 2014-09-04 Fin device with blocking layer in channel region

Publications (1)

Publication Number Publication Date
US20160111491A1 true US20160111491A1 (en) 2016-04-21

Family

ID=55275507

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/476,830 Expired - Fee Related US9263587B1 (en) 2014-09-04 2014-09-04 Fin device with blocking layer in channel region
US14/983,329 Abandoned US20160111491A1 (en) 2014-09-04 2015-12-29 Fin device with blocking layer in channel region

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/476,830 Expired - Fee Related US9263587B1 (en) 2014-09-04 2014-09-04 Fin device with blocking layer in channel region

Country Status (1)

Country Link
US (2) US9263587B1 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8106459B2 (en) * 2008-05-06 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having dielectric punch-through stoppers
CN103151269B (en) * 2013-03-28 2015-08-12 北京大学 Prepare the method for source and drain accurate SOI multi-gate structure device
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US9847388B2 (en) * 2015-09-01 2017-12-19 International Business Machines Corporation High thermal budget compatible punch through stop integration using doped glass
CN106486377B (en) * 2015-09-01 2019-11-29 中芯国际集成电路制造(上海)有限公司 Fin type semiconductor devices and its manufacturing method
CN106611787A (en) * 2015-10-26 2017-05-03 联华电子股份有限公司 A semiconductor structure and a manufacturing method thereof
US9431486B1 (en) * 2015-11-30 2016-08-30 International Business Machines Corporation Channel strain and controlling lateral epitaxial growth of the source and drain in FinFET devices
US10026843B2 (en) * 2015-11-30 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structure of semiconductor device, manufacturing method thereof, and manufacturing method of active region of semiconductor device
US9680019B1 (en) * 2016-07-20 2017-06-13 Globalfoundries Inc. Fin-type field-effect transistors with strained channels
US10644112B2 (en) 2016-09-28 2020-05-05 Intel Corporation Systems, methods and devices for isolation for subfin leakage
US10896907B2 (en) 2016-09-30 2021-01-19 Intel Corporation Retrograde transistor doping by heterojunction materials
WO2019108237A1 (en) * 2017-11-30 2019-06-06 Intel Corporation Fin patterning for advanced integrated circuit structure fabrication
US11322357B2 (en) * 2020-03-02 2022-05-03 Globalfoundries U.S. Inc. Buried damage layers for electrical isolation
US20230145229A1 (en) * 2021-11-09 2023-05-11 Intel Corporation Layer transfer process to form backside contacts in semiconductor devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080111185A1 (en) * 2006-11-13 2008-05-15 International Business Machines Corporation Asymmetric multi-gated transistor and method for forming
US20110201164A1 (en) * 2010-02-12 2011-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Dual EPI Process For Semiconductor Device

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7564081B2 (en) * 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US20080054361A1 (en) * 2006-08-30 2008-03-06 Infineon Technologies Ag Method and apparatus for reducing flicker noise in a semiconductor device
US8389367B2 (en) * 2011-02-25 2013-03-05 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing a semiconductor device
US9087860B1 (en) * 2014-04-29 2015-07-21 Globalfoundries Inc. Fabricating fin-type field effect transistor with punch-through stop region

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080111185A1 (en) * 2006-11-13 2008-05-15 International Business Machines Corporation Asymmetric multi-gated transistor and method for forming
US20110201164A1 (en) * 2010-02-12 2011-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Dual EPI Process For Semiconductor Device

Also Published As

Publication number Publication date
US20160071979A1 (en) 2016-03-10
US9263587B1 (en) 2016-02-16

Similar Documents

Publication Publication Date Title
US9263587B1 (en) Fin device with blocking layer in channel region
US10164099B2 (en) Device with diffusion blocking layer in source/drain region
US9443956B2 (en) Method for forming air gap structure using carbon-containing spacer
US9082698B1 (en) Methods to improve FinFet semiconductor device behavior using co-implantation under the channel region
US9023715B2 (en) Methods of forming bulk FinFET devices so as to reduce punch through leakage currents
US9882025B1 (en) Methods of simultaneously forming bottom and top spacers on a vertical transistor device
US9966456B1 (en) Methods of forming gate electrodes on a vertical transistor device
US9362405B1 (en) Channel cladding last process flow for forming a channel region on a FinFET device
US20060043430A1 (en) Transistor having an asymmetric source/drain and halo implantation region and a method of forming the same
US8735237B2 (en) Method for increasing penetration depth of drain and source implantation species for a given gate height
US9263555B2 (en) Methods of forming a channel region for a semiconductor device by performing a triple cladding process
CN103928327B (en) Fin formula field effect transistor and forming method thereof
US11456382B2 (en) Transistor comprising an air gap positioned adjacent a gate electrode
US20150372139A1 (en) Constraining epitaxial growth on fins of a finfet device
US9685457B2 (en) Method including a formation of a transistor and semiconductor structure including a first transistor and a second transistor
US20120025315A1 (en) Transistor with Embedded Strain-Inducing Material and Dummy Gate Electrodes Positioned Adjacent to the Active Region
US9478663B2 (en) FinFET device including a uniform silicon alloy fin
US10242982B2 (en) Method for forming a protection device having an inner contact spacer and the resulting devices
US20200135895A1 (en) Novel epi semiconductor material structures in source/drain regions of a transistor device formed on an soi substrate
US9263585B2 (en) Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same
US9287130B1 (en) Method for single fin cuts using selective ion implants
US9634123B2 (en) FinFET device including a dielectrically isolated silicon alloy fin
US10177246B2 (en) Semiconductor structure and fabrication method thereof
US20170288041A1 (en) Method for forming a doped region in a fin using a variable thickness spacer and the resulting device
US9406803B2 (en) FinFET device including a uniform silicon alloy fin

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JACOB, AJEY P.;CHI, MIN-HWA;SIGNING DATES FROM 20140826 TO 20140827;REEL/FRAME:037379/0480

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117