US20180366540A1 - Semiconductor devices comprising vias and capacitors - Google Patents

Semiconductor devices comprising vias and capacitors Download PDF

Info

Publication number
US20180366540A1
US20180366540A1 US16/110,615 US201816110615A US2018366540A1 US 20180366540 A1 US20180366540 A1 US 20180366540A1 US 201816110615 A US201816110615 A US 201816110615A US 2018366540 A1 US2018366540 A1 US 2018366540A1
Authority
US
United States
Prior art keywords
conductive material
conductor
outmost
semiconductor device
insulator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/110,615
Inventor
Tieh-Chiang Wu
Shing-Yih Shih
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US16/110,615 priority Critical patent/US20180366540A1/en
Assigned to JPMORGAN CHASE BANK, N.A.., AS COLLATERAL AGENT reassignment JPMORGAN CHASE BANK, N.A.., AS COLLATERAL AGENT SUPPLEMENT NO. 1 TO PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT SUPPLEMENT NO. 10 TO PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Publication of US20180366540A1 publication Critical patent/US20180366540A1/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • C23C14/0652Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions

Definitions

  • Embodiments disclosed herein relate to semiconductor devices and to semiconductor device fabrication. More particularly, embodiments of the disclosure relate to semiconductor devices comprising via structures and capacitor structures.
  • TSVs through-silicon-vias
  • decaps on-chip decoupling capacitors
  • Conventional on-chip decoupling capacitors may be planar-type or trench-type. Because trench-type capacitors have a capacitance density advantage over planar-type capacitors, the trench-type capacitors are usually used in semiconductor devices. Therefore, the demands to forming TSVs and trench-type capacitors simultaneously have increased. However, traditional fabricating methods are expensive because of complex and costly processes. For example, sacrificial layers are usually used in fabrication steps.
  • an improved semiconductor structure that includes a capacitor structure and a via structure, and a fabricating method of the semiconductor structure are required.
  • the instant disclosure provides a method of fabricating a semiconductor structure, and the method includes the following steps.
  • a substrate with an upper surface and a lower surface is received.
  • a first recess extending from the upper surface to the lower surface is formed and the first recess has a first depth.
  • a second recess extending from the upper surface to the lower surface is formed and the second recess has a second depth less than the first depth.
  • a first conducting layer is formed in the first recess and the second recess.
  • a first insulating layer is formed over the first conducting layer.
  • a second conducting layer is formed over the first insulating layer and isolated from the first conducting layer with the first insulating layer.
  • the substrate is thinned from the lower surface to expose the second conducting layer in the first recess.
  • forming the first recess and the second recess are by laser drilling, dry etching or wet etching.
  • the dry etching includes reactive ion etching (RIE).
  • RIE reactive ion etching
  • forming the first recess and forming the second recess include forming a photoresist layer over the upper surface, wherein the photoresist layer has a first opening and a second opening smaller than the first opening.
  • the substrate is etched through the first opening to form the first recess and through the second opening to form the second recess.
  • the first conducting layer in the second recess is exposed after thinning the substrate from the lower surface.
  • thinning the substrate from the lower surface stops before exposing the first conducting layer in the second recess.
  • thinning the substrate from the lower surface is by backside grinding, chemical-mechanical polishing or blanket etching process.
  • a first dimension of the first recess is larger than a second dimension of the second recess.
  • forming the first conducting layer and the second conducting layer are by chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD) or plasma-enhanced chemical vapor deposition (PECVD).
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • the method further includes forming a second insulating layer in the first recess and the second recess before forming the first conducting layer in the first recess and the second recess.
  • forming the first insulating layer and the second insulating layer are by CVD, ALD, PVD or PECVD.
  • the instant disclosure provides a semiconductor structure.
  • the semiconductor structure includes a substrate and a via structure through the substrate.
  • the substrate has an upper surface and a lower surface.
  • the via structure includes a first inner conductor, a first outmost conductor, and a first inner insulator.
  • the first outmost conductor surrounds the first inner conductor and is coaxial with the first inner conductor.
  • the first inner insulator is between the first inner conductor and the first outmost conductor and separates the first inner conductor and the second outmost conductor.
  • the semiconductor structure further includes a capacitor structure in the substrate.
  • the capacitor structure includes a second inner conductor, a second outmost conductor, and a second inner insulator.
  • the second outmost conductor surrounds the second inner conductor and is coaxial with the second inner conductor.
  • the second inner insulator is between the second inner conductor and the second outmost conductor, wherein the second outmost conductor is isolated from the second inner conductor with the second inner insulator.
  • the substrate has a thickness and the first inner insulator has a height equal to the thickness.
  • a first dimension of the via structure is larger than a second dimension of the capacitor structure.
  • the semiconductor structure further includes an upper metal layer over the upper surface.
  • the upper metal layer is in contact with the second inner conductor and the second outmost conductor.
  • the semiconductor structure further includes a first outmost insulator separating the first outmost conductor from the substrate and a second outmost insulator separating the second outmost conductor from the substrate.
  • the capacitor structure penetrates through the substrate.
  • the semiconductor structure further includes an upper metal layer over the upper surface and a lower metal layer under the lower surface.
  • the upper metal layer is in contact with the second inner conductor and the lower metal layer is in contact with the second outmost conductor.
  • the semiconductor structure further includes a first outmost insulator separating the first outmost conductor from the substrate and a second outmost insulator separating the second outmost conductor from the substrate.
  • FIGS. 1A-1J are cross-sectional views of a semiconductor structure at various stages of fabrication, in accordance with various embodiments.
  • FIGS. 2A and 2B are cross-sectional views of a semiconductor structure at various stages of fabrication, in accordance with various embodiments.
  • the instant disclosure provides a semiconductor structure that includes a capacitor structure and a via structure, and a fabricating method of the semiconductor structure.
  • the fabricating method of the instant disclosure skips the process of using sacrificial layers and the capacitor structure and the via structure can be formed with the same etching processes such that the fabricating method has a simpler process flow and a lower process cost.
  • FIGS. 1A-1J are cross-sectional views of a semiconductor structure at various stages of fabrication, in accordance with various embodiments.
  • a substrate 110 with an upper surface 112 and a lower surface 114 is received.
  • a first recess 122 extending from the upper surface 112 to the lower surface 114 is formed and the first recess 112 has a first depth d 1 .
  • a second recess 124 extending from the upper surface 112 to the lower surface 114 is formed and the second recess 124 has a second depth d 2 less than the first depth d 1 .
  • the substrate 110 is a silicon wafer or die, which may include passive components such as resistors, capacitors, inductors, and active components, such as N-channel field effect transistors (NFETs), P-channel field effect transistors (PFETs), metal-oxide-semiconductor field effect transistors (MOSFETs), complementary metal-oxide-semiconductor (CMOS) transistors, high-voltage transistors, and/or high-frequency transistors, other suitable components, and/or combinations thereof.
  • the silicon wafer may include a material of Si, SiGe, SiGeC or SiC, a layered semiconductor such as Si/SiGe or a silicon-on-insulator (SOI). It is further understood that additional features may be added in the substrate 110 .
  • the first recess 122 and the second recess 124 are formed by laser drilling, dry etching or wet etching.
  • the dry etching includes reactive ion etching (RIE) such as cryogenic deep reactive ion etching or Bosch deep reactive ion etching.
  • RIE reactive ion etching
  • the first recess 122 and the second recess 124 are formed by the following steps.
  • a photoresist layer (not shown) is formed over the upper surface 112 of the substrate 110 , which has a first opening and a second opening smaller than the first opening.
  • the substrate 110 is etched through the first opening to form the first recess 122 and through the second opening to form the second recess 124 . Because the first opening is larger than the second opening, a first dimension D 1 of the first recess 122 is larger than a second dimension D 2 of the second recess 124 , as shown in FIG. 1A .
  • the first recess 122 and the second recess 124 are etched out of the substrate 110 by a dry etching such as RIE. Therefore, the depth of the first recess 122 and the second recess 124 can be controlled by RIE lag because the first opening is larger than the second opening.
  • a pad layer (not shown) is optionally formed between the photoresist layer and the upper surface 112 of the substrate 110 .
  • the pad layer may be made of any suitable materials such as SiO 2 , or Si 3 N 4 .
  • a second insulating layer 132 is formed in the first recess 122 and the second recess 124 . Subsequently, a first conducting layer 142 is formed over the second insulating layer 132 in the first recess 122 and the second recess 124 . Therefore, the second insulating layer 132 separates the first conducting layer 142 from the substrate 110 to avoid current leakage and reduce parasitic capacitance. In various embodiments, the second insulating layer 132 may be omitted.
  • the second insulating layer 132 is formed by chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD) or plasma-enhanced chemical vapor deposition (PECVD) and is made of silicon dioxide or silicon nitride.
  • the first conducting layer 142 is formed by CVD, ALD, PVD or PECVD and is made of any suitable material such as tungsten, aluminum, copper, polysilicon or an alloy.
  • a portion of the second insulating layer 132 and a portion of the first conducting layer 142 are removed by polishing such as chemical-mechanical polishing (CMP) to form a first outmost insulator 132 a and a first outmost conductor 142 a in the first recess 122 and to form a second outmost insulator 132 b and a second outmost conductor 142 b in the second recess 124 .
  • CMP chemical-mechanical polishing
  • a first insulating layer 134 is formed over the first outmost insulator 132 a , the first outmost conductor 142 a , the second outmost insulator 132 b , the second outmost conductor 142 b and the substrate 110 .
  • the first insulating layer 134 is then polished to form a first inner insulator 134 a in the first recess 122 and a second inner insulator 134 b in the second recess 124 as shown in FIG. 1E .
  • a second conducting layer (not shown) is formed over the first inner insulator 134 a and second inner insulator 134 b and the second conducting layer is then polished to form a first inner conductor 144 a in the first recess 122 and a second inner conductor 144 b in the second recess 124 . Therefore, the first inner conductor 144 a is isolated from the first outmost conductor 142 a with the first inner insulator 134 a and the second inner conductor 144 b is isolated from the second outmost conductor 142 b with the second inner insulator 134 b.
  • the first outmost insulator 132 a surrounds the first outmost conductor 142 a , which surrounds the first inner insulator 134 a . Further, the first inner insulator 134 a surrounds the first inner conductor 144 a . Accordingly, the first inner conductor 144 a , the first inner insulator 134 a , the first outmost conductor 142 a and the first outmost insulator 132 a are coaxial. Similarly, the second outmost insulator 132 b surrounds the second outmost conductor 142 b , which surrounds the second inner insulator 134 b . Further, the second inner insulator 134 b surrounds the second inner conductor 144 b . Accordingly, the second inner conductor 144 b , the second inner insulator 134 b , the second outmost conductor 142 b and the second outmost insulator 132 b are coaxial as well.
  • the first insulating layer 134 is formed by CVD, ALD, PVD or PECVD and is made of silicon dioxide or silicon nitride.
  • the second conducting layer is formed by CVD, ALD, PVD or PECVD and is made of any suitable material such as tungsten, aluminum, copper, polysilicon or an alloy.
  • an upper insulating layer 150 is formed over the upper surface 112 of the substrate 110 . Subsequently, the upper insulating layer 150 is patterned to form some openings and the openings are then filled with an upper metal layer 152 as shown in FIG. 1G . It is worth noting that the upper metal layer 152 is in contact with the first outmost insulator 132 a , the first outmost conductor 142 a , the first inner insulator 134 a , the first inner conductor 144 a and the second inner conductor 144 b.
  • the substrate 110 is thinned from the lower surface 114 to expose a lower surface 116 of the substrate 110 , the first inner conductor 144 a , the first inner insulator 134 a , the first outmost conductor 142 a , the first outmost insulator 132 a , the second outmost conductor 142 b and the second outmost insulator 132 b to form a via structure 146 a and a capacitor structure 146 b .
  • the via structure 146 a includes the first inner conductor 144 a , the first inner insulator 134 a , the first outmost conductor 142 a and the first outmost insulator 132 a and has the first dimension D 1 .
  • the capacitor structure 146 b includes the second inner conductor 144 b , the second inner insulator 134 b , the second outmost conductor 142 b and the second outmost insulator 132 b and has the second dimension D 2 smaller than the first dimension D 1 . Both the via structure 146 a and the capacitor structure 146 b extend through the substrate 110 .
  • the first inner insulator 134 a separates the first outmost conductor 142 a and the first inner conductor 144 a and has a height equal to a thickness T 1 of the substrate 110 , as shown in FIG. 1H . Further, the first inner insulator 134 a is coplanar with the upper surface 112 and the lower surface 116 of the substrate 110 . In the capacitor structure 146 b , the second inner conductor 144 b is isolated from the second outmost conductor 142 b.
  • the substrate 110 is thinned from the lower surface 114 to expose the first inner conductor 144 a and the second outmost conductor 142 b , namely, the substrate 110 is thinned from the lower surface 114 to expose the second conducting layer in the first recess 122 and the first conducting layer 142 in the second recess 124 .
  • the substrate 110 is thinned from the lower surface 114 by backside grinding, chemical-mechanical polishing or blanket etching process.
  • a lower insulating layer 160 is formed under the lower surface 116 of the substrate 110 . Subsequently, the lower insulating layer 160 is patterned to form some openings and the openings are then filled with a lower metal layer 162 to form a semiconductor structure 100 as shown in FIG. 1J . It is worth noting that the lower metal layer 162 is in contact with the first outmost insulator 132 a , the first outmost conductor 142 a , the first inner insulator 134 a , the first inner conductor 144 a , the second outmost insulator 132 b and the second outmost conductor 142 b . Therefore, the upper metal layer 152 can electrically connect with the lower metal layer 162 through the via structure 146 a , which allows current to flow through the substrate 110 .
  • FIGS. 2A and 2B are cross-sectional views of a semiconductor structure 200 at various stages of fabrication, in accordance with various embodiments.
  • a substrate 210 with an upper surface 212 and a lower surface 214 is received.
  • a first outmost insulator 232 a surrounds a first outmost conductor 242 a , which surrounds a first inner insulator 234 a .
  • the first inner insulator 234 a surrounds a first inner conductor 244 a .
  • the first inner conductor 244 a , the first inner insulator 234 a , the first outmost conductor 242 a and the first outmost insulator 232 a are coaxial.
  • a second outmost insulator 232 b surrounds a second outmost conductor 242 b , which surrounds a second inner insulator 234 b .
  • the second inner insulator 234 b surrounds a second inner conductor 244 b . Accordingly, the second inner conductor 244 b , the second inner insulator 234 b , the second outmost conductor 242 b and the second outmost insulator 232 b are coaxial as well.
  • the fabrication process of above configuration shown in FIG. 2A is the same as shown in FIGS. 1A-1E .
  • the upper insulating layer 250 is patterned to form some openings and the openings are then filled with an upper metal layer 252 as shown in FIG. 2A . It is worth noting that the upper metal layer 252 is in contact with the first outmost insulator 232 a , the first outmost conductor 242 a , the first inner insulator 234 a , the first inner conductor 244 a , the second inner conductor 244 b and the second outmost conductor 242 b , which is isolated from the second inner conductor 244 b with the second inner insulator 234 b.
  • the substrate 210 is thinned from the lower surface 214 to expose a lower surface 216 of the substrate 210 , the first inner conductor 244 a , the first inner insulator 234 a , the first outmost conductor 242 a and the first outmost insulator 232 a to form a via structure 246 a and a capacitor structure 246 b .
  • thinning the substrate 210 from the lower surface 214 stops before exposing the second inner conductor 244 b .
  • the via structure 246 a includes the first inner conductor 244 a , the first inner insulator 234 a , the first outmost conductor 242 a and the first outmost insulator 232 a and has the first dimension D 1 . Only the via structure 246 a penetrates through the substrate 210 . It is worth noting that the first inner insulator 234 a separates the first outmost conductor 242 a and the first inner conductor 244 a and has a height equal to a thickness T 2 of the substrate 210 as shown in FIG. 2B . Further, the first inner insulator 234 a is coplanar with the upper surface 212 and the lower surface 216 of the substrate 210 .
  • the capacitor structure 246 b includes the second inner conductor 244 b , the second inner insulator 234 b , the second outmost conductor 242 b and the second outmost insulator 232 b and has the second dimension D 2 smaller than the first dimension D 1 .
  • the second inner conductor 244 b is isolated from the second outmost conductor 242 b.
  • a lower insulating layer (not shown) may be formed under the lower surface 216 and then patterned to form some openings. The openings are continuously filled with a lower metal layer (not shown). Therefore, the upper metal layer 252 can electrically connect with the lower metal layer through the via structure 246 a which allows current to flow through the substrate 210 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

A semiconductor structure and a method of fabricating thereof are provided. The method includes the following steps. A substrate with an upper surface and a lower surface is received. A first recess extending from the upper surface to the lower surface is formed and the first recess has a first depth. A second recess extending from the upper surface to the lower surface is formed and the second recess has a second depth less than the first depth. A first conducting layer is formed in the first recess and the second recess. A first insulating layer is formed over the first conducting layer. A second conducting layer is formed over the first insulating layer and isolated from the first conducting layer with the first insulating layer. The substrate is thinned from the lower surface to expose the second conducting layer in the first recess.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of U.S. patent application Ser. No. 14/941,665, filed Nov. 16, 2015, pending, the disclosure of which is hereby incorporated herein in its entirety by this reference.
  • TECHNICAL FIELD
  • Embodiments disclosed herein relate to semiconductor devices and to semiconductor device fabrication. More particularly, embodiments of the disclosure relate to semiconductor devices comprising via structures and capacitor structures.
  • BACKGROUND
  • In order to continue to improve functionality and performance of integrated circuits, the semiconductor industry has recently been developing technology to enable the vertical integration of semiconductor devices, generally known as three-dimensional (3D) stacking technology. Typically, through-silicon-vias (TSVs) are becoming a viable approach for improving chip performance and on-chip decoupling capacitors (decaps) serving as charge reservoirs and are used to support instantaneous current surges, suppress power fluctuations and prevent noise-related circuit degradation in integrated circuits.
  • Conventional on-chip decoupling capacitors may be planar-type or trench-type. Because trench-type capacitors have a capacitance density advantage over planar-type capacitors, the trench-type capacitors are usually used in semiconductor devices. Therefore, the demands to forming TSVs and trench-type capacitors simultaneously have increased. However, traditional fabricating methods are expensive because of complex and costly processes. For example, sacrificial layers are usually used in fabrication steps.
  • Accordingly, an improved semiconductor structure that includes a capacitor structure and a via structure, and a fabricating method of the semiconductor structure are required.
  • BRIEF SUMMARY
  • The instant disclosure provides a method of fabricating a semiconductor structure, and the method includes the following steps. A substrate with an upper surface and a lower surface is received. A first recess extending from the upper surface to the lower surface is formed and the first recess has a first depth. A second recess extending from the upper surface to the lower surface is formed and the second recess has a second depth less than the first depth. A first conducting layer is formed in the first recess and the second recess. A first insulating layer is formed over the first conducting layer. A second conducting layer is formed over the first insulating layer and isolated from the first conducting layer with the first insulating layer. The substrate is thinned from the lower surface to expose the second conducting layer in the first recess.
  • In various embodiments of the instant disclosure, forming the first recess and the second recess are by laser drilling, dry etching or wet etching.
  • In various embodiments of the instant disclosure, the dry etching includes reactive ion etching (RIE).
  • In various embodiments of the instant disclosure, forming the first recess and forming the second recess include forming a photoresist layer over the upper surface, wherein the photoresist layer has a first opening and a second opening smaller than the first opening. Next, the substrate is etched through the first opening to form the first recess and through the second opening to form the second recess.
  • In various embodiments of the instant disclosure, the first conducting layer in the second recess is exposed after thinning the substrate from the lower surface.
  • In various embodiments of the instant disclosure, thinning the substrate from the lower surface stops before exposing the first conducting layer in the second recess.
  • In various embodiments of the instant disclosure, thinning the substrate from the lower surface is by backside grinding, chemical-mechanical polishing or blanket etching process.
  • In various embodiments of the instant disclosure, a first dimension of the first recess is larger than a second dimension of the second recess.
  • In various embodiments of the instant disclosure, forming the first conducting layer and the second conducting layer are by chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD) or plasma-enhanced chemical vapor deposition (PECVD).
  • In various embodiments of the instant disclosure, the method further includes forming a second insulating layer in the first recess and the second recess before forming the first conducting layer in the first recess and the second recess.
  • In various embodiments of the instant disclosure, forming the first insulating layer and the second insulating layer are by CVD, ALD, PVD or PECVD.
  • The instant disclosure provides a semiconductor structure. The semiconductor structure includes a substrate and a via structure through the substrate. The substrate has an upper surface and a lower surface. The via structure includes a first inner conductor, a first outmost conductor, and a first inner insulator. The first outmost conductor surrounds the first inner conductor and is coaxial with the first inner conductor. The first inner insulator is between the first inner conductor and the first outmost conductor and separates the first inner conductor and the second outmost conductor.
  • In various embodiments of the instant disclosure, the semiconductor structure further includes a capacitor structure in the substrate. The capacitor structure includes a second inner conductor, a second outmost conductor, and a second inner insulator. The second outmost conductor surrounds the second inner conductor and is coaxial with the second inner conductor. The second inner insulator is between the second inner conductor and the second outmost conductor, wherein the second outmost conductor is isolated from the second inner conductor with the second inner insulator.
  • In various embodiments of the instant disclosure, the substrate has a thickness and the first inner insulator has a height equal to the thickness.
  • In various embodiments of the instant disclosure, a first dimension of the via structure is larger than a second dimension of the capacitor structure.
  • In various embodiments of the instant disclosure, the semiconductor structure further includes an upper metal layer over the upper surface. The upper metal layer is in contact with the second inner conductor and the second outmost conductor.
  • In various embodiments of the instant disclosure, the semiconductor structure further includes a first outmost insulator separating the first outmost conductor from the substrate and a second outmost insulator separating the second outmost conductor from the substrate.
  • In various embodiments of the instant disclosure, the capacitor structure penetrates through the substrate.
  • In various embodiments of the instant disclosure, the semiconductor structure further includes an upper metal layer over the upper surface and a lower metal layer under the lower surface. The upper metal layer is in contact with the second inner conductor and the lower metal layer is in contact with the second outmost conductor.
  • In various embodiments of the instant disclosure, the semiconductor structure further includes a first outmost insulator separating the first outmost conductor from the substrate and a second outmost insulator separating the second outmost conductor from the substrate.
  • These and other features, aspects, and advantages of the present invention will become better understood with reference to the following description and appended claims.
  • It is to be understood that both the foregoing general description and the following detailed description are by way of example, and are intended to provide further explanation of the invention as claimed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The disclosure may be more fully understood by reading the following detailed description of the embodiment, with reference made to the accompanying drawings as follows:
  • FIGS. 1A-1J are cross-sectional views of a semiconductor structure at various stages of fabrication, in accordance with various embodiments.
  • FIGS. 2A and 2B are cross-sectional views of a semiconductor structure at various stages of fabrication, in accordance with various embodiments.
  • DETAILED DESCRIPTION
  • Reference will now be made in detail to the present embodiments of the invention, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers are used in the drawings and the description to refer to the same or like parts.
  • The following embodiments are disclosed with accompanying diagrams for detailed description. For illustration clarity, many details of practice are explained in the following descriptions. However, it should be understood that these details of practice do not intend to limit the present invention. That is, these details of practice are not necessary in parts of embodiments of the present invention. Furthermore, for simplifying the drawings, some of the conventional structures and elements are shown with schematic illustrations.
  • It will be understood that when an element is referred to as being “on” another element, it can be directly on the other element or intervening elements may be present therebetween. Furthermore, relative terms, such as “lower” or “bottom” and “upper” or “top,” may be used herein to describe one element's relationship to another element as illustrated in the figures. It will be understood that relative terms are intended to encompass different orientations of the device in addition to the orientation depicted in the figures.
  • As aforementioned problems, traditional methods of fabricating TSVs and trench-type capacitors simultaneously is complex and costly. Accordingly, the instant disclosure provides a semiconductor structure that includes a capacitor structure and a via structure, and a fabricating method of the semiconductor structure. The fabricating method of the instant disclosure skips the process of using sacrificial layers and the capacitor structure and the via structure can be formed with the same etching processes such that the fabricating method has a simpler process flow and a lower process cost.
  • FIGS. 1A-1J are cross-sectional views of a semiconductor structure at various stages of fabrication, in accordance with various embodiments. As shown in FIG. 1A, a substrate 110 with an upper surface 112 and a lower surface 114 is received. A first recess 122 extending from the upper surface 112 to the lower surface 114 is formed and the first recess 112 has a first depth d1. A second recess 124 extending from the upper surface 112 to the lower surface 114 is formed and the second recess 124 has a second depth d2 less than the first depth d1.
  • In various embodiments, the substrate 110 is a silicon wafer or die, which may include passive components such as resistors, capacitors, inductors, and active components, such as N-channel field effect transistors (NFETs), P-channel field effect transistors (PFETs), metal-oxide-semiconductor field effect transistors (MOSFETs), complementary metal-oxide-semiconductor (CMOS) transistors, high-voltage transistors, and/or high-frequency transistors, other suitable components, and/or combinations thereof. The silicon wafer may include a material of Si, SiGe, SiGeC or SiC, a layered semiconductor such as Si/SiGe or a silicon-on-insulator (SOI). It is further understood that additional features may be added in the substrate 110.
  • In various embodiments, the first recess 122 and the second recess 124 are formed by laser drilling, dry etching or wet etching. For example, the dry etching includes reactive ion etching (RIE) such as cryogenic deep reactive ion etching or Bosch deep reactive ion etching.
  • In one embodiment, the first recess 122 and the second recess 124 are formed by the following steps. A photoresist layer (not shown) is formed over the upper surface 112 of the substrate 110, which has a first opening and a second opening smaller than the first opening. Subsequently, the substrate 110 is etched through the first opening to form the first recess 122 and through the second opening to form the second recess 124. Because the first opening is larger than the second opening, a first dimension D1 of the first recess 122 is larger than a second dimension D2 of the second recess 124, as shown in FIG. 1A. For example, the first recess 122 and the second recess 124 are etched out of the substrate 110 by a dry etching such as RIE. Therefore, the depth of the first recess 122 and the second recess 124 can be controlled by RIE lag because the first opening is larger than the second opening.
  • In various embodiments, a pad layer (not shown) is optionally formed between the photoresist layer and the upper surface 112 of the substrate 110. The pad layer may be made of any suitable materials such as SiO2, or Si3N4.
  • As shown in FIG. 1B, a second insulating layer 132 is formed in the first recess 122 and the second recess 124. Subsequently, a first conducting layer 142 is formed over the second insulating layer 132 in the first recess 122 and the second recess 124. Therefore, the second insulating layer 132 separates the first conducting layer 142 from the substrate 110 to avoid current leakage and reduce parasitic capacitance. In various embodiments, the second insulating layer 132 may be omitted. In various embodiments, the second insulating layer 132 is formed by chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD) or plasma-enhanced chemical vapor deposition (PECVD) and is made of silicon dioxide or silicon nitride. In various embodiments, the first conducting layer 142 is formed by CVD, ALD, PVD or PECVD and is made of any suitable material such as tungsten, aluminum, copper, polysilicon or an alloy.
  • As shown in FIG. 1C, a portion of the second insulating layer 132 and a portion of the first conducting layer 142 are removed by polishing such as chemical-mechanical polishing (CMP) to form a first outmost insulator 132 a and a first outmost conductor 142 a in the first recess 122 and to form a second outmost insulator 132 b and a second outmost conductor 142 b in the second recess 124.
  • As shown in FIG. 1D, a first insulating layer 134 is formed over the first outmost insulator 132 a, the first outmost conductor 142 a, the second outmost insulator 132 b, the second outmost conductor 142 b and the substrate 110. The first insulating layer 134 is then polished to form a first inner insulator 134 a in the first recess 122 and a second inner insulator 134 b in the second recess 124 as shown in FIG. 1E. Subsequently, a second conducting layer (not shown) is formed over the first inner insulator 134 a and second inner insulator 134 b and the second conducting layer is then polished to form a first inner conductor 144 a in the first recess 122 and a second inner conductor 144 b in the second recess 124. Therefore, the first inner conductor 144 a is isolated from the first outmost conductor 142 a with the first inner insulator 134 a and the second inner conductor 144 b is isolated from the second outmost conductor 142 b with the second inner insulator 134 b.
  • More specifically, the first outmost insulator 132 a surrounds the first outmost conductor 142 a, which surrounds the first inner insulator 134 a. Further, the first inner insulator 134 a surrounds the first inner conductor 144 a. Accordingly, the first inner conductor 144 a, the first inner insulator 134 a, the first outmost conductor 142 a and the first outmost insulator 132 a are coaxial. Similarly, the second outmost insulator 132 b surrounds the second outmost conductor 142 b, which surrounds the second inner insulator 134 b. Further, the second inner insulator 134 b surrounds the second inner conductor 144 b. Accordingly, the second inner conductor 144 b, the second inner insulator 134 b, the second outmost conductor 142 b and the second outmost insulator 132 b are coaxial as well.
  • In various embodiments, the first insulating layer 134 is formed by CVD, ALD, PVD or PECVD and is made of silicon dioxide or silicon nitride. In various embodiments, the second conducting layer is formed by CVD, ALD, PVD or PECVD and is made of any suitable material such as tungsten, aluminum, copper, polysilicon or an alloy.
  • As shown in FIG. 1F, an upper insulating layer 150 is formed over the upper surface 112 of the substrate 110. Subsequently, the upper insulating layer 150 is patterned to form some openings and the openings are then filled with an upper metal layer 152 as shown in FIG. 1G. It is worth noting that the upper metal layer 152 is in contact with the first outmost insulator 132 a, the first outmost conductor 142 a, the first inner insulator 134 a, the first inner conductor 144 a and the second inner conductor 144 b.
  • As shown in FIG. 1H, the substrate 110 is thinned from the lower surface 114 to expose a lower surface 116 of the substrate 110, the first inner conductor 144 a, the first inner insulator 134 a, the first outmost conductor 142 a, the first outmost insulator 132 a, the second outmost conductor 142 b and the second outmost insulator 132 b to form a via structure 146 a and a capacitor structure 146 b. Specifically, the via structure 146 a includes the first inner conductor 144 a, the first inner insulator 134 a, the first outmost conductor 142 a and the first outmost insulator 132 a and has the first dimension D1. The capacitor structure 146 b includes the second inner conductor 144 b, the second inner insulator 134 b, the second outmost conductor 142 b and the second outmost insulator 132 b and has the second dimension D2 smaller than the first dimension D1. Both the via structure 146 a and the capacitor structure 146 b extend through the substrate 110. It is worth noting that the first inner insulator 134 a separates the first outmost conductor 142 a and the first inner conductor 144 a and has a height equal to a thickness T1 of the substrate 110, as shown in FIG. 1H. Further, the first inner insulator 134 a is coplanar with the upper surface 112 and the lower surface 116 of the substrate 110. In the capacitor structure 146 b, the second inner conductor 144 b is isolated from the second outmost conductor 142 b.
  • In other words, the substrate 110 is thinned from the lower surface 114 to expose the first inner conductor 144 a and the second outmost conductor 142 b, namely, the substrate 110 is thinned from the lower surface 114 to expose the second conducting layer in the first recess 122 and the first conducting layer 142 in the second recess 124.
  • In various embodiments, the substrate 110 is thinned from the lower surface 114 by backside grinding, chemical-mechanical polishing or blanket etching process.
  • As shown in FIG. 1I, a lower insulating layer 160 is formed under the lower surface 116 of the substrate 110. Subsequently, the lower insulating layer 160 is patterned to form some openings and the openings are then filled with a lower metal layer 162 to form a semiconductor structure 100 as shown in FIG. 1J. It is worth noting that the lower metal layer 162 is in contact with the first outmost insulator 132 a, the first outmost conductor 142 a, the first inner insulator 134 a, the first inner conductor 144 a, the second outmost insulator 132 b and the second outmost conductor 142 b. Therefore, the upper metal layer 152 can electrically connect with the lower metal layer 162 through the via structure 146 a, which allows current to flow through the substrate 110.
  • FIGS. 2A and 2B are cross-sectional views of a semiconductor structure 200 at various stages of fabrication, in accordance with various embodiments. As shown in FIG. 2A, a substrate 210 with an upper surface 212 and a lower surface 214 is received. A first outmost insulator 232 a surrounds a first outmost conductor 242 a, which surrounds a first inner insulator 234 a. Further, the first inner insulator 234 a surrounds a first inner conductor 244 a. Accordingly, the first inner conductor 244 a, the first inner insulator 234 a, the first outmost conductor 242 a and the first outmost insulator 232 a are coaxial. Similarly, a second outmost insulator 232 b surrounds a second outmost conductor 242 b, which surrounds a second inner insulator 234 b. Further, the second inner insulator 234 b surrounds a second inner conductor 244 b. Accordingly, the second inner conductor 244 b, the second inner insulator 234 b, the second outmost conductor 242 b and the second outmost insulator 232 b are coaxial as well. The fabrication process of above configuration shown in FIG. 2A is the same as shown in FIGS. 1A-1E.
  • Further, after an upper insulating layer 250 is formed over the upper surface 212 of the substrate 210, the upper insulating layer 250 is patterned to form some openings and the openings are then filled with an upper metal layer 252 as shown in FIG. 2A. It is worth noting that the upper metal layer 252 is in contact with the first outmost insulator 232 a, the first outmost conductor 242 a, the first inner insulator 234 a, the first inner conductor 244 a, the second inner conductor 244 b and the second outmost conductor 242 b, which is isolated from the second inner conductor 244 b with the second inner insulator 234 b.
  • As shown in FIG. 2B, the substrate 210 is thinned from the lower surface 214 to expose a lower surface 216 of the substrate 210, the first inner conductor 244 a, the first inner insulator 234 a, the first outmost conductor 242 a and the first outmost insulator 232 a to form a via structure 246 a and a capacitor structure 246 b. In other words, thinning the substrate 210 from the lower surface 214 stops before exposing the second inner conductor 244 b. More specifically, the via structure 246 a includes the first inner conductor 244 a, the first inner insulator 234 a, the first outmost conductor 242 a and the first outmost insulator 232 a and has the first dimension D1. Only the via structure 246 a penetrates through the substrate 210. It is worth noting that the first inner insulator 234 a separates the first outmost conductor 242 a and the first inner conductor 244 a and has a height equal to a thickness T2 of the substrate 210 as shown in FIG. 2B. Further, the first inner insulator 234 a is coplanar with the upper surface 212 and the lower surface 216 of the substrate 210.
  • Further, the capacitor structure 246 b includes the second inner conductor 244 b, the second inner insulator 234 b, the second outmost conductor 242 b and the second outmost insulator 232 b and has the second dimension D2 smaller than the first dimension D1. In the capacitor structure 246 b, the second inner conductor 244 b is isolated from the second outmost conductor 242 b.
  • Furthermore, a lower insulating layer (not shown) may be formed under the lower surface 216 and then patterned to form some openings. The openings are continuously filled with a lower metal layer (not shown). Therefore, the upper metal layer 252 can electrically connect with the lower metal layer through the via structure 246 a which allows current to flow through the substrate 210.
  • Although the present invention has been described in considerable detail with reference to certain embodiments thereof, other embodiments are possible. Therefore, the spirit and scope of the appended claims should not be limited to the description of the embodiments contained herein.
  • It will be apparent to those skilled in the art that various modifications and variations can be made to the structures of the present invention without departing from the scope or spirit of the invention. In view of the foregoing, it is intended that the present invention cover modifications and variations of this invention provided they fall within the scope of the appended claims.

Claims (24)

1. A semiconductor device, comprising:
a via structure extending through a material, the via structure comprising:
a first inner conductor;
a first outmost conductor surrounding the first inner conductor and coaxial with the first inner conductor; and
a first inner insulator between the first inner conductor and the first outmost conductor, the first inner insulator.
2. The semiconductor device of claim 1, further comprising a capacitor structure in the material, the capacitor structure comprising:
a second inner conductor;
a second outmost conductor surrounding the second inner conductor and coaxial with the second inner conductor; and
a second inner insulator between the second inner conductor and the second outmost conductor, wherein the second outmost conductor is isolated from the second inner conductor by the second inner insulator.
3. The semiconductor device of claim 2, wherein the material has a thickness and the first inner insulator has a height equal to the thickness.
4. The semiconductor device of claim 2, wherein a first dimension of the via structure is larger than a second dimension of the capacitor structure.
5. The semiconductor device of claim 2, further comprising an upper metal material over an upper surface of the material, the upper metal material in contact with the second inner conductor and the second outmost conductor.
6. The semiconductor device of claim 2, further comprising a first outmost insulator separating the first outmost conductor from the material and a second outmost insulator separating the second outmost conductor from the material.
7. The semiconductor device of claim 2, wherein the capacitor structure penetrates through the material.
8. The semiconductor device of claim 7, further comprising an upper metal material over the upper surface of the material and a lower metal material under the lower surface of the material, the upper metal material in contact with the second inner conductor and the lower metal material in contact with the second outmost conductor.
9. The semiconductor device of claim 7, further comprising a first outmost insulator separating the first outmost conductor from the material and a second outmost insulator separating the second outmost conductor from the material.
10. A semiconductor device, comprising:
a via structure comprising a first inner conductive material, a first inner insulative material coaxial to the first inner conductive material, and a first outer conductive material coaxial to the first inner insulative material; and
a capacitor structure comprising a second inner conductive material, a second inner insulative material coaxial to the second inner conductive material, and a second outer conductive material coaxial to the second inner conductive material.
11. The semiconductor device of claim 10, further comprising a metal material adjacent the via structure and the capacitor structure, the metal material contacting the first inner conductive material, the first outer conductive material, the first inner insulative material, and the second outer conductive material.
12. The semiconductor device of claim 11, further comprising a second outer insulative material coaxial to the second outer conductive material, the second outer insulative material and the second outer conductive material contacting the metal material and the second inner conductive material isolated from the metal material.
13. The semiconductor device of claim 10, further comprising another metal material adjacent the via structure and the capacitor structure, the another metal material contacting the first inner conductive material and the second inner conductive material.
14. The semiconductor device of claim 13, wherein the first inner conductive material, the first outer conductive material, and the second inner conductive material contact the another metal material.
15. The semiconductor device of claim 13, wherein the another metal material contacts the second inner conductive material without contacting the second outer conductive material.
16. The semiconductor device of claim 13, wherein discrete portions of the another metal material contact the second inner conductive material and the second outer conductive material.
17. A semiconductor device, comprising:
a via structure and a capacitor structure adjacent to the via structure;
an upper metal material electrically connected to a first inner conductive material of the via structure, to a first outer conductive material of the via structure, and to a second inner conductive material of the capacitor structure; and
a lower metal material electrically connected to the first inner conductive material of the via structure, to the first outer conductive material of the via structure, and to a second outer conductive material of the capacitor structure.
18. The semiconductor device of claim 17, wherein the second inner conductive material of the capacitor structure is isolated from the lower metal material.
19. The semiconductor device of claim 17, wherein the first inner conductive material of the via structure and the second inner conductive material of the capacitor structure comprise the same material.
20. The semiconductor device of claim 17, wherein the first outer conductive material of the via structure and the second outer conductive material of the capacitor structure comprise the same material.
21. The semiconductor device of claim 17, wherein a width of the via structure is larger than a width of the capacitor structure.
22. The semiconductor device of claim 17, wherein a bottom surface of the first inner conductive material is substantially coplanar with a bottom surface of the first outer conductive material.
23. The semiconductor device of claim 17, wherein a bottom surface of the second inner conductive material is not substantially coplanar with a bottom surface of the second outer conductive material.
24. The semiconductor device of claim 17, wherein a bottom surface of the first inner conductive material is not substantially coplanar with a bottom surface of the second inner conductive material.
US16/110,615 2015-11-16 2018-08-23 Semiconductor devices comprising vias and capacitors Abandoned US20180366540A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/110,615 US20180366540A1 (en) 2015-11-16 2018-08-23 Semiconductor devices comprising vias and capacitors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/941,665 US10121849B2 (en) 2015-11-16 2015-11-16 Methods of fabricating a semiconductor structure
US16/110,615 US20180366540A1 (en) 2015-11-16 2018-08-23 Semiconductor devices comprising vias and capacitors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/941,665 Division US10121849B2 (en) 2015-11-16 2015-11-16 Methods of fabricating a semiconductor structure

Publications (1)

Publication Number Publication Date
US20180366540A1 true US20180366540A1 (en) 2018-12-20

Family

ID=58692178

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/941,665 Active US10121849B2 (en) 2015-11-16 2015-11-16 Methods of fabricating a semiconductor structure
US16/110,615 Abandoned US20180366540A1 (en) 2015-11-16 2018-08-23 Semiconductor devices comprising vias and capacitors

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/941,665 Active US10121849B2 (en) 2015-11-16 2015-11-16 Methods of fabricating a semiconductor structure

Country Status (3)

Country Link
US (2) US10121849B2 (en)
CN (2) CN110718505A (en)
TW (1) TWI590381B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9971970B1 (en) * 2015-04-27 2018-05-15 Rigetti & Co, Inc. Microwave integrated quantum circuits with VIAS and methods for making the same
US10121849B2 (en) * 2015-11-16 2018-11-06 Micron Technology, Inc. Methods of fabricating a semiconductor structure
US10355072B2 (en) * 2017-02-24 2019-07-16 Globalfoundries Singapore Pte. Ltd. Power trench capacitor compatible with deep trench isolation process
US11121301B1 (en) 2017-06-19 2021-09-14 Rigetti & Co, Inc. Microwave integrated quantum circuits with cap wafers and their methods of manufacture

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060001174A1 (en) * 2004-06-30 2006-01-05 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
US20080173993A1 (en) * 2007-01-18 2008-07-24 International Business Machines Corporation Chip carrier substrate capacitor and method for fabrication thereof
US20090161298A1 (en) * 2007-12-21 2009-06-25 Industrial Technology Research Institute Hybrid capacitor
US20100041203A1 (en) * 2008-08-14 2010-02-18 Collins David S Structure, Design Structure and Method of Manufacturing a Structure Having VIAS and High Density Capacitors
US20100230806A1 (en) * 2009-03-13 2010-09-16 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Three-Dimensional Vertically Oriented Integrated Capacitors
US20100308435A1 (en) * 2009-06-08 2010-12-09 Qualcomm Incorporated Through Silicon Via With Embedded Decoupling Capacitor
US20110169131A1 (en) * 2010-01-11 2011-07-14 International Business Machines Corporation Deep trench decoupling capacitor
US20120049322A1 (en) * 2010-09-01 2012-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Cylindrical Embedded Capacitors
US20120133021A1 (en) * 2010-11-30 2012-05-31 Stmicroelectronics (Crolles 2) Sas Semiconductor device comprising a capacitor and an electrical connection via, and fabrication method
US20130037910A1 (en) * 2011-08-12 2013-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Decoupling MIM Capacitor Designs for Interposers and Methods of Manufacture Thereof
US20140367828A1 (en) * 2013-06-18 2014-12-18 Stmicroelectronics (Crolles 2) Sas Process for producing a through-silicon via and a through-silicon capacitor in a substrate, and corresponding device
US20150028450A1 (en) * 2013-07-25 2015-01-29 Jae-hwa Park Integrated circuit device including through-silicon via structure and decoupling capacitor and method of manufacturing the same
US20170040255A1 (en) * 2013-12-23 2017-02-09 Intel Corporation Through-body-via isolated coaxial capacitor and techniques for forming same
US20170141185A1 (en) * 2015-11-16 2017-05-18 Inotera Memories, Inc. Semiconductor structure and fabricating method thereof
US9741657B2 (en) * 2014-02-17 2017-08-22 International Business Machines Corporation TSV deep trench capacitor and anti-fuse structure
US20180269276A1 (en) * 2015-09-23 2018-09-20 Nanyang Technological University Semiconductor devices and methods of forming the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7030481B2 (en) * 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US20080113505A1 (en) * 2006-11-13 2008-05-15 Sparks Terry G Method of forming a through-substrate via
CN101946304B (en) * 2008-02-20 2013-06-05 Nxp股份有限公司 Ultra high density capacity comprising pillar-shaped capacitors formed on both sides of a substrate
US20090267183A1 (en) * 2008-04-28 2009-10-29 Research Triangle Institute Through-substrate power-conducting via with embedded capacitance
US8298906B2 (en) * 2009-07-29 2012-10-30 International Business Machines Corporation Trench decoupling capacitor formed by RIE lag of through silicon via (TSV) etch
US8492241B2 (en) * 2010-10-14 2013-07-23 International Business Machines Corporation Method for simultaneously forming a through silicon via and a deep trench structure
TWI462175B (en) * 2011-09-07 2014-11-21 Inotera Memories Inc Method for adjusting trench depth of substrate
US8518823B2 (en) * 2011-12-23 2013-08-27 United Microelectronics Corp. Through silicon via and method of forming the same
US8525296B1 (en) * 2012-06-26 2013-09-03 United Microelectronics Corp. Capacitor structure and method of forming the same
CN103346148B (en) 2013-07-05 2016-01-20 北京理工大学 A kind of Vertical-type capacitor structure and preparation method thereof
CN103700643B (en) * 2013-12-23 2016-07-06 华进半导体封装先导技术研发中心有限公司 A kind of keyset deep-channel capacitor based on TSV technique and manufacture method thereof
US9397038B1 (en) * 2015-02-27 2016-07-19 Invensas Corporation Microelectronic components with features wrapping around protrusions of conductive vias protruding from through-holes passing through substrates

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060001174A1 (en) * 2004-06-30 2006-01-05 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
US20080173993A1 (en) * 2007-01-18 2008-07-24 International Business Machines Corporation Chip carrier substrate capacitor and method for fabrication thereof
US20090161298A1 (en) * 2007-12-21 2009-06-25 Industrial Technology Research Institute Hybrid capacitor
US20100041203A1 (en) * 2008-08-14 2010-02-18 Collins David S Structure, Design Structure and Method of Manufacturing a Structure Having VIAS and High Density Capacitors
US20100230806A1 (en) * 2009-03-13 2010-09-16 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Three-Dimensional Vertically Oriented Integrated Capacitors
US20100308435A1 (en) * 2009-06-08 2010-12-09 Qualcomm Incorporated Through Silicon Via With Embedded Decoupling Capacitor
US20110169131A1 (en) * 2010-01-11 2011-07-14 International Business Machines Corporation Deep trench decoupling capacitor
US20120049322A1 (en) * 2010-09-01 2012-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Cylindrical Embedded Capacitors
US20120133021A1 (en) * 2010-11-30 2012-05-31 Stmicroelectronics (Crolles 2) Sas Semiconductor device comprising a capacitor and an electrical connection via, and fabrication method
US8841749B2 (en) * 2010-11-30 2014-09-23 Stmicroelectronics Sa Semiconductor device comprising a capacitor and an electrical connection via, and fabrication method
US20130037910A1 (en) * 2011-08-12 2013-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Decoupling MIM Capacitor Designs for Interposers and Methods of Manufacture Thereof
US20140367828A1 (en) * 2013-06-18 2014-12-18 Stmicroelectronics (Crolles 2) Sas Process for producing a through-silicon via and a through-silicon capacitor in a substrate, and corresponding device
US9224796B2 (en) * 2013-06-18 2015-12-29 Stmicroelectronics (Crolles 2) Sas Process for producing a through-silicon via and a through-silicon capacitor in a substrate, and corresponding device
US20150028450A1 (en) * 2013-07-25 2015-01-29 Jae-hwa Park Integrated circuit device including through-silicon via structure and decoupling capacitor and method of manufacturing the same
US20170040255A1 (en) * 2013-12-23 2017-02-09 Intel Corporation Through-body-via isolated coaxial capacitor and techniques for forming same
US9741657B2 (en) * 2014-02-17 2017-08-22 International Business Machines Corporation TSV deep trench capacitor and anti-fuse structure
US20180269276A1 (en) * 2015-09-23 2018-09-20 Nanyang Technological University Semiconductor devices and methods of forming the same
US20170141185A1 (en) * 2015-11-16 2017-05-18 Inotera Memories, Inc. Semiconductor structure and fabricating method thereof

Also Published As

Publication number Publication date
CN106711083A (en) 2017-05-24
TW201719808A (en) 2017-06-01
CN106711083B (en) 2019-10-11
TWI590381B (en) 2017-07-01
US20170141185A1 (en) 2017-05-18
CN110718505A (en) 2020-01-21
US10121849B2 (en) 2018-11-06

Similar Documents

Publication Publication Date Title
US9711502B2 (en) Double-side process silicon MOS and passive devices for RF front-end modules
US9543397B2 (en) Backside source-drain contact for integrated circuit transistor devices and method of making same
US8035198B2 (en) Through wafer via and method of making same
US8748308B2 (en) Through wafer vias and method of making same
US20100164062A1 (en) Method of manufacturing through-silicon-via and through-silicon-via structure
US20180366540A1 (en) Semiconductor devices comprising vias and capacitors
US8907410B2 (en) TSV structure with a built-in U-shaped FET transistor for improved characterization
US9412736B2 (en) Embedding semiconductor devices in silicon-on-insulator wafers connected using through silicon vias
US8907382B2 (en) Semiconductor device and fabrication method thereof
US20130334699A1 (en) Semiconductor device and fabricating method thereof
US10056369B1 (en) Semiconductor device including buried capacitive structures and a method of forming the same
US20190051666A1 (en) Semiconductor device and fabrication method thereof
US10522393B2 (en) Devices and methods of forming thereof by post single layer transfer fabrication of device isolation structures
US10741636B2 (en) Methods of fabricating a decoupling capacitor in a semiconductor structure
KR20220100480A (en) Backside or frontside through substrate via (tsv) landing on metal
CN107369649B (en) Semiconductor device and manufacturing method thereof
US11545556B2 (en) Semiconductor device with air gap between gate-all-around transistors and method for forming the same
US12033919B2 (en) Backside or frontside through substrate via (TSV) landing on metal
US10090227B1 (en) Back biasing in SOI FET technology
US11894304B2 (en) Semiconductor device with air gap below landing pad and method for forming the same
TWI817877B (en) Semiconductor structure

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A.., AS COLLATERAL AGENT, ILLINOIS

Free format text: SUPPLEMENT NO. 1 TO PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:047630/0756

Effective date: 20181015

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: SUPPLEMENT NO. 10 TO PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:048102/0420

Effective date: 20181015

Owner name: JPMORGAN CHASE BANK, N.A.., AS COLLATERAL AGENT, I

Free format text: SUPPLEMENT NO. 1 TO PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:047630/0756

Effective date: 20181015

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: SUPPLEMENT NO. 10 TO PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:048102/0420

Effective date: 20181015

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050719/0550

Effective date: 20190731

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0835

Effective date: 20190731

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION