US20180269071A1 - Atomic layer etching of silicon nitride - Google Patents

Atomic layer etching of silicon nitride Download PDF

Info

Publication number
US20180269071A1
US20180269071A1 US15/463,374 US201715463374A US2018269071A1 US 20180269071 A1 US20180269071 A1 US 20180269071A1 US 201715463374 A US201715463374 A US 201715463374A US 2018269071 A1 US2018269071 A1 US 2018269071A1
Authority
US
United States
Prior art keywords
gas
activation
fluorinated polymer
recited
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/463,374
Other versions
US10079154B1 (en
Inventor
Daniel Le
Gerardo Delgadino
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US15/463,374 priority Critical patent/US10079154B1/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DELGADINO, GERARDO, LE, DANIEL
Priority to PCT/US2018/019415 priority patent/WO2018175036A1/en
Priority to TW107109188A priority patent/TWI774742B/en
Application granted granted Critical
Publication of US10079154B1 publication Critical patent/US10079154B1/en
Publication of US20180269071A1 publication Critical patent/US20180269071A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • the disclosure relates to a method of forming semiconductor devices on a semiconductor wafer. More specifically, the disclosure relates to etching silicon nitride in forming semiconductor devices.
  • semiconductor devices may be formed with processes that etch silicon nitride.
  • a method for selectively etching SiN with respect to SiO or SiGe or Si of a structure in a plasma processing chamber comprising providing a plurality of cycles of atomic layer etching.
  • Each cycle comprises a fluorinated polymer deposition phase, comprising flowing a fluorinated polymer deposition gas comprising a hydrofluorocarbon gas into the plasma processing chamber, forming the fluorinated polymer deposition gas into a plasma, which deposits a hydrofluorocarbon polymer layer on the structure, and stopping the flow of the fluorinated polymer deposition gas into the plasma processing chamber and an activation phase, comprising flowing an activation gas comprising at least one of NH 3 or H 2 into the plasma processing chamber, forming the activation gas into a plasma, wherein plasma components from NH 3 or H 2 cause SiN to be selectively etched with respect to SiO or SiGe or Si, and stopping the flow of the activation gas into the plasma processing chamber.
  • FIG. 1 is a high level flow chart of an embodiment.
  • FIG. 2 is a more detailed flow chart of a fluorinated polymer deposition phase.
  • FIG. 3 is a more detailed flow chart of an activation phase.
  • FIGS. 4A-D are schematic cross-sectional views of a structure processed according to an embodiment.
  • FIG. 5 is a schematic view of a plasma processing chamber that may be used in an embodiment.
  • FIG. 6 is a schematic view of a computer system that may be used in practicing an embodiment.
  • FIG. 7 is a more detailed flow chart of a flashing phase.
  • FIGS. 8A-B are schematic cross-sectional views of a structure processed according to another embodiment.
  • FIG. 1 is a high level flow chart of an embodiment.
  • a substrate with a structure such as a stack, is placed in a plasma processing chamber (step 104 ).
  • a selective etch of SiN using a cyclical atomic layer etching is provided (step 108 ).
  • Each cycle of the atomic layer etching process comprises a hydro-fluorinated polymer deposition phase (step 112 ), an activation phase (step 116 ), and a flashing phase (step 120 ).
  • the substrate with the structure is removed from the plasma processing chamber (step 124 ).
  • FIG. 2 is a more detailed flow chart of hydro-fluorinated polymer deposition phase (step 112 ).
  • a hydro-fluorinated polymer deposition gasses are flowed into the plasma processing chamber (step 204 ).
  • the hydro-fluorinated polymer deposition gasses are formed into a plasma, which deposits hydro-fluorocarbon polymer on the structure (step 208 ).
  • the flow of the hydro-fluorinated polymer deposition gasses are stopped (step 212 ).
  • FIG. 3 is a more detailed flow chart of activation phase (step 116 ).
  • An activation gas is flowed into the plasma processing chamber, where the activation gas comprises at least one of NH 3 or H 2 (step 304 ).
  • the activation gas is formed into a plasma, which selectively etches SiN with respect to SiO or SiGe or Si (step 308 ).
  • SiO, SiGe, and Si includes doped and undoped SiO, SiGE, and Si.
  • the flow of the activation gas is stopped (step 312 ).
  • FIG. 4A is a schematic cross-sectional view of a structure 400 , such as a stack, with a silicon substrate 404 , under a silicon layer 408 , under a dielectric layer 412 comprising SiO with features 416 .
  • a SiN layer 420 is deposited over the dielectric layer 412 , which narrows the widths of the features 416 .
  • the structure 400 is placed in a plasma processing chamber.
  • FIG. 5 is a schematic view of a plasma processing chamber that may be used in an embodiment.
  • the plasma processing system 500 comprises a gas distribution plate 506 providing a gas inlet and an electrostatic chuck (ESC) 508 , within a processing chamber 549 , enclosed by a chamber wall 550 .
  • the substrate 404 is positioned on top of the ESC 508 .
  • the ESC 508 may provide a bias from the ESC source 548 .
  • a gas source 510 is connected to the plasma processing chamber 549 through the distribution plate 506 .
  • the gas source 510 comprises a H 2 gas source 512 , a flashing gas source 516 , and a deposition gas sources 518 .
  • the gas source 510 may have additional gas sources. Each gas source may comprise multiple gas sources.
  • An ESC temperature controller 551 is connected to the ESC 508 , and provides temperature control of the ESC 508 .
  • a first connection 513 provides power to an inner heater 511 for heating an inner zone of the ESC 508 and a second connection 514 provides power to an outer heater 512 for heating an outer zone of the ESC 508 .
  • An RF source 530 provides RF power to a lower electrode 534 and an upper electrode, which in this embodiment is the gas distribution plate 506 .
  • 2 MHz, 60 MHz, and optionally, 27 MHz power sources make up the RF source 530 and the ESC source 548 .
  • one generator is provided for each frequency.
  • the generators may be in separate RF sources, or separate RF generators may be connected to different electrodes.
  • the upper electrode may have inner and outer electrodes connected to different RF sources. Other arrangements of RF sources and electrodes may be used in other embodiments, such as in another embodiment the upper electrodes may be grounded.
  • a controller 535 is controllably connected to the RF source 530 , the ESC source 548 , an exhaust pump 520 , and the etch gas source 510 .
  • An example of such a plasma processing chamber is the Exelan FlexTM etch system manufactured by Lam Research Corporation of Fremont, Calif.
  • the process chamber can be a CCP (capacitive coupled plasma) reactor or an ICP (inductive coupled plasma) reactor.
  • FIG. 6 is a high level block diagram showing a computer system 600 , which is suitable for implementing a controller 535 used in embodiments.
  • the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device, up to a huge super computer.
  • the computer system 600 includes one or more processors 602 , and further can include an electronic display device 604 (for displaying graphics, text, and other data), a main memory 606 (e.g., random access memory (RAM)), storage device 608 (e.g., hard disk drive), removable storage device 610 (e.g., optical disk drive), user interface devices 612 (e.g., keyboards, touch screens, keypads, mice or other pointing devices, etc.), and a communication interface 614 (e.g., wireless network interface).
  • the communication interface 614 allows software and data to be transferred between the computer system 600 and external devices via a link.
  • the system may also include a communications infrastructure 616 (e.g., a communications bus, cross-over bar, or network) to which the aforementioned devices/modules are connected.
  • a communications infrastructure 616 e.g., a communications bus, cross-over bar, or network
  • Information transferred via communications interface 614 may be in the form of signals such as electronic, electromagnetic, optical, or other signals capable of being received by communications interface 614 , via a communication link that carries signals and may be implemented using wire or cable, fiber optics, a phone line, a cellular phone link, a radio frequency link, and/or other communication channels.
  • a communications interface it is contemplated that the one or more processors 602 might receive information from a network, or might output information to the network in the course of performing the above-described method steps.
  • method embodiments may execute solely upon the processors or may execute over a network, such as the Internet, in conjunction with remote processors that share a portion of the processing.
  • non-transient computer readable medium is used generally to refer to media such as main memory, secondary memory, removable storage, and storage devices, such as hard disks, flash memory, disk drive memory, CD-ROM, and other forms of persistent memory, and shall not be construed to cover transitory subject matter, such as carrier waves or signals.
  • Examples of computer code include machine code, such as one produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter.
  • Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • Each cycle of the atomic layer etching process in this embodiment comprises a fluorinated polymer deposition phase (step 112 ), an activation phase (step 116 ), and a flashing phase (step 120 ).
  • FIG. 2 is a more detailed flow chart of fluorinated polymer deposition phase (step 112 ).
  • a fluorinated polymer deposition gas is flowed into the plasma processing chamber (step 204 ).
  • the flowing the fluorinated polymer deposition gas flows between 10 to 100 sccm of CH 3 F, 50 to 400 sccm H 2 , and 0 to 800 sccm Ar.
  • the fluorinated polymer deposition gas is formed into a plasma, which deposits a hydrofluorocarbon polymer on the structure (step 208 ).
  • 30-200 Watts of RF power is provided at 27 MHz and 30-300 Watts of RF power is provided at 60 MHz.
  • a bias ranging from ⁇ 30V to ⁇ 200V volts is provided to accelerate plasma components to the structure 400 to provide the deposition.
  • the flow of the fluorinated polymer deposition gas is stopped (step 212 ) after 2 to 6 seconds.
  • FIG. 4B is a schematic cross-sectional view of a structure 400 after the fluorinated polymer deposition phase is completed.
  • a thin layer or coating of fluorinated polymer 424 is deposited on the structure 400 .
  • the coating of fluorinated polymer 424 is not drawn to scale, but is drawn thicker to better facilitate understanding.
  • the main objective of this phase is not to etch, but to deposit the fluorinated polymer coating 424 , in some cases, etch of the substrate can be observed during the deposition phase.
  • FIG. 3 is a more detailed flow chart of activation phase (step 116 ).
  • An activation gas is flowed into the plasma processing chamber, where the activation gas comprises at least one of NH 3 or H 2 (step 304 ).
  • the activation gas is 10-800 sccm H 2 .
  • the activation gas is formed into a plasma, which causes SiN to be selectively etched with respect to SiGe or Si or SiO (step 308 ).
  • 50-400 Watts of RF power is provided at 27 MHz and 50-400 Watts of RF power is provided at 60 MHz.
  • a bias of ⁇ 30 to ⁇ 300 volts is provided to accelerate plasma components to the structure 400 to cause activation.
  • the flow of the activation gas is stopped (step 312 ) after 2 to 8 seconds.
  • FIG. 4C is a schematic cross-sectional view of a structure 400 after the activation phase is completed.
  • the plasma generated from the activation gas directionally or anisotropically etches the SiN layer 420 .
  • a layer of the SiN layer 420 is shown as being etched away. The directional etch of the SiN layer selectively etches horizontal surfaces with respect to vertical surfaces.
  • FIG. 7 is a more detailed flow chart of the flashing phase (step 120 ).
  • a flashing gas is flowed into the plasma processing chamber, where the flashing gas comprises H 2 and at least one of O 2 or CO 2 (step 704 ).
  • the flashing gas is 100-800 sccm H 2 and 1-10 sccm O 2 .
  • the flashing gas is formed into a plasma (step 708 ).
  • 50-400 Watts of RF power is provided at 27 MHz and 60-400 Watts of RF power is provided at 60 MHz.
  • a bias of ⁇ 30 to ⁇ 300 volts is provided to accelerate plasma components to the structure 400 to cause flashing, which removes any remaining polymer residue.
  • the flow of the flashing gas is stopped (step 712 ) after 2 to 8 seconds.
  • FIG. 4D is a schematic cross-sectional view of a structure 400 after the atomic layer etch has been performed for over 10 cycles.
  • the atomic layer etch selectively etched the SiN layer 420 with respect to the dielectric layer 412 .
  • horizontal surfaces of the SiN layer 420 have been selectively etched with respect to the dielectric layer 412 of SiO and vertical surfaces of the SiN layer 420 .
  • the SiN layer 420 is etched through to the silicon layer 408 below to form a contact with a reduced CD.
  • the silicon layer 420 below the contact may be a doped silicon to form a source or drain or may contain a metal contact.
  • the fluorinated polymer deposition gas is a hydrofluorocarbon of CH 3 F, CH 2 F 2 , or CHF 3 and may further comprise at least one of CH 4 , H 2 or Ar.
  • the fluorinated polymer deposition gas may further comprise CO, O 2 , CO 2 , and/or inert gas like Ar.
  • the activation gas is fluorine free and inert bombardment gas free. More preferably, the activation gas consists essentially of H 2 or NH 3 . Most preferably, the activation gas consists essentially of H 2 .
  • the bias voltage during the fluorinated polymer deposition phase and the activation phase has a magnitude of between 30 to 300 volts. More preferably, the bias voltage during the fluorinated polymer deposition phase and the activation phase has a magnitude between 50 to 150 volts. This means that the absolute value of the bias voltage is between 30 to 300 volts and more preferably between 50 to 150 volts.
  • the amount or thickness of the fluorinated polymer provides a self-limitation of the amount of etching during the activation phase.
  • atomic layer etching is defined as a self-limited etching process, such as the self-limitation of the activation process due to the limited presence of fluorinated polymer.
  • the SiN layer reduces the CD of the etched features.
  • the SiN is selectively etched with respect to SiO and SiGe and Si at a ratio of at least 5:1. More preferably, the SiN is selectively etched with respect to SiGe and Si and SiO at a ratio of at least 10:1.
  • the doped Si may be silicon doped with carbon or P-doped Si, and the doped SiGE may be doped with boron.
  • FIG. 8A is a schematic cross-sectional view of a structure 800 , such as a stack, with a silicon substrate 804 , under a SiO layer 808 , under a SiN layer 812 under a SiO mask 820 with features 816 , used in another embodiment. Parts of the SiN layer 812 may be recessed, since during the patterning of the SiO mask 820 , part of the SiN layer 812 may be etched. One or more intermediate layers may be placed between the various layers of the structure 800 .
  • the substrate 804 with the structure 800 is placed in a plasma processing chamber (step 104 ).
  • a cyclical atomic layer etching is provided (step 108 ).
  • Each cycle of the atomic layer etching process comprises a fluorinated polymer deposition phase (step 112 ) and an activation phase (step 116 ).
  • the atomic layer etching process does not include a flashing phase.
  • FIG. 2 is a more detailed flow chart of fluorinated polymer deposition phase (step 112 ).
  • a fluorinated polymer deposition gas is flowed into the plasma processing chamber (step 204 ).
  • the fluorinated polymer deposition gas is formed into a plasma, which deposits fluorocarbon polymer on the structure (step 208 ).
  • the flow of the fluorinated polymer deposition gas is stopped (step 212 ).
  • FIG. 3 is a more detailed flow chart of activation phase (step 116 ).
  • An activation gas is flowed into the plasma processing chamber, where the activation gas comprises at least one of NH 3 or H 2 (step 304 ).
  • the activation gas is formed into a plasma, which selectively etches SiN with respect to SiO (step 308 ).
  • the flow of the activation gas is stopped (step 312 ).
  • the substrate 804 with the structure 800 is removed from the plasma processing chamber (step 124 ).
  • FIG. 8B is a schematic cross-sectional view of a structure 800 after the SiN layer 812 has been etched.
  • the atomic layer etching is able to selectively etch the SiN layer 812 without or with minimal etching of the SiO mask 820 and the SiO layer 808 .
  • Such an embodiment provides features with a CD of less than 20 nm and a height to width aspect ratio of greater than 10:1.
  • the activation gas that is comprised essentially of H 2 forms hydrogen ions.
  • the hydrogen ions are accelerated to the structure 800 . Because the hydrogen ions are light, minimal etching is caused by bombardment. It is believed that a chemical reaction caused by the hydrogen ions provides most of the SiN etching with minimal or no etching of SiO, Si, and SiGe.

Abstract

A method for selectively etching SiN with respect to SiO or SiGe or Si of a structure is provided comprising providing a plurality of cycles of atomic layer etching. Each cycle comprises a fluorinated polymer deposition phase comprising flowing a fluorinated polymer deposition gas comprising a hydrofluorocarbon gas into the plasma processing chamber, forming the fluorinated polymer deposition gas into a plasma, which deposits a hydrofluorocarbon polymer layer on the structure, and stopping the flow of the fluorinated polymer deposition gas into the plasma processing chamber and an activation phase comprising flowing an activation gas comprising at least one of NH3 or H2 into the plasma processing chamber, forming the activation gas into a plasma, wherein plasma components from NH3 or H2 cause SiN to be selectively etched with respect to SiO or SiGe or Si, and stopping the flow of the activation gas into the plasma processing chamber.

Description

    BACKGROUND
  • The disclosure relates to a method of forming semiconductor devices on a semiconductor wafer. More specifically, the disclosure relates to etching silicon nitride in forming semiconductor devices.
  • In forming semiconductor devices, semiconductor devices may be formed with processes that etch silicon nitride.
  • SUMMARY
  • To achieve the foregoing and in accordance with the purpose of the present disclosure, a method for selectively etching SiN with respect to SiO or SiGe or Si of a structure in a plasma processing chamber is provided comprising providing a plurality of cycles of atomic layer etching. Each cycle comprises a fluorinated polymer deposition phase, comprising flowing a fluorinated polymer deposition gas comprising a hydrofluorocarbon gas into the plasma processing chamber, forming the fluorinated polymer deposition gas into a plasma, which deposits a hydrofluorocarbon polymer layer on the structure, and stopping the flow of the fluorinated polymer deposition gas into the plasma processing chamber and an activation phase, comprising flowing an activation gas comprising at least one of NH3 or H2 into the plasma processing chamber, forming the activation gas into a plasma, wherein plasma components from NH3 or H2 cause SiN to be selectively etched with respect to SiO or SiGe or Si, and stopping the flow of the activation gas into the plasma processing chamber.
  • These and other features of the present disclosure will be described in more details below in the detailed description and in conjunction with the following figures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
  • FIG. 1 is a high level flow chart of an embodiment.
  • FIG. 2 is a more detailed flow chart of a fluorinated polymer deposition phase.
  • FIG. 3 is a more detailed flow chart of an activation phase.
  • FIGS. 4A-D are schematic cross-sectional views of a structure processed according to an embodiment.
  • FIG. 5 is a schematic view of a plasma processing chamber that may be used in an embodiment.
  • FIG. 6 is a schematic view of a computer system that may be used in practicing an embodiment.
  • FIG. 7 is a more detailed flow chart of a flashing phase.
  • FIGS. 8A-B are schematic cross-sectional views of a structure processed according to another embodiment.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present disclosure will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. It will be apparent, however, to one skilled in the art, that the present disclosure may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present disclosure.
  • FIG. 1 is a high level flow chart of an embodiment. In this embodiment, a substrate with a structure, such as a stack, is placed in a plasma processing chamber (step 104). A selective etch of SiN using a cyclical atomic layer etching is provided (step 108). Each cycle of the atomic layer etching process comprises a hydro-fluorinated polymer deposition phase (step 112), an activation phase (step 116), and a flashing phase (step 120). The substrate with the structure is removed from the plasma processing chamber (step 124). FIG. 2 is a more detailed flow chart of hydro-fluorinated polymer deposition phase (step 112). A hydro-fluorinated polymer deposition gasses are flowed into the plasma processing chamber (step 204). The hydro-fluorinated polymer deposition gasses are formed into a plasma, which deposits hydro-fluorocarbon polymer on the structure (step 208). The flow of the hydro-fluorinated polymer deposition gasses are stopped (step 212). FIG. 3 is a more detailed flow chart of activation phase (step 116). An activation gas is flowed into the plasma processing chamber, where the activation gas comprises at least one of NH3 or H2 (step 304). The activation gas is formed into a plasma, which selectively etches SiN with respect to SiO or SiGe or Si (step 308). In the specification and claims, SiO, SiGe, and Si includes doped and undoped SiO, SiGE, and Si. The flow of the activation gas is stopped (step 312).
  • Example
  • In an example, a substrate with a structure is placed in a plasma processing chamber (step 104). FIG. 4A is a schematic cross-sectional view of a structure 400, such as a stack, with a silicon substrate 404, under a silicon layer 408, under a dielectric layer 412 comprising SiO with features 416. A SiN layer 420 is deposited over the dielectric layer 412, which narrows the widths of the features 416. The structure 400 is placed in a plasma processing chamber.
  • FIG. 5 is a schematic view of a plasma processing chamber that may be used in an embodiment. In one or more embodiments, the plasma processing system 500 comprises a gas distribution plate 506 providing a gas inlet and an electrostatic chuck (ESC) 508, within a processing chamber 549, enclosed by a chamber wall 550. Within the processing chamber 549, the substrate 404 is positioned on top of the ESC 508. The ESC 508 may provide a bias from the ESC source 548. A gas source 510 is connected to the plasma processing chamber 549 through the distribution plate 506. In this embodiment, the gas source 510 comprises a H2 gas source 512, a flashing gas source 516, and a deposition gas sources 518. The gas source 510 may have additional gas sources. Each gas source may comprise multiple gas sources. An ESC temperature controller 551 is connected to the ESC 508, and provides temperature control of the ESC 508. In this example, a first connection 513 provides power to an inner heater 511 for heating an inner zone of the ESC 508 and a second connection 514 provides power to an outer heater 512 for heating an outer zone of the ESC 508. An RF source 530 provides RF power to a lower electrode 534 and an upper electrode, which in this embodiment is the gas distribution plate 506. In a preferred embodiment, 2 MHz, 60 MHz, and optionally, 27 MHz power sources make up the RF source 530 and the ESC source 548. In this embodiment, one generator is provided for each frequency. In other embodiments, the generators may be in separate RF sources, or separate RF generators may be connected to different electrodes. For example, the upper electrode may have inner and outer electrodes connected to different RF sources. Other arrangements of RF sources and electrodes may be used in other embodiments, such as in another embodiment the upper electrodes may be grounded. A controller 535 is controllably connected to the RF source 530, the ESC source 548, an exhaust pump 520, and the etch gas source 510. An example of such a plasma processing chamber is the Exelan Flex™ etch system manufactured by Lam Research Corporation of Fremont, Calif. The process chamber can be a CCP (capacitive coupled plasma) reactor or an ICP (inductive coupled plasma) reactor.
  • FIG. 6 is a high level block diagram showing a computer system 600, which is suitable for implementing a controller 535 used in embodiments. The computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device, up to a huge super computer. The computer system 600 includes one or more processors 602, and further can include an electronic display device 604 (for displaying graphics, text, and other data), a main memory 606 (e.g., random access memory (RAM)), storage device 608 (e.g., hard disk drive), removable storage device 610 (e.g., optical disk drive), user interface devices 612 (e.g., keyboards, touch screens, keypads, mice or other pointing devices, etc.), and a communication interface 614 (e.g., wireless network interface). The communication interface 614 allows software and data to be transferred between the computer system 600 and external devices via a link. The system may also include a communications infrastructure 616 (e.g., a communications bus, cross-over bar, or network) to which the aforementioned devices/modules are connected.
  • Information transferred via communications interface 614 may be in the form of signals such as electronic, electromagnetic, optical, or other signals capable of being received by communications interface 614, via a communication link that carries signals and may be implemented using wire or cable, fiber optics, a phone line, a cellular phone link, a radio frequency link, and/or other communication channels. With such a communications interface, it is contemplated that the one or more processors 602 might receive information from a network, or might output information to the network in the course of performing the above-described method steps. Furthermore, method embodiments may execute solely upon the processors or may execute over a network, such as the Internet, in conjunction with remote processors that share a portion of the processing.
  • The term “non-transient computer readable medium” is used generally to refer to media such as main memory, secondary memory, removable storage, and storage devices, such as hard disks, flash memory, disk drive memory, CD-ROM, and other forms of persistent memory, and shall not be construed to cover transitory subject matter, such as carrier waves or signals. Examples of computer code include machine code, such as one produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter. Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • After the structure 400 with the substrate 404 has been placed into the plasma processing chamber 549, cyclical atomic layer etching is provided (step 108). Each cycle of the atomic layer etching process in this embodiment comprises a fluorinated polymer deposition phase (step 112), an activation phase (step 116), and a flashing phase (step 120). FIG. 2 is a more detailed flow chart of fluorinated polymer deposition phase (step 112). A fluorinated polymer deposition gas is flowed into the plasma processing chamber (step 204). In this embodiment, the flowing the fluorinated polymer deposition gas flows between 10 to 100 sccm of CH3F, 50 to 400 sccm H2, and 0 to 800 sccm Ar. The fluorinated polymer deposition gas is formed into a plasma, which deposits a hydrofluorocarbon polymer on the structure (step 208). In this embodiment, 30-200 Watts of RF power is provided at 27 MHz and 30-300 Watts of RF power is provided at 60 MHz. A bias ranging from −30V to −200V volts is provided to accelerate plasma components to the structure 400 to provide the deposition. The flow of the fluorinated polymer deposition gas is stopped (step 212) after 2 to 6 seconds.
  • FIG. 4B is a schematic cross-sectional view of a structure 400 after the fluorinated polymer deposition phase is completed. A thin layer or coating of fluorinated polymer 424 is deposited on the structure 400. The coating of fluorinated polymer 424 is not drawn to scale, but is drawn thicker to better facilitate understanding. In this embodiment, the main objective of this phase is not to etch, but to deposit the fluorinated polymer coating 424, in some cases, etch of the substrate can be observed during the deposition phase.
  • FIG. 3 is a more detailed flow chart of activation phase (step 116). An activation gas is flowed into the plasma processing chamber, where the activation gas comprises at least one of NH3 or H2 (step 304). In this example, the activation gas is 10-800 sccm H2. The activation gas is formed into a plasma, which causes SiN to be selectively etched with respect to SiGe or Si or SiO (step 308). In this embodiment, 50-400 Watts of RF power is provided at 27 MHz and 50-400 Watts of RF power is provided at 60 MHz. A bias of −30 to −300 volts is provided to accelerate plasma components to the structure 400 to cause activation. The flow of the activation gas is stopped (step 312) after 2 to 8 seconds.
  • FIG. 4C is a schematic cross-sectional view of a structure 400 after the activation phase is completed. The plasma generated from the activation gas directionally or anisotropically etches the SiN layer 420. A layer of the SiN layer 420 is shown as being etched away. The directional etch of the SiN layer selectively etches horizontal surfaces with respect to vertical surfaces.
  • FIG. 7 is a more detailed flow chart of the flashing phase (step 120). A flashing gas is flowed into the plasma processing chamber, where the flashing gas comprises H2 and at least one of O2 or CO2 (step 704). In this example, the flashing gas is 100-800 sccm H2 and 1-10 sccm O2. The flashing gas is formed into a plasma (step 708). In this embodiment, 50-400 Watts of RF power is provided at 27 MHz and 60-400 Watts of RF power is provided at 60 MHz. A bias of −30 to −300 volts is provided to accelerate plasma components to the structure 400 to cause flashing, which removes any remaining polymer residue. The flow of the flashing gas is stopped (step 712) after 2 to 8 seconds.
  • The cyclical process is repeated many times. In this example, the process is repeated from 5 to 20 times. FIG. 4D is a schematic cross-sectional view of a structure 400 after the atomic layer etch has been performed for over 10 cycles. The atomic layer etch selectively etched the SiN layer 420 with respect to the dielectric layer 412. In this example, horizontal surfaces of the SiN layer 420 have been selectively etched with respect to the dielectric layer 412 of SiO and vertical surfaces of the SiN layer 420. The SiN layer 420 is etched through to the silicon layer 408 below to form a contact with a reduced CD. The silicon layer 420 below the contact may be a doped silicon to form a source or drain or may contain a metal contact.
  • In other embodiments, the fluorinated polymer deposition gas is a hydrofluorocarbon of CH3F, CH2F2, or CHF3 and may further comprise at least one of CH4, H2 or Ar. In addition to a hydrofluorocarbon, the fluorinated polymer deposition gas may further comprise CO, O2, CO2, and/or inert gas like Ar. Preferably, the activation gas is fluorine free and inert bombardment gas free. More preferably, the activation gas consists essentially of H2 or NH3. Most preferably, the activation gas consists essentially of H2. Preferably, the bias voltage during the fluorinated polymer deposition phase and the activation phase has a magnitude of between 30 to 300 volts. More preferably, the bias voltage during the fluorinated polymer deposition phase and the activation phase has a magnitude between 50 to 150 volts. This means that the absolute value of the bias voltage is between 30 to 300 volts and more preferably between 50 to 150 volts.
  • The amount or thickness of the fluorinated polymer provides a self-limitation of the amount of etching during the activation phase. In the specification and claims, atomic layer etching is defined as a self-limited etching process, such as the self-limitation of the activation process due to the limited presence of fluorinated polymer.
  • In this embodiment, the SiN layer reduces the CD of the etched features. Preferably, the SiN is selectively etched with respect to SiO and SiGe and Si at a ratio of at least 5:1. More preferably, the SiN is selectively etched with respect to SiGe and Si and SiO at a ratio of at least 10:1. In various embodiments, the doped Si may be silicon doped with carbon or P-doped Si, and the doped SiGE may be doped with boron.
  • FIG. 8A is a schematic cross-sectional view of a structure 800, such as a stack, with a silicon substrate 804, under a SiO layer 808, under a SiN layer 812 under a SiO mask 820 with features 816, used in another embodiment. Parts of the SiN layer 812 may be recessed, since during the patterning of the SiO mask 820, part of the SiN layer 812 may be etched. One or more intermediate layers may be placed between the various layers of the structure 800. The substrate 804 with the structure 800 is placed in a plasma processing chamber (step 104). A cyclical atomic layer etching is provided (step 108). Each cycle of the atomic layer etching process comprises a fluorinated polymer deposition phase (step 112) and an activation phase (step 116). In this embodiment, the atomic layer etching process does not include a flashing phase. FIG. 2 is a more detailed flow chart of fluorinated polymer deposition phase (step 112). A fluorinated polymer deposition gas is flowed into the plasma processing chamber (step 204). The fluorinated polymer deposition gas is formed into a plasma, which deposits fluorocarbon polymer on the structure (step 208). The flow of the fluorinated polymer deposition gas is stopped (step 212). FIG. 3 is a more detailed flow chart of activation phase (step 116). An activation gas is flowed into the plasma processing chamber, where the activation gas comprises at least one of NH3 or H2 (step 304). The activation gas is formed into a plasma, which selectively etches SiN with respect to SiO (step 308). The flow of the activation gas is stopped (step 312). After several cycles of the atomic layer etching, the substrate 804 with the structure 800 is removed from the plasma processing chamber (step 124).
  • FIG. 8B is a schematic cross-sectional view of a structure 800 after the SiN layer 812 has been etched. The atomic layer etching is able to selectively etch the SiN layer 812 without or with minimal etching of the SiO mask 820 and the SiO layer 808. Such an embodiment provides features with a CD of less than 20 nm and a height to width aspect ratio of greater than 10:1.
  • Without being bound by theory, it is believed that the activation gas that is comprised essentially of H2 forms hydrogen ions. The hydrogen ions are accelerated to the structure 800. Because the hydrogen ions are light, minimal etching is caused by bombardment. It is believed that a chemical reaction caused by the hydrogen ions provides most of the SiN etching with minimal or no etching of SiO, Si, and SiGe.
  • While this disclosure has been described in terms of several preferred embodiments, there are alterations, modifications, permutations, and various substitute equivalents, which fall within the scope of this disclosure. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present disclosure. It is therefore intended that the following appended claims be interpreted as including all such alterations, modifications, permutations, and various substitute equivalents that fall within the true spirit and scope of the present disclosure.

Claims (16)

1. A method for selectively etching SiN with respect to SiO or SiGe or Si of a structure in a plasma processing chamber, comprising providing a plurality of cycles of atomic layer etching, wherein each cycle comprises:
a fluorinated polymer deposition phase, comprising:
flowing a fluorinated polymer deposition gas comprising a hydrofluorocarbon gas into the plasma processing chamber;
forming the fluorinated polymer deposition gas into a plasma, which deposits a hydrofluorocarbon polymer layer on the structure; and
stopping the flow of the fluorinated polymer deposition gas into the plasma processing chamber; and
an activation phase, comprising:
flowing an activation gas comprising at least one of NH3 or H2 into the plasma processing chamber;
forming the activation gas into a plasma, wherein plasma components from NH3 or H2 cause SiN to be selectively etched with respect to SiO or SiGe or Si; and
stopping the flow of the activation gas into the plasma processing chamber.
2. The method, as recited in claim 1, wherein the activation gas comprises H2.
3. The method, as recited in claim 2, wherein the activation gas is fluorine and inert bombardment gas free.
4. The method, as recited in claim 3, further comprising a flashing phase after the activation phase, comprising:
flowing a flashing gas comprising H2 and at least one of O2 or CO2 into the plasma processing chamber;
forming the flashing gas into a plasma, wherein the plasma strips polymer; and
stopping the flow of the flashing gas.
5. The method, as recited in claim 3, wherein the fluorinated polymer deposition phase provides a bias voltage with a magnitude of between 30 to 300 volts and the activation phase provides a bias voltage with a magnitude of between 30 to 300 volts.
6. The method, as recited in claim 5, wherein the hydrofluorocarbon gas comprises at least one of CH3F, CH2F2, or CHF3.
7. The method, as recited in claim 6, wherein the fluorinated polymer deposition gas further comprises at least one of CH4 or H2 or Ar.
8. The method, as recited in claim 7, the activation gas consists essentially of H2.
9. The method, as recited in claim 8, wherein the activation phase is self limiting dependent on a thickness of fluorinated polymer deposited, since fluorine from the fluorinated polymer is used for etching during the activation phase.
10. The method, as recited in claim 1, wherein the activation gas is fluorine and inert bombardment gas free.
11. The method, as recited in claim 1, further comprising a flashing phase after the activation phase, comprising:
flowing a flashing gas comprising H2 and at least one of O2 or CO2 into the plasma processing chamber;
forming the flashing gas into a plasma, wherein the plasma strips polymer; and
stopping the flow of the flashing gas.
12. The method, as recited in claim 1, wherein the fluorinated polymer deposition phase provides a bias voltage with a magnitude of between 30 to 300 volts and the activation phase provides a bias voltage with a magnitude of between 30 to 300 volts.
13. The method, as recited in claim 1, wherein the hydrofluorocarbon gas comprises at least one of CH3F, CH2F2, or CHF3.
14. The method, as recited in claim 1, wherein the fluorinated polymer deposition gas further comprises at least one of CH4 or H2 or Ar.
15. The method, as recited in claim 1, the activation gas consists essentially of H2.
16. The method, as recited in claim 1, wherein the activation phase is self limiting dependent on a thickness of fluorinated polymer deposited, since fluorine from the fluorinated polymer is used for etching during the activation phase.
US15/463,374 2017-03-20 2017-03-20 Atomic layer etching of silicon nitride Active US10079154B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US15/463,374 US10079154B1 (en) 2017-03-20 2017-03-20 Atomic layer etching of silicon nitride
PCT/US2018/019415 WO2018175036A1 (en) 2017-03-20 2018-02-23 Atomic layer etching of silicon nitride
TW107109188A TWI774742B (en) 2017-03-20 2018-03-19 Atomic layer etching of silicon nitride

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/463,374 US10079154B1 (en) 2017-03-20 2017-03-20 Atomic layer etching of silicon nitride

Publications (2)

Publication Number Publication Date
US10079154B1 US10079154B1 (en) 2018-09-18
US20180269071A1 true US20180269071A1 (en) 2018-09-20

Family

ID=63491060

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/463,374 Active US10079154B1 (en) 2017-03-20 2017-03-20 Atomic layer etching of silicon nitride

Country Status (3)

Country Link
US (1) US10079154B1 (en)
TW (1) TWI774742B (en)
WO (1) WO2018175036A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110379712A (en) * 2019-08-05 2019-10-25 德淮半导体有限公司 A kind of lithographic method
US11097509B2 (en) 2016-08-30 2021-08-24 Corning Incorporated Siloxane plasma polymers for sheet bonding
US11123954B2 (en) 2014-01-27 2021-09-21 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
US11167532B2 (en) 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
US11192340B2 (en) 2014-04-09 2021-12-07 Corning Incorporated Device modified substrate article and methods for making
US11331692B2 (en) * 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
US11469110B2 (en) 2019-02-01 2022-10-11 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
US11535553B2 (en) 2016-08-31 2022-12-27 Corning Incorporated Articles of controllably bonded sheets and methods for making same
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US11515324B2 (en) * 2018-12-19 2022-11-29 Applied Materials, Inc. 3D NAND structures with decreased pitch
KR20210138119A (en) * 2019-04-08 2021-11-18 어플라이드 머티어리얼스, 인코포레이티드 Methods for Modifying Photoresist Profiles and Tuning Critical Dimensions

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US9059250B2 (en) * 2012-02-17 2015-06-16 International Business Machines Corporation Lateral-dimension-reducing metallic hard mask etch
US20140051256A1 (en) * 2012-08-15 2014-02-20 Lam Research Corporation Etch with mixed mode pulsing
US9105700B2 (en) * 2013-12-12 2015-08-11 Lam Research Corporation Method for forming self-aligned contacts/vias with high corner selectivity
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9318343B2 (en) * 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9911620B2 (en) * 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11123954B2 (en) 2014-01-27 2021-09-21 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
US11192340B2 (en) 2014-04-09 2021-12-07 Corning Incorporated Device modified substrate article and methods for making
US11167532B2 (en) 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
US11660841B2 (en) 2015-05-19 2023-05-30 Corning Incorporated Articles and methods for bonding sheets with carriers
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
US11097509B2 (en) 2016-08-30 2021-08-24 Corning Incorporated Siloxane plasma polymers for sheet bonding
US11535553B2 (en) 2016-08-31 2022-12-27 Corning Incorporated Articles of controllably bonded sheets and methods for making same
US11331692B2 (en) * 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
JP7431160B2 (en) 2017-12-15 2024-02-14 コーニング インコーポレイテッド Methods for processing substrates and manufacturing articles including bonded sheets
US11469110B2 (en) 2019-02-01 2022-10-11 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
US11837474B2 (en) 2019-02-01 2023-12-05 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
CN110379712A (en) * 2019-08-05 2019-10-25 德淮半导体有限公司 A kind of lithographic method

Also Published As

Publication number Publication date
US10079154B1 (en) 2018-09-18
WO2018175036A1 (en) 2018-09-27
TWI774742B (en) 2022-08-21
TW201843701A (en) 2018-12-16

Similar Documents

Publication Publication Date Title
US9779956B1 (en) Hydrogen activated atomic layer etching
US10079154B1 (en) Atomic layer etching of silicon nitride
US10847374B2 (en) Method for etching features in a stack
US20190189447A1 (en) Method for forming square spacers
US9673058B1 (en) Method for etching features in dielectric layers
US9224618B2 (en) Method to increase mask selectivity in ultra-high aspect ratio etches
KR102547487B1 (en) Dielectric Contact Etching
KR102403856B1 (en) Method for etching etching layer
US10541141B2 (en) Method for selectively etching with reduced aspect ratio dependence
CN107919264B (en) Method for selectively etching silicon oxide with respect to organic mask
US20140179106A1 (en) In-situ metal residue clean
KR102615854B1 (en) Porous low-k dielectric etch
US20150037979A1 (en) Conformal sidewall passivation
US9418869B2 (en) Method to etch a tungsten containing layer
US8906248B2 (en) Silicon on insulator etch
US10546756B2 (en) Method for generating vertical profiles in organic layer etches
US8431461B1 (en) Silicon nitride dry trim without top pulldown
US10600648B2 (en) Silicon-based deposition for semiconductor processing
WO2019168535A1 (en) Silicon-based deposition for semiconductor processing

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DELGADINO, GERARDO;LE, DANIEL;SIGNING DATES FROM 20170317 TO 20170320;REEL/FRAME:041658/0368

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4