US20180090560A1 - On-chip mim capacitor - Google Patents

On-chip mim capacitor Download PDF

Info

Publication number
US20180090560A1
US20180090560A1 US15/498,714 US201715498714A US2018090560A1 US 20180090560 A1 US20180090560 A1 US 20180090560A1 US 201715498714 A US201715498714 A US 201715498714A US 2018090560 A1 US2018090560 A1 US 2018090560A1
Authority
US
United States
Prior art keywords
gate
region
capacitor
cmos
recited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/498,714
Other versions
US9947740B1 (en
Inventor
Kangguo Cheng
Peng Xu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Elpis Technologies Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US15/498,714 priority Critical patent/US9947740B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHENG, KANGGUO, XU, PENG
Publication of US20180090560A1 publication Critical patent/US20180090560A1/en
Application granted granted Critical
Publication of US9947740B1 publication Critical patent/US9947740B1/en
Assigned to ELPIS TECHNOLOGIES INC. reassignment ELPIS TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/86Electrodes with an enlarged surface, e.g. formed by texturisation having horizontal extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Definitions

  • the present invention generally relates to semiconductor devices, and more particularly to complementary metal oxide semiconductor (CMOS) devices and fabrication methods with capacitor fabrication integrated into the process.
  • CMOS complementary metal oxide semiconductor
  • CMOS Complementary metal oxide semiconductor
  • SADP self-aligned double patterning
  • a method for forming an on-chip capacitor with complementary metal oxide semiconductor (CMOS) devices includes forming a first capacitor electrode between gate structures in a capacitor region while forming contacts to source and drain (S/D) regions in a CMOS region.
  • Gate structures are cut in the CMOS region and the capacitor region by etching a trench across the gate structures and filling the trench with a dielectric material.
  • the gate structures and the dielectric material in the trench in the capacitor region are removed to form a position for an insulator and a second electrode.
  • the insulator is deposited in the position.
  • Gate metal is deposited to form gate conductors in the CMOS region and the second electrode in the capacitor region.
  • CMOS complementary metal oxide semiconductor
  • Another method for forming an on-chip capacitor with complementary metal oxide semiconductor (CMOS) devices includes patterning gate structures over source and drain (S/D) regions in a CMOS region and over a substrate in a capacitor region; planarizing an interlevel dielectric layer in the CMOS region and the capacitor region; depositing and planarizing contacts in contact holes to the S/D regions in the CMOS regions and between the gate structures in the capacitor region to form first electrode; cutting the gate structures in the CMOS region and the capacitor region by etching at least one trench across the gate structures and filling the at least one trench with a dielectric material; removing the gate structures and the dielectric material in the at least one trench in the capacitor region to form a position for an insulator and a second electrode; depositing the insulator in the position; and depositing gate metal to form gate conductors in the CMOS region and the second electrode in the capacitor region.
  • S/D source and drain
  • a device having an on-chip capacitor with complementary metal oxide semiconductor (CMOS) devices includes a first capacitor electrode formed between gate structure regions in a capacitor region and formed from contact metal for contacts to source and drain (S/D) regions in a CMOS region.
  • a gate cut trench structure is formed in the capacitor region and filled with a conductive material and connected to the first capacitor electrode.
  • An insulator spacer is formed in contact with the first electrode in the gate structure regions in the capacitor region.
  • a second electrode is formed in contact with the insulator within the gate structure regions in the capacitor region to form the on-chip capacitor.
  • FIG. 1 is a top view showing a device having a complementary metal oxide semiconductor (CMOS) region and a capacitor region having gates formed in accordance with an embodiment of the present invention
  • CMOS complementary metal oxide semiconductor
  • FIG. 2 is a top view showing the device of FIG. 1 having epitaxially grown source and drain regions formed in the CMOS region, spacers formed on the gates in the CMOS region and the capacitor region in accordance with an embodiment of the present invention
  • FIG. 3 is a top view showing a partially transparent interlevel dielectric layer formed on the device of FIG. 1 in the CMOS region and the capacitor region in accordance with an embodiment of the present invention
  • FIG. 4 is a top view of the device of FIG. 3 showing contacts formed through the interlevel dielectric layer in the CMOS region and a first capacitor electrode formed in the capacitor region in accordance with an embodiment of the present invention
  • FIG. 5 is a top view of the device of FIG. 4 showing gate cut regions formed in the CMOS region and in the capacitor region in accordance with an embodiment of the present invention
  • FIG. 6 is a top view of the device of FIG. 5 showing the CMOS region masked and the spacers and gate structures in the capacitor region removed in accordance with an embodiment of the present invention
  • FIG. 7 is a top view of the device of FIG. 6 showing the CMOS region unmasked after the formation of a dielectric spacers in the capacitor region in accordance with an embodiment of the present invention
  • FIG. 8 is a top view of the device of FIG. 7 showing dummy gates removed from the CMOS region in accordance with an embodiment of the present invention
  • FIG. 9 is a top view of the device of FIG. 8 showing a gate metal formed in the CMOS region and a second capacitor electrode formed in the capacitor region in accordance with an embodiment of the present invention
  • FIG. 10 is a cross-sectional view of the capacitor region in FIG. 9 showing a metal-insulator-metal (MIM) capacitor formed in the capacitor region in accordance with an embodiment of the present invention.
  • MIM metal-insulator-metal
  • FIG. 11 is a block/flow diagram showing methods for forming an on-chip capacitor with CMOS devices in accordance with an embodiment of the present invention.
  • on-chip capacitors In accordance with embodiments of the present invention, methods and structures are provided for forming on-chip capacitors.
  • On-chip capacitors or metal-insulator-metal (MIM) devices are needed in many applications such as for system-on-chip (SOC) applications, memory devices, processors, etc.
  • SOC system-on-chip
  • the present embodiments provide fabrication methods and structures that integrate the formation of an on-chip capacitor with complementary metal oxide semiconductor (CMOS) processing steps.
  • CMOS complementary metal oxide semiconductor
  • gate metal is employed for forming one capacitor electrode
  • a contact metal is employed for forming another capacitor electrode.
  • a capacitor dielectric or insulator is formed between the electrodes.
  • the insulator may be formed as a spacer or be concurrently formed with gate dielectric formation (for CMOS devices) or a combination of both.
  • the present embodiments can include a design for an integrated circuit chip, which can be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer can transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly.
  • the stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer.
  • the photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • material compounds will be described in terms of listed elements, e.g., SiGe. These compounds include different proportions of the elements within the compound, e.g., SiGe includes Si x Ge 1-x where x is less than or equal to 1, etc.
  • SiGe includes Si x Ge 1-x where x is less than or equal to 1, etc.
  • other elements can be included in the compound and still function in accordance with the present principles.
  • the compounds with additional elements will be referred to herein as alloys.
  • any of the following “/”, “and/or”, and “at least one of”, for example, in the cases of “A/B”, “A and/or B” and “at least one of A and B”, is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of both options (A and B).
  • such phrasing is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of the third listed option (C) only, or the selection of the first and the second listed options (A and B) only, or the selection of the first and third listed options (A and C) only, or the selection of the second and third listed options (B and C) only, or the selection of all three options (A and B and C).
  • This can be extended, as readily apparent by one of ordinary skill in this and related arts, for as many items listed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper.” and the like, can be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the FIGS. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the FIGS. For ample, if the device in the FIGS. is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below.
  • the device can be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein can be interpreted accordingly.
  • a layer is referred to as being “between” two layers, it can be the only layer between the two layers, or one or more intervening layers can also be present.
  • the device 10 can include any type of semiconductor device including memory, processor, hybrid device, system-on-chip, three dimensional device, etc.
  • the device 10 is divided into regions 20 , 30 .
  • CMOS complementary metal oxide semiconductor
  • the CMOS devices can include fin field effect transistor (FinFETs), planar FETs and/or other types of FET structures.
  • a substrate 8 is represented by the plane of the page of FIG. 1 .
  • the substrate 8 can include a bulk semiconductor substrate, which may be a silicon-based material.
  • Si-based materials suitable for the bulk semiconductor substrate 8 include, but are not limited to monocrystalline forms of Si, SiGe, SiGeC, SiC and multi-layers thereof.
  • the semiconductor substrate 8 may also be a silicon on insulator (SOI) or semiconductor on insulator (SeOI) substrate where substrate includes a base semiconductor layer and substrate 8 as depicted actually forms the semiconductor (or SOI) layer on top of a buried dielectric layer (not shown).
  • SOI silicon on insulator
  • SiOI semiconductor on insulator
  • Each of the semiconductor base layer and/or the SOI layer of the substrate 8 can also include a semiconductor material independently selected from elemental semiconductor materials (e.g., silicon, germanium, carbon, or alloys thereof), III-V semiconductor materials, or II-VI semiconductor materials, etc.
  • Each semiconductor material for the semiconductor base layer and the SOI layer of substrate 8 can be independently single crystalline, polycrystalline, or amorphous.
  • the SOI layer may include a same or different semiconductor material as that of the semiconductor base layer.
  • an active channel region(s) 14 is formed by doping the substrate 8 .
  • a dopant species such as boron or BF 2 can be employed. Boron or BF 2 can be implanted by ion implantation, diffusion, etc. to a needed concentration for the formation a p-type channel.
  • the active channel region 14 can be implanted by ion implantation, diffusion, etc. to implant species for an n-type channel, e.g., phosphorus or arsenic dopants.
  • a dummy gate oxide (not shown) is formed and a dummy gate layer is deposited over the dummy gate oxide.
  • dummy gates 12 are deposited over the substrate 8 and over the active channel region 16 on a CMOS region 20 of the device 10 .
  • Dummy gates 12 are concurrently deposited over the substrate 8 on a capacitor region 30 of the device 10 .
  • the dummy gates 12 can include polysilicon or amorphous silicon.
  • the dummy gates 12 may be deposited using a chemical vapor deposition (CVD) process or similar deposition process.
  • the dummy gates 12 are patterned using a lithographic process, spacer image transfer (SIT) process, self-aligned double patterning process (SADP), self-aligned quadruple patterning process (SAQP), etc.
  • metal gates or gate conductor 12 are deposited over the substrate 8 and over the active channel region 16 on a CMOS region 20 of the device 10 . Gates 12 are concurrently deposited over the substrate 8 on a capacitor region 30 of the device 10 .
  • the gates 12 may include conductive materials, such as, e.g., doped polycrystalline or amorphous silicon, germanium, silicon germanium, a metal (e.g., tungsten, titanium, tantalum, ruthenium, zirconium, cobalt, copper, aluminum, lead, platinum, tin, silver, gold), a conducting metallic compound material (e.g., tantalum nitride, titanium nitride, tungsten silicide, tungsten nitride, ruthenium oxide, cobalt silicide, nickel silicide), carbon nanotube, conductive carbon, graphene, or any suitable combination of these materials.
  • the conductive material may further comprise dopants that are incorporated during or after deposition.
  • the gate structures 12 will be referred to as gates 12 hereafter and will include one of metal gates or dummy gates depending on the context.
  • a gate sidewall spacer 18 may be formed in direct contact with the dummy gate 12 .
  • the gate sidewall spacer 18 can be formed by depositing a conformal layer of dielectric material, such as oxides, nitrides or oxynitrides, on the dummy gate 12 followed by an etch process (e.g., a reactive ion etch (RIE)) that removes the conformal layer from all of the horizontal surfaces (except for the sidewalls of the dummy gate 12 ).
  • the gate sidewall spacer 18 may have a width ranging from 1 nm to 10 nm, and more particularly, ranging from about 1 nm to about 5 nm. Spacers 18 are formed concurrently in the CMOS region 20 and the capacitor region 30 of the device 10 .
  • S/D epitaxy can be performed by ultrahigh vacuum chemical vapor deposition (UHVCVD), rapid thermal chemical vapor deposition (RTCVD), metalorganic chemical vapor deposition (MOCVD), low-pressure chemical vapor deposition (LPCVD), limited reaction processing CVD (LRPCVD), or molecular beam epitaxy (MBE).
  • UHVCVD ultrahigh vacuum chemical vapor deposition
  • RTCVD rapid thermal chemical vapor deposition
  • MOCVD metalorganic chemical vapor deposition
  • LPCVD low-pressure chemical vapor deposition
  • LPCVD limited reaction processing CVD
  • MBE molecular beam epitaxy
  • Epitaxial materials may be grown from gaseous or liquid precursors. Epitaxial materials may be grown using vapor-phase epitaxy (VPE), molecular-beam epitaxy (MBE), liquid-phase epitaxy (LPE), or other suitable process.
  • Epitaxial silicon, silicon germanium (SiGe), and/or carbon doped silicon (Si:C) silicon can be doped during deposition (in-situ doped) by adding dopants, n-type dopants (e.g., phosphorus or arsenic) or p-type dopants (e.g., boron or gallium), depending on the type of transistor.
  • dopants e.g., phosphorus or arsenic
  • p-type dopants e.g., boron or gallium
  • epitaxial growth and/or deposition and “epitaxially formed and/or grown,” mean the growth of a semiconductor material (crystalline material) on a deposition surface of another semiconductor material (crystalline material), in which the semiconductor material being grown (crystalline over layer) has substantially the same crystalline characteristics as the semiconductor material of the deposition surface (seed material).
  • the chemical reactants provided by the source gases are controlled, and the system parameters are set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move about on the surface such that the depositing atoms orient themselves to the crystal arrangement of the atoms of the deposition surface.
  • an epitaxially grown semiconductor material has substantially the same crystalline characteristics as the deposition surface on which the epitaxially grown material is formed.
  • an epitaxially grown semiconductor material deposited on a ⁇ 100 ⁇ orientated crystalline surface will take on a ⁇ 100 ⁇ orientation.
  • epitaxial growth and/or deposition processes are selective to forming on semiconductor surface, and generally do not deposit material on exposed surfaces, such as silicon dioxide or silicon nitride surfaces.
  • an interlevel dielectric (ILD) layer 22 is formed over the device 10 and covers all components in the CMOS region 20 and the capacitor region 30 of the device 10 .
  • the ILD 22 can include an oxide, a flowable oxide, a silicate glass, or other dielectric materials.
  • the ILD 22 is then planarized to expose the gates 12 .
  • the planarization process can include a chemical mechanical polish (CMP).
  • CMP chemical mechanical polish
  • the S/D epitaxy region 16 remains visible through the ILD layer 22 .
  • the ILD layer 22 is patterned to form openings for contacts 24 in the CMOS region 20 and to form a contact metal region 32 in the capacitor region 30 .
  • a contact material is deposited to fill the holes and in planarized (e.g., CMP) to form contacts 24 and contact metal 32 .
  • the contact material can be deposited by a chemical vapor deposition process, evaporation, sputtering or any other suitable process.
  • the contacts 24 and 32 may include any suitable conductive material, such as polycrystalline or amorphous silicon, germanium, silicon germanium, a metal (e.g., tungsten, titanium, tantalum, ruthenium, zirconium, cobalt, copper, aluminum, lead, platinum, tin, silver, gold), a conducting metallic compound material (e.g., tantalum nitride, titanium nitride, tungsten silicide, tungsten nitride, ruthenium oxide, cobalt silicide, nickel silicide), carbon nanotube, conductive carbon, graphene, or any suitable combination of these materials.
  • the conductive material may further comprise dopants that are incorporated during or after deposition.
  • a gate cut process is performed to cut the gates 12 .
  • the gate cut process includes forming gate cut regions 34 in the CMOS region 20 and in the capacitor region 30 .
  • Gate cut trenches are formed by depositing an etch mask material and patterning the etch mask material to expose portions of the gates 12 and spacers 18 .
  • the gate cut trenches are formed in the CMOS regions 20 at the ends of the gates 12 and through a midspan of the gates 12 .
  • the gate cut trenches include a trench at one end of the gates 12 .
  • the gate cut trench in the capacitor region 30 will be employed to join or form sections of the capacitor as will be described.
  • the gate cut trenches are filled with a dielectric material and planarized (e.g., CMP) to form gate cut regions 34 .
  • the dielectric material for the gate cut regions 34 may include a nitride, an oxynitride or other suitable dielectric material.
  • a block mask 36 is formed over the device 10 and patterned to protect the CMOS region 20 .
  • the block mask 36 can include a resist material, a nitride or other suitable dielectric material.
  • the capacitor region 30 is exposed and can now be subjected to one or more selective etching processes to remove the spacers 18 , gates 12 and gate cut region 34 to form trenches 38 .
  • a dielectric layer 40 ( FIG. 7 ) is deposited in the trenches 38 .
  • the dielectric layer 40 will become or be part of a capacitor dielectric as will be described.
  • the dielectric layer 40 can include a high-k dielectric.
  • High-k denotes a dielectric material featuring a dielectric constant (k) higher than the dielectric constant of SiO 2 .
  • the high-k dielectric material can include, for example, HfO 2 , ZrO 2 , Al 2 O 3 , TiO 2 , La 2 O 3 , SrTiO 3 , LaAlO 3 , Y 2 O 3 and mixtures thereof.
  • high-k dielectric materials for the at least one gate dielectric layer include hafnium silicate, hafnium silicon oxynitride or combinations thereof.
  • the high-k dielectric layer 40 can be deposited by chemical vapor deposition (CVD).
  • the high-k dielectric layer 40 can be planarized (e.g., CMP).
  • Dielectric layer 40 is formed as a spacer along sidewalls of the trench 38 by performing a RIE to remove the dielectric layer 40 from the bottom of the trench 38 . If a planarization process is not performed, the RIE can also be employed to remove the dielectric layer 40 from a top surface of the device 10 in the capacitor region 30 . In one embodiment, the dielectric layer 40 or portion thereof can remain on a bottom surface of the trenches 38 in the capacitor region 30 .
  • FIG. 7 shows the high-k dielectric layer 40 formed in the trenches 38 on sidewalls thereof.
  • a selective etch is performed to remove the dummy gate 12 (and dummy oxide) from the CMOS region 20 . This forms open regions 42 .
  • the open regions 42 expose the underlying epitaxy regions 16 . If the gate 12 was formed as a metal gate previously, this step is skipped.
  • a gate dielectric and a gate metal are deposited (or a capacitor metal if gates 12 where previously formed as metal gates).
  • a gate dielectric (not shown) is deposited in the trenches 42 ( FIG. 8 ) and 38 followed by a gate metal 44 and 46 .
  • the gate dielectric may include a high-k oxide.
  • the gate metal may include conductive materials, such as, e.g., doped polycrystalline or amorphous silicon, germanium, silicon germanium, a metal (e.g., tungsten, titanium, tantalum, ruthenium, zirconium, cobalt, copper, aluminum, lead, platinum, tin, silver, gold), a conducting metallic compound material (e.g., tantalum nitride, titanium nitride, tungsten silicide, tungsten nitride, ruthenium oxide, cobalt silicide, nickel silicide), carbon nanotube, conductive carbon, graphene, or any suitable combination of these materials.
  • the conductive material may further comprise dopants that are incorporated during or after deposition.
  • the gate metal forms gates 46 in the CMOS region 20 and an electrode 44 in capacitor region 30 .
  • CMOS devices are formed in the CMOS region concurrently with the formation of a metal-insulator-metal (MIM) capacitor 50 .
  • the capacitor 50 includes a gate metal 44 as one electrode, contact metal 32 as a second electrode and dielectric layer 40 as the insulator therebetween.
  • the gate conductors 46 may have been formed in a gate first process.
  • the gate dielectric (optional) and the gate metal ( 44 ) would only be formed in the capacitor region 30 as the gate metal ( 46 ) would have been provided previously in the CMOS region 20 .
  • the electrodes 32 , 44 may include the same or different materials. This provides additional flexibility in the design of the capacitor(s) 50 .
  • the gate metal that forms gates 46 in the CMOS region 20 is the same material concurrently formed as the electrode 44 in capacitor region 30 .
  • the contact metal that forms contacts 24 in the CMOS region 20 is the same material concurrently formed as the electrode 32 in capacitor region 30 .
  • Dielectric layer 40 is disposed between electrodes 32 and 44 and can be formed as the high-k oxide spacer and/or a gate dielectric 52 (e.g., high-k oxide) formed in the CMOS region 20 .
  • the dielectric material ( 40 , 52 ) may or may not be present on the bottom of the trench.
  • the capacitance of the capacitor can be controlled or modified by controlling the size of the capacitor area, the number and size of the electrodes, the thickness and type of insulator material, etc. These features of the capacitor 50 can be controlled at the design stage or in-situ by altering the dimensions of the capacitor 50 . For example, during the gate cut process the length of some of the longitudinal features can be cut. Other in-situ controls are also contemplated. In another example, the thickness of the insulator can be controlled by controlling one or both of the deposition processes for the dielectric layer 40 and/or gate dielectric 52 .
  • CMOS complementary metal oxide semiconductor
  • the functions noted in the blocks may occur out of the order noted in the figures.
  • two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved.
  • each block of the block diagrams and/or flowchart illustration, and combinations of blocks in the block diagrams and/or flowchart illustration can be implemented by special purpose hardware-based systems that perform the specified functions or acts or carry out combinations of special purpose hardware and computer instructions.
  • a first capacitor electrode is formed between gate structures in a capacitor region while forming contacts to source and drain (S/D) regions in a CMOS region.
  • the CMOS region can include fin field effect transistors, planar transistors, etc.
  • the gate structures can include a dummy gate and spacers, wherein depositing the gate metal to form the gate conductors in the CMOS region includes removing the dummy gate and replacing the dummy gate with the gate metal in subsequent processing.
  • the gate structures can be concurrently formed as dummy gates in the CMOS region and the capacitor region.
  • the gate structures can include sidewall spacers, which are concurrently formed on the dummy gates in the CMOS region and the capacitor region.
  • gate structures are cut in the CMOS region and the capacitor region by etching at least one trench across the gate structures and filling the at least one trench with a dielectric material.
  • the gate structures in the CMOS region may be cut at longitudinal end portions and/or midspan.
  • a cut of the gate structures in the capacitor region can include cutting an end portion of the gate structures although a midspan (or intermediate) cut may also be employed.
  • the CMOS region can be masked to process the capacitor region (e.g., remove the gate structures and the dielectric material in the at least one trench in a next step).
  • the gate structures and the dielectric material in the at least one trench in the capacitor region are removed to form a position for an insulator and a second electrode.
  • the insulator is deposited in the position. This may include the formation of a spacer in the position (e.g., in the at least one trench).
  • the insulator can include a high-k oxide or other dielectric materials and can be formed in a conformal deposition process followed by a RIE and/or a CMP.
  • the insulator can include a dielectric spacer and a gate dielectric layer, wherein the gate dielectric layer is employed in the CMOS regions in the gate structures.
  • gate metal is deposited to form gate conductors in the CMOS region and the second electrode in the capacitor region.
  • processing continues to complete the device.

Abstract

A method for forming an on-chip capacitor with complementary metal oxide semiconductor (CMOS) devices includes forming a first capacitor electrode between gate structures in a capacitor region while forming contacts to source and drain (S/D) regions in a CMOS region. Gate structures are cut in the CMOS region and the capacitor region by etching a trench across the gate structures and filling the trench with a dielectric material. The gate structures and the dielectric material in the trench in the capacitor region are removed to form a position for an insulator and a second electrode. The insulator is deposited in the position. Gate metal is deposited to form gate conductors in the CMOS region and the second electrode in the capacitor region.

Description

    BACKGROUND Technical Field
  • The present invention generally relates to semiconductor devices, and more particularly to complementary metal oxide semiconductor (CMOS) devices and fabrication methods with capacitor fabrication integrated into the process.
  • Description of the Related Art
  • Complementary metal oxide semiconductor (CMOS) devices are continuously scaling down their size and device pitch. Gate pitch is also reduced in keeping with the decreasing scale. To accommodate the small gate pitch, patterning processes, such as, self-aligned double patterning (SADP) have been employed to provide the tight gate pitch patterning dimensions. However, the integration of capacitors and other peripheral devices cannot be easily integrated with the tight gate pitch employed for current CMOS devices.
  • SUMMARY
  • In accordance with an embodiment of the present invention, a method for forming an on-chip capacitor with complementary metal oxide semiconductor (CMOS) devices includes forming a first capacitor electrode between gate structures in a capacitor region while forming contacts to source and drain (S/D) regions in a CMOS region. Gate structures are cut in the CMOS region and the capacitor region by etching a trench across the gate structures and filling the trench with a dielectric material. The gate structures and the dielectric material in the trench in the capacitor region are removed to form a position for an insulator and a second electrode. The insulator is deposited in the position. Gate metal is deposited to form gate conductors in the CMOS region and the second electrode in the capacitor region.
  • Another method for forming an on-chip capacitor with complementary metal oxide semiconductor (CMOS) devices includes patterning gate structures over source and drain (S/D) regions in a CMOS region and over a substrate in a capacitor region; planarizing an interlevel dielectric layer in the CMOS region and the capacitor region; depositing and planarizing contacts in contact holes to the S/D regions in the CMOS regions and between the gate structures in the capacitor region to form first electrode; cutting the gate structures in the CMOS region and the capacitor region by etching at least one trench across the gate structures and filling the at least one trench with a dielectric material; removing the gate structures and the dielectric material in the at least one trench in the capacitor region to form a position for an insulator and a second electrode; depositing the insulator in the position; and depositing gate metal to form gate conductors in the CMOS region and the second electrode in the capacitor region.
  • A device having an on-chip capacitor with complementary metal oxide semiconductor (CMOS) devices includes a first capacitor electrode formed between gate structure regions in a capacitor region and formed from contact metal for contacts to source and drain (S/D) regions in a CMOS region. A gate cut trench structure is formed in the capacitor region and filled with a conductive material and connected to the first capacitor electrode. An insulator spacer is formed in contact with the first electrode in the gate structure regions in the capacitor region. A second electrode is formed in contact with the insulator within the gate structure regions in the capacitor region to form the on-chip capacitor.
  • These and other features and advantages will become apparent from the following detailed description of illustrative embodiments thereof, which is to be read in connection with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The following description will provide details of preferred embodiments with reference to the following figures wherein:
  • FIG. 1 is a top view showing a device having a complementary metal oxide semiconductor (CMOS) region and a capacitor region having gates formed in accordance with an embodiment of the present invention;
  • FIG. 2 is a top view showing the device of FIG. 1 having epitaxially grown source and drain regions formed in the CMOS region, spacers formed on the gates in the CMOS region and the capacitor region in accordance with an embodiment of the present invention;
  • FIG. 3 is a top view showing a partially transparent interlevel dielectric layer formed on the device of FIG. 1 in the CMOS region and the capacitor region in accordance with an embodiment of the present invention;
  • FIG. 4 is a top view of the device of FIG. 3 showing contacts formed through the interlevel dielectric layer in the CMOS region and a first capacitor electrode formed in the capacitor region in accordance with an embodiment of the present invention;
  • FIG. 5 is a top view of the device of FIG. 4 showing gate cut regions formed in the CMOS region and in the capacitor region in accordance with an embodiment of the present invention;
  • FIG. 6 is a top view of the device of FIG. 5 showing the CMOS region masked and the spacers and gate structures in the capacitor region removed in accordance with an embodiment of the present invention;
  • FIG. 7 is a top view of the device of FIG. 6 showing the CMOS region unmasked after the formation of a dielectric spacers in the capacitor region in accordance with an embodiment of the present invention;
  • FIG. 8 is a top view of the device of FIG. 7 showing dummy gates removed from the CMOS region in accordance with an embodiment of the present invention;
  • FIG. 9 is a top view of the device of FIG. 8 showing a gate metal formed in the CMOS region and a second capacitor electrode formed in the capacitor region in accordance with an embodiment of the present invention;
  • FIG. 10 is a cross-sectional view of the capacitor region in FIG. 9 showing a metal-insulator-metal (MIM) capacitor formed in the capacitor region in accordance with an embodiment of the present invention; and
  • FIG. 11 is a block/flow diagram showing methods for forming an on-chip capacitor with CMOS devices in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • In accordance with embodiments of the present invention, methods and structures are provided for forming on-chip capacitors. On-chip capacitors or metal-insulator-metal (MIM) devices are needed in many applications such as for system-on-chip (SOC) applications, memory devices, processors, etc.
  • The present embodiments provide fabrication methods and structures that integrate the formation of an on-chip capacitor with complementary metal oxide semiconductor (CMOS) processing steps. In one embodiment, gate metal is employed for forming one capacitor electrode, and a contact metal is employed for forming another capacitor electrode. A capacitor dielectric or insulator is formed between the electrodes. The insulator may be formed as a spacer or be concurrently formed with gate dielectric formation (for CMOS devices) or a combination of both.
  • It is to be understood that aspects of the present invention will be described in terms of a given illustrative architecture; however, other architectures, structures, substrate materials and process features and steps can be varied within the scope of aspects of the present invention.
  • It will also be understood that when an element such as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements can also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements can be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present.
  • The present embodiments can include a design for an integrated circuit chip, which can be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer can transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly. The stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer. The photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
  • Methods as described herein can be used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case, the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case, the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • It should also be understood that material compounds will be described in terms of listed elements, e.g., SiGe. These compounds include different proportions of the elements within the compound, e.g., SiGe includes SixGe1-x where x is less than or equal to 1, etc. In addition, other elements can be included in the compound and still function in accordance with the present principles. The compounds with additional elements will be referred to herein as alloys.
  • Reference in the specification to “one embodiment” or “an embodiment”, as well as other variations thereof, means that a particular feature, structure, characteristic, and so forth described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrase “in one embodiment” or “in an embodiment”, as well any other variations, appearing in various places throughout the specification are not necessarily all referring to the same embodiment.
  • It is to be appreciated that the use of any of the following “/”, “and/or”, and “at least one of”, for example, in the cases of “A/B”, “A and/or B” and “at least one of A and B”, is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of both options (A and B). As a further example, in the cases of “A, B, and/or C” and “at least one of A, B, and C”, such phrasing is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of the third listed option (C) only, or the selection of the first and the second listed options (A and B) only, or the selection of the first and third listed options (A and C) only, or the selection of the second and third listed options (B and C) only, or the selection of all three options (A and B and C). This can be extended, as readily apparent by one of ordinary skill in this and related arts, for as many items listed.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises,” “comprising,” “includes” and/or “including,” when used herein, specify the presence of stated features, integers, steps, operations, elements and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, opera components and/or groups thereof.
  • Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper.” and the like, can be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the FIGS. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the FIGS. For ample, if the device in the FIGS. is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device can be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein can be interpreted accordingly. In addition, it will also be understood that when a layer is referred to as being “between” two layers, it can be the only layer between the two layers, or one or more intervening layers can also be present.
  • It will be understood that, although the terms first, second, etc. can be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. Thus, a first element discussed below could be termed a second element without departing from the scope of the present concept.
  • Referring now to the drawings in which like numerals represent the same or similar elements and initially to FIG. 1, a top view of a partially fabricated semiconductor device 10 is shown in accordance with present embodiments. The device 10 can include any type of semiconductor device including memory, processor, hybrid device, system-on-chip, three dimensional device, etc. The device 10 is divided into regions 20, 30. In region 20, complementary metal oxide semiconductor (CMOS) devices can be formed. The CMOS devices can include fin field effect transistor (FinFETs), planar FETs and/or other types of FET structures. A substrate 8 is represented by the plane of the page of FIG. 1. The substrate 8 can include a bulk semiconductor substrate, which may be a silicon-based material. Illustrative examples of Si-based materials suitable for the bulk semiconductor substrate 8 include, but are not limited to monocrystalline forms of Si, SiGe, SiGeC, SiC and multi-layers thereof. The semiconductor substrate 8 may also be a silicon on insulator (SOI) or semiconductor on insulator (SeOI) substrate where substrate includes a base semiconductor layer and substrate 8 as depicted actually forms the semiconductor (or SOI) layer on top of a buried dielectric layer (not shown).
  • Each of the semiconductor base layer and/or the SOI layer of the substrate 8 can also include a semiconductor material independently selected from elemental semiconductor materials (e.g., silicon, germanium, carbon, or alloys thereof), III-V semiconductor materials, or II-VI semiconductor materials, etc. Each semiconductor material for the semiconductor base layer and the SOI layer of substrate 8 can be independently single crystalline, polycrystalline, or amorphous. In some embodiments, the SOI layer may include a same or different semiconductor material as that of the semiconductor base layer.
  • Within substrate 8, an active channel region(s) 14 is formed by doping the substrate 8. In one embodiment, when forming regions 14 having a p-type conductivity, a dopant species such as boron or BF2 can be employed. Boron or BF2 can be implanted by ion implantation, diffusion, etc. to a needed concentration for the formation a p-type channel. Likewise, the active channel region 14 can be implanted by ion implantation, diffusion, etc. to implant species for an n-type channel, e.g., phosphorus or arsenic dopants.
  • A dummy gate oxide (not shown) is formed and a dummy gate layer is deposited over the dummy gate oxide. In a gate last process, dummy gates 12 are deposited over the substrate 8 and over the active channel region 16 on a CMOS region 20 of the device 10. Dummy gates 12 are concurrently deposited over the substrate 8 on a capacitor region 30 of the device 10. In one embodiment, the dummy gates 12 can include polysilicon or amorphous silicon. The dummy gates 12 may be deposited using a chemical vapor deposition (CVD) process or similar deposition process. The dummy gates 12 are patterned using a lithographic process, spacer image transfer (SIT) process, self-aligned double patterning process (SADP), self-aligned quadruple patterning process (SAQP), etc.
  • In a gate first process, metal gates or gate conductor 12 are deposited over the substrate 8 and over the active channel region 16 on a CMOS region 20 of the device 10. Gates 12 are concurrently deposited over the substrate 8 on a capacitor region 30 of the device 10. The gates 12 may include conductive materials, such as, e.g., doped polycrystalline or amorphous silicon, germanium, silicon germanium, a metal (e.g., tungsten, titanium, tantalum, ruthenium, zirconium, cobalt, copper, aluminum, lead, platinum, tin, silver, gold), a conducting metallic compound material (e.g., tantalum nitride, titanium nitride, tungsten silicide, tungsten nitride, ruthenium oxide, cobalt silicide, nickel silicide), carbon nanotube, conductive carbon, graphene, or any suitable combination of these materials. The conductive material may further comprise dopants that are incorporated during or after deposition.
  • The gate structures 12 will be referred to as gates 12 hereafter and will include one of metal gates or dummy gates depending on the context.
  • Referring to FIG. 2, a gate sidewall spacer 18 may be formed in direct contact with the dummy gate 12. The gate sidewall spacer 18 can be formed by depositing a conformal layer of dielectric material, such as oxides, nitrides or oxynitrides, on the dummy gate 12 followed by an etch process (e.g., a reactive ion etch (RIE)) that removes the conformal layer from all of the horizontal surfaces (except for the sidewalls of the dummy gate 12). The gate sidewall spacer 18 may have a width ranging from 1 nm to 10 nm, and more particularly, ranging from about 1 nm to about 5 nm. Spacers 18 are formed concurrently in the CMOS region 20 and the capacitor region 30 of the device 10.
  • An epitaxial growth process is performed to epitaxially grow source and drain (S/D) regions 16 on the active channel regions 14. S/D epitaxy can be performed by ultrahigh vacuum chemical vapor deposition (UHVCVD), rapid thermal chemical vapor deposition (RTCVD), metalorganic chemical vapor deposition (MOCVD), low-pressure chemical vapor deposition (LPCVD), limited reaction processing CVD (LRPCVD), or molecular beam epitaxy (MBE). Epitaxial materials may be grown from gaseous or liquid precursors. Epitaxial materials may be grown using vapor-phase epitaxy (VPE), molecular-beam epitaxy (MBE), liquid-phase epitaxy (LPE), or other suitable process. Epitaxial silicon, silicon germanium (SiGe), and/or carbon doped silicon (Si:C) silicon can be doped during deposition (in-situ doped) by adding dopants, n-type dopants (e.g., phosphorus or arsenic) or p-type dopants (e.g., boron or gallium), depending on the type of transistor.
  • The terms “epitaxial growth and/or deposition” and “epitaxially formed and/or grown,” mean the growth of a semiconductor material (crystalline material) on a deposition surface of another semiconductor material (crystalline material), in which the semiconductor material being grown (crystalline over layer) has substantially the same crystalline characteristics as the semiconductor material of the deposition surface (seed material). In an epitaxial deposition process, the chemical reactants provided by the source gases are controlled, and the system parameters are set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move about on the surface such that the depositing atoms orient themselves to the crystal arrangement of the atoms of the deposition surface. Therefore, an epitaxially grown semiconductor material has substantially the same crystalline characteristics as the deposition surface on which the epitaxially grown material is formed. For example, an epitaxially grown semiconductor material deposited on a {100} orientated crystalline surface will take on a {100} orientation. In some embodiments, epitaxial growth and/or deposition processes are selective to forming on semiconductor surface, and generally do not deposit material on exposed surfaces, such as silicon dioxide or silicon nitride surfaces.
  • Referring to FIG. 3, an interlevel dielectric (ILD) layer 22 is formed over the device 10 and covers all components in the CMOS region 20 and the capacitor region 30 of the device 10. The ILD 22 can include an oxide, a flowable oxide, a silicate glass, or other dielectric materials. The ILD 22 is then planarized to expose the gates 12. The planarization process can include a chemical mechanical polish (CMP). For illustration purposes, the S/D epitaxy region 16 remains visible through the ILD layer 22.
  • Referring to FIG. 4, the ILD layer 22 is patterned to form openings for contacts 24 in the CMOS region 20 and to form a contact metal region 32 in the capacitor region 30. A contact material is deposited to fill the holes and in planarized (e.g., CMP) to form contacts 24 and contact metal 32. The contact material can be deposited by a chemical vapor deposition process, evaporation, sputtering or any other suitable process.
  • The contacts 24 and 32 may include any suitable conductive material, such as polycrystalline or amorphous silicon, germanium, silicon germanium, a metal (e.g., tungsten, titanium, tantalum, ruthenium, zirconium, cobalt, copper, aluminum, lead, platinum, tin, silver, gold), a conducting metallic compound material (e.g., tantalum nitride, titanium nitride, tungsten silicide, tungsten nitride, ruthenium oxide, cobalt silicide, nickel silicide), carbon nanotube, conductive carbon, graphene, or any suitable combination of these materials. The conductive material may further comprise dopants that are incorporated during or after deposition.
  • Referring to FIG. 5, a gate cut process is performed to cut the gates 12. The gate cut process includes forming gate cut regions 34 in the CMOS region 20 and in the capacitor region 30. Gate cut trenches are formed by depositing an etch mask material and patterning the etch mask material to expose portions of the gates 12 and spacers 18. In one embodiment, the gate cut trenches are formed in the CMOS regions 20 at the ends of the gates 12 and through a midspan of the gates 12. In the capacitor region 30, the gate cut trenches include a trench at one end of the gates 12. The gate cut trench in the capacitor region 30 will be employed to join or form sections of the capacitor as will be described. The gate cut trenches are filled with a dielectric material and planarized (e.g., CMP) to form gate cut regions 34. The dielectric material for the gate cut regions 34 may include a nitride, an oxynitride or other suitable dielectric material.
  • Referring to FIG. 6, a block mask 36 is formed over the device 10 and patterned to protect the CMOS region 20. The block mask 36 can include a resist material, a nitride or other suitable dielectric material. The capacitor region 30 is exposed and can now be subjected to one or more selective etching processes to remove the spacers 18, gates 12 and gate cut region 34 to form trenches 38.
  • A dielectric layer 40 (FIG. 7) is deposited in the trenches 38. The dielectric layer 40 will become or be part of a capacitor dielectric as will be described. The dielectric layer 40 can include a high-k dielectric. High-k denotes a dielectric material featuring a dielectric constant (k) higher than the dielectric constant of SiO2. In one embodiment, the high-k dielectric material can include, for example, HfO2, ZrO2, Al2O3, TiO2, La2O3, SrTiO3, LaAlO3, Y2O3 and mixtures thereof. Other examples of high-k dielectric materials for the at least one gate dielectric layer include hafnium silicate, hafnium silicon oxynitride or combinations thereof. In one embodiment, the high-k dielectric layer 40 can be deposited by chemical vapor deposition (CVD). The high-k dielectric layer 40 can be planarized (e.g., CMP).
  • Dielectric layer 40 is formed as a spacer along sidewalls of the trench 38 by performing a RIE to remove the dielectric layer 40 from the bottom of the trench 38. If a planarization process is not performed, the RIE can also be employed to remove the dielectric layer 40 from a top surface of the device 10 in the capacitor region 30. In one embodiment, the dielectric layer 40 or portion thereof can remain on a bottom surface of the trenches 38 in the capacitor region 30.
  • Referring to FIG. 7, after the high-k dielectric layer 40 is processed like a spacer, the block mask 36 is removed from the CMOS region 20. FIG. 7 shows the high-k dielectric layer 40 formed in the trenches 38 on sidewalls thereof.
  • Referring to FIG. 8, a selective etch is performed to remove the dummy gate 12 (and dummy oxide) from the CMOS region 20. This forms open regions 42. The open regions 42 expose the underlying epitaxy regions 16. If the gate 12 was formed as a metal gate previously, this step is skipped.
  • Referring to FIG. 9, a gate dielectric and a gate metal are deposited (or a capacitor metal if gates 12 where previously formed as metal gates). In one embodiment, a gate dielectric (not shown) is deposited in the trenches 42 (FIG. 8) and 38 followed by a gate metal 44 and 46. The gate dielectric may include a high-k oxide. The gate metal may include conductive materials, such as, e.g., doped polycrystalline or amorphous silicon, germanium, silicon germanium, a metal (e.g., tungsten, titanium, tantalum, ruthenium, zirconium, cobalt, copper, aluminum, lead, platinum, tin, silver, gold), a conducting metallic compound material (e.g., tantalum nitride, titanium nitride, tungsten silicide, tungsten nitride, ruthenium oxide, cobalt silicide, nickel silicide), carbon nanotube, conductive carbon, graphene, or any suitable combination of these materials. The conductive material may further comprise dopants that are incorporated during or after deposition.
  • The gate metal forms gates 46 in the CMOS region 20 and an electrode 44 in capacitor region 30. In this way, CMOS devices are formed in the CMOS region concurrently with the formation of a metal-insulator-metal (MIM) capacitor 50. The capacitor 50 includes a gate metal 44 as one electrode, contact metal 32 as a second electrode and dielectric layer 40 as the insulator therebetween.
  • It should be understood that the gate conductors 46 may have been formed in a gate first process. In such an embodiment, the gate dielectric (optional) and the gate metal (44) would only be formed in the capacitor region 30 as the gate metal (46) would have been provided previously in the CMOS region 20.
  • Since the first and second electrodes 32, 44 are formed by different processes at different times, the electrodes 32, 44 may include the same or different materials. This provides additional flexibility in the design of the capacitor(s) 50.
  • Referring to FIG. 10, a cross-sectional view of the MIM capacitor 50 is illustratively shown. In one embodiment, the gate metal that forms gates 46 in the CMOS region 20 is the same material concurrently formed as the electrode 44 in capacitor region 30. In addition, the contact metal that forms contacts 24 in the CMOS region 20 is the same material concurrently formed as the electrode 32 in capacitor region 30. Dielectric layer 40 is disposed between electrodes 32 and 44 and can be formed as the high-k oxide spacer and/or a gate dielectric 52 (e.g., high-k oxide) formed in the CMOS region 20. The dielectric material (40, 52) may or may not be present on the bottom of the trench.
  • It should be understood that the capacitance of the capacitor can be controlled or modified by controlling the size of the capacitor area, the number and size of the electrodes, the thickness and type of insulator material, etc. These features of the capacitor 50 can be controlled at the design stage or in-situ by altering the dimensions of the capacitor 50. For example, during the gate cut process the length of some of the longitudinal features can be cut. Other in-situ controls are also contemplated. In another example, the thickness of the insulator can be controlled by controlling one or both of the deposition processes for the dielectric layer 40 and/or gate dielectric 52.
  • Referring to FIG. 11, methods for forming an on-chip capacitor with complementary metal oxide semiconductor (CMOS) devices are illustratively shown. In some alternative implementations, the functions noted in the blocks may occur out of the order noted in the figures. For example, two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved. It will also be noted that each block of the block diagrams and/or flowchart illustration, and combinations of blocks in the block diagrams and/or flowchart illustration, can be implemented by special purpose hardware-based systems that perform the specified functions or acts or carry out combinations of special purpose hardware and computer instructions.
  • In block 102, a first capacitor electrode is formed between gate structures in a capacitor region while forming contacts to source and drain (S/D) regions in a CMOS region. The CMOS region can include fin field effect transistors, planar transistors, etc. The gate structures can include a dummy gate and spacers, wherein depositing the gate metal to form the gate conductors in the CMOS region includes removing the dummy gate and replacing the dummy gate with the gate metal in subsequent processing.
  • In one embodiment, the gate structures can be concurrently formed as dummy gates in the CMOS region and the capacitor region. The gate structures can include sidewall spacers, which are concurrently formed on the dummy gates in the CMOS region and the capacitor region.
  • In block 104, gate structures are cut in the CMOS region and the capacitor region by etching at least one trench across the gate structures and filling the at least one trench with a dielectric material. The gate structures in the CMOS region may be cut at longitudinal end portions and/or midspan. A cut of the gate structures in the capacitor region can include cutting an end portion of the gate structures although a midspan (or intermediate) cut may also be employed.
  • In block 106, the CMOS region can be masked to process the capacitor region (e.g., remove the gate structures and the dielectric material in the at least one trench in a next step).
  • In block 108, the gate structures and the dielectric material in the at least one trench in the capacitor region are removed to form a position for an insulator and a second electrode.
  • In block 110, the insulator is deposited in the position. This may include the formation of a spacer in the position (e.g., in the at least one trench). The insulator can include a high-k oxide or other dielectric materials and can be formed in a conformal deposition process followed by a RIE and/or a CMP. The insulator can include a dielectric spacer and a gate dielectric layer, wherein the gate dielectric layer is employed in the CMOS regions in the gate structures.
  • In block 112, gate metal is deposited to form gate conductors in the CMOS region and the second electrode in the capacitor region. In block 114, processing continues to complete the device.
  • Having described preferred embodiments for an on-chip MIM capacitor (which are intended to be illustrative and not limiting), it is noted that modifications and variations can be made by persons skilled in the art in light of the above teachings. It is therefore to be understood that changes may be made in the particular embodiments disclosed which are within the scope of the invention as outlined by the appended claims. Having thus described aspects of the invention, with the details and particularity required by the patent laws, what is claimed and desired protected by Letters Patent is set forth in the appended claims.

Claims (20)

What is claimed is:
1. A method for forming an on-chip capacitor with complementary metal oxide semiconductor (CMOS) devices, comprising:
forming a first capacitor electrode between gate structures in a capacitor region while forming contacts to source and drain (S/D) regions in a CMOS region;
cutting gate structures in the CMOS region and the capacitor region by etching at least one trench across the gate structures and forming a dielectric material in the at least one trench; and
forming an insulator and a second electrode in the at least one trench in the capacitor region.
2. The method as recited in claim 1, wherein the CMOS region includes fin field effect transistors.
3. The method as recited in claim 1, wherein the gate structures include a dummy gate and spacers, and wherein gate metal is deposited to form gate conductors in the CMOS region by removing the dummy gate and replacing the dummy gate with the gate metal.
4. The method as recited in claim 1, wherein cutting the gate structures in the CMOS region includes cutting the gate structures at longitudinal end portions and midspan.
5. The method as recited in claim 1, further comprising removing the gate structures and the dielectric material in the at least one trench in the capacitor region to form a position for the insulator and the second electrode.
6. The method as recited in claim 5, further comprising:
depositing the insulator in the position; and
depositing gate metal to form gate conductors in the CMOS region and the second electrode in the capacitor region.
7. The method as recited in claim 6, wherein depositing the insulator in the position includes depositing a dielectric spacer and a gate dielectric layer, wherein the gate dielectric layer is employed in the CMOS regions in the gate structures.
8. The method as recited in claim 1, further comprising masking the CMOS region to remove the gate structures and the dielectric material in the at least one trench.
9. The method as recited in claim 1, further comprising forming dummy gates for the gate structures in the CMOS region and the capacitor region, concurrently.
10. The method as recited in claim 9, further comprising forming sidewall spacers on the dummy gates for the gate structures in the CMOS region and the capacitor region, concurrently.
11. The method as recited in claim 1, wherein the on-chip capacitor includes a metal-insulator-metal (MIM) capacitor.
12. A method for forming an on-chip capacitor with complementary metal oxide semiconductor (CMOS) devices, comprising:
forming a first capacitor electrode between gate structures in a capacitor region while forming contacts to source and drain (S/D) regions in a CMOS region;
etching at least one trench across the gate structures; and
forming an insulator and a second electrode in the at least one trench in the capacitor region.
13. The method as recited in claim 12, wherein the CMOS region includes fin field effect transistors.
14. The method as recited in claim 12, wherein the gate structures include a dummy gate and spacers, and wherein a gate metal is deposited to form gate conductors in the CMOS region by removing the dummy gate and replacing the dummy gate with the gate metal.
15. The method as recited in claim 12, wherein etching at least one trench across the gate structures includes cutting the gate structures at longitudinal end portions and midspan.
16. The method as recited in claim 12, further comprising removing the gate structures in the at least one trench in the capacitor region to form a position for the insulator and the second electrode.
17. The method as recited in claim 16, further comprising:
depositing the insulator in the position; and
depositing gate metal to form gate conductors in the CMOS region and the second electrode in the capacitor region.
18. The method as recited in claim 17, wherein depositing the insulator in the position includes depositing a dielectric spacer and a gate dielectric layer, wherein the gate dielectric layer is employed in the CMOS regions in the gate structures.
19. The method as recited in claim 12, further comprising forming dummy gates for the gate structures in the CMOS region and the capacitor region, concurrently.
20. The method as recited in claim 12, further comprising forming sidewall spacers on the dummy gates for the gate structures in the CMOS region and the capacitor region, concurrently.
US15/498,714 2016-09-23 2017-04-27 On-chip MIM capacitor Expired - Fee Related US9947740B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/498,714 US9947740B1 (en) 2016-09-23 2017-04-27 On-chip MIM capacitor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/274,621 US9704856B1 (en) 2016-09-23 2016-09-23 On-chip MIM capacitor
US15/498,714 US9947740B1 (en) 2016-09-23 2017-04-27 On-chip MIM capacitor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/274,621 Continuation US9704856B1 (en) 2016-09-23 2016-09-23 On-chip MIM capacitor

Publications (2)

Publication Number Publication Date
US20180090560A1 true US20180090560A1 (en) 2018-03-29
US9947740B1 US9947740B1 (en) 2018-04-17

Family

ID=59257616

Family Applications (4)

Application Number Title Priority Date Filing Date
US15/274,621 Expired - Fee Related US9704856B1 (en) 2016-09-23 2016-09-23 On-chip MIM capacitor
US15/416,349 Expired - Fee Related US10068898B2 (en) 2016-09-23 2017-01-26 On-chip MIM capacitor
US15/498,714 Expired - Fee Related US9947740B1 (en) 2016-09-23 2017-04-27 On-chip MIM capacitor
US16/019,606 Active 2037-01-22 US10734473B2 (en) 2016-09-23 2018-06-27 On-chip MIM capacitor

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US15/274,621 Expired - Fee Related US9704856B1 (en) 2016-09-23 2016-09-23 On-chip MIM capacitor
US15/416,349 Expired - Fee Related US10068898B2 (en) 2016-09-23 2017-01-26 On-chip MIM capacitor

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/019,606 Active 2037-01-22 US10734473B2 (en) 2016-09-23 2018-06-27 On-chip MIM capacitor

Country Status (1)

Country Link
US (4) US9704856B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI746957B (en) * 2018-05-03 2021-11-21 美商格芯(美國)集成電路科技有限公司 Hybrid gate cut

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490458B2 (en) * 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
US10483375B1 (en) * 2018-07-17 2019-11-19 International Business Machines Coporation Fin cut etch process for vertical transistor devices
WO2022047644A1 (en) * 2020-09-02 2022-03-10 Yangtze Memory Technologies Co., Ltd. On-chip capacitor structures in semiconductor devices
US20220181252A1 (en) * 2020-12-03 2022-06-09 International Business Machines Corporation Decoupling capacitor inside gate cut trench
WO2022216727A1 (en) * 2021-04-05 2022-10-13 The Board of Trustees of the Leland Stanford Junior University Office of the General Counsel Ultrahigh aspect ratio nanoporous and nanotextured microstructures with exceptionally high surface area prepared using nanopore-mediated metal-assisted chemical etching
CN115528024A (en) * 2021-06-25 2022-12-27 瑞昱半导体股份有限公司 Compact capacitor structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040232461A1 (en) * 2003-05-23 2004-11-25 Taiwan Semiconductor Manufacturing Co. Single poly-si process for dram by deep n well (nw) plate
US20070004224A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Methods for forming dielectrics and metal electrodes
US20090101956A1 (en) * 2007-10-17 2009-04-23 International Business Machines Corporation Embedded trench capacitor having a high-k node dielectric and a metallic inner electrode

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6387775B1 (en) 2001-04-16 2002-05-14 Taiwan Semiconductor Manufacturing Company Fabrication of MIM capacitor in copper damascene process
US6461914B1 (en) 2001-08-29 2002-10-08 Motorola, Inc. Process for making a MIM capacitor
US6995412B2 (en) 2002-04-12 2006-02-07 International Business Machines Corporation Integrated circuit with capacitors having a fin structure
US6947275B1 (en) 2004-10-18 2005-09-20 International Business Machines Corporation Fin capacitor
US7851861B2 (en) 2007-01-22 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. MIM capacitor and metal gate transistor
US8513723B2 (en) 2010-01-19 2013-08-20 International Business Machines Corporation Method and structure for forming high performance MOS capacitor along with fully depleted semiconductor on insulator devices on the same chip
US8420476B2 (en) 2010-05-27 2013-04-16 International Business Machines Corporation Integrated circuit with finFETs and MIM fin capacitor
US8860107B2 (en) 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
JP2013062380A (en) * 2011-09-13 2013-04-04 Toshiba Corp Manufacturing method of semiconductor storage device
US8703553B2 (en) 2012-05-15 2014-04-22 International Business Machines Corporation MOS capacitors with a finFET process
US8841185B2 (en) 2012-08-13 2014-09-23 International Business Machines Corporation High density bulk fin capacitor
US8815661B1 (en) * 2013-02-15 2014-08-26 International Business Machines Corporation MIM capacitor in FinFET structure
WO2015030150A1 (en) * 2013-08-30 2015-03-05 Semiconductor Energy Laboratory Co., Ltd. Storage circuit and semiconductor device
US9337188B2 (en) * 2013-10-22 2016-05-10 Broadcom Corporation Metal-insulator-metal capacitor structure
US9245884B1 (en) 2014-12-12 2016-01-26 International Business Machines Corporation Structure for metal oxide semiconductor capacitor
US9601495B2 (en) * 2015-07-30 2017-03-21 Globalfoundries Inc. Three-dimensional semiconductor device with co-fabricated adjacent capacitor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040232461A1 (en) * 2003-05-23 2004-11-25 Taiwan Semiconductor Manufacturing Co. Single poly-si process for dram by deep n well (nw) plate
US20070004224A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Methods for forming dielectrics and metal electrodes
US20090101956A1 (en) * 2007-10-17 2009-04-23 International Business Machines Corporation Embedded trench capacitor having a high-k node dielectric and a metallic inner electrode

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI746957B (en) * 2018-05-03 2021-11-21 美商格芯(美國)集成電路科技有限公司 Hybrid gate cut

Also Published As

Publication number Publication date
US9947740B1 (en) 2018-04-17
US10068898B2 (en) 2018-09-04
US20180090486A1 (en) 2018-03-29
US20180323255A1 (en) 2018-11-08
US10734473B2 (en) 2020-08-04
US9704856B1 (en) 2017-07-11

Similar Documents

Publication Publication Date Title
US10804278B2 (en) High density programmable e-fuse co-integrated with vertical FETs
US10734473B2 (en) On-chip MIM capacitor
US10164092B2 (en) Tapered vertical FET having III-V channel
US20180269320A1 (en) Forming a combination of long channel devices and vertical transport fin field effect transistors on the same substrate
US9859301B1 (en) Methods for forming hybrid vertical transistors
US10395988B1 (en) Vertical FET transistor with reduced source/drain contact resistance
US10903338B2 (en) Vertical FET with shaped spacer to reduce parasitic capacitance
US9786758B1 (en) Vertical Schottky barrier FET
US10608100B2 (en) Unipolar spacer formation for finFETs
US11239360B2 (en) Vertical transport field effect transistor structure with self-aligned top junction through early top source/drain epitaxy
JP7304115B2 (en) Semiconductor device, semiconductor apparatus and method of forming semiconductor device
US10692776B2 (en) Formation of VTFET fin and vertical fin profile
US10170628B2 (en) Method for forming an extremely thin silicon-on-insulator (ETSOI) device having reduced parasitic capacitance and contact resistance due to wrap-around structure of source/drain regions

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHENG, KANGGUO;XU, PENG;REEL/FRAME:042161/0421

Effective date: 20160922

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: ELPIS TECHNOLOGIES INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:052644/0868

Effective date: 20200306

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20220417