US20170323938A1 - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
US20170323938A1
US20170323938A1 US15/148,090 US201615148090A US2017323938A1 US 20170323938 A1 US20170323938 A1 US 20170323938A1 US 201615148090 A US201615148090 A US 201615148090A US 2017323938 A1 US2017323938 A1 US 2017323938A1
Authority
US
United States
Prior art keywords
conductive type
well region
buried layer
semiconductor device
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/148,090
Other versions
US9831305B1 (en
Inventor
Chu-Feng CHEN
Wei-Chun CHOU
Chien-Wei Chiu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Vanguard International Semiconductor Corp
Original Assignee
Vanguard International Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vanguard International Semiconductor Corp filed Critical Vanguard International Semiconductor Corp
Priority to US15/148,090 priority Critical patent/US9831305B1/en
Assigned to VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION reassignment VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHIU, CHIEN-WEI, CHOU, WEI-CHUN, CHEN, Chu-feng
Publication of US20170323938A1 publication Critical patent/US20170323938A1/en
Application granted granted Critical
Publication of US9831305B1 publication Critical patent/US9831305B1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/063Reduced surface field [RESURF] pn-junction structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0619Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction
    • H01L29/0623Buried supplementary region, e.g. buried guard ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1087Substrate region of field-effect devices of field-effect transistors with insulated gate characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform

Definitions

  • the disclosure relates to semiconductor technology, and in particular to a semiconductor device and a method for manufacturing the same
  • Bipolar-CMOS-DMOS devices are widely used in integrated devices.
  • a Bipolar-CMOS-DMOS device integrates a bipolar transistor, a complementary metal-oxide-semiconductor (CMOS), and a double diffused metal-oxide-semiconductor transistor (DMOS) into one single chip.
  • CMOS complementary metal-oxide-semiconductor
  • DMOS double diffused metal-oxide-semiconductor transistor
  • Bipolar-CMOS-DMOS device has been developed that has a higher breakdown voltage.
  • the best-known methods for increasing the breakdown voltage are to increase the thickness of the epitaxial layer or replace the Si substrate with a semiconductor-on-insulator (SOI).
  • SOI semiconductor-on-insulator
  • the present disclosure provides a semiconductor device, including: a substrate; an epitaxial layer disposed over the substrate; a first conductive type first well region disposed in the substrate and the epitaxial layer; a second conductive type first buried layer and a second conductive type second buried layer disposed in the substrate and the epitaxial layer, wherein the second conductive type first buried layer and the second conductive type second buried layer are disposed at opposite sides of the first conductive type first well region.
  • the first conductive type is different from the second conductive type.
  • a first conductive type second well region disposed in the epitaxial layer is in direct contact with the first conductive type first well region.
  • a second conductive type third buried layer is disposed in the first conductive type first well region and/or the first conductive type second well region.
  • a second conductive type doped region is disposed in the first conductive type second well region.
  • a gate structure is disposed over the top surface of the epitaxial layer.
  • a drain contact plug is electrically connected to either the first conductive type second well region or the second conductive type doped region.
  • a source contact plug is electrically connected to the other of the first conductive type second well region or the second conductive type doped region.
  • the present disclosure also provides a method for manufacturing a semiconductor device.
  • the method includes providing a substrate; forming a first conductive type first well region in the substrate; forming a second conductive type first buried layer and a second conductive type second buried layer in the substrate, wherein the second conductive type first buried layer and the second conductive type second buried layer are disposed at opposite sides of the first conductive type first well region, wherein the first conductive type is different from the second conductive type; forming an epitaxial layer over the substrate, wherein the first conductive type first well region, the second conductive type first buried layer and the second conductive type second buried layer extend into the epitaxial layer; forming a second conductive type third buried layer in the substrate and/or the epitaxial layer; forming a first conductive type second well region in the epitaxial layer, wherein the first conductive type second well region is in direct contact with the first conductive type first well region, wherein the second conductive type third buried layer is disposed in the first conductive
  • FIG. 1A is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure
  • FIG. 1B is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure
  • FIG. 1C is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure
  • FIG. 1D is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure
  • FIG. 2A is a cross-sectional view of a semiconductor device in accordance with another embodiment of the present disclosure.
  • FIG. 2B is a cross-sectional view of a semiconductor device in accordance with another embodiment of the present disclosure.
  • FIG. 2C is a cross-sectional view of a semiconductor device in accordance with another embodiment of the present disclosure.
  • FIG. 3A is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure
  • FIG. 3B is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure.
  • FIG. 3C is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure.
  • first material layer disposed on/over a second material layer may indicate the direct contact of the first material layer and the second material layer, or it may indicate a non-contact state with one or more intermediate layers between the first material layer and the second material layer. In the above situation, the first material layer may not be in direct contact with the second material layer.
  • a layer overlying another layer may indicate that the layer is in direct contact with the other layer, or that the layer is not in direct contact with the other layer, there being one or more intermediate layers disposed between the layer and the other layer.
  • the terms “about” and “substantially” typically mean+/ ⁇ 20% of the stated value, more typically +/ ⁇ 10% of the stated value, more typically +/ ⁇ 5% of the stated value, more typically +/ ⁇ 3% of the stated value, more typically +/ ⁇ 2% of the stated value, more typically +/ ⁇ 1% of the stated value and even more typically +/ ⁇ 0.5% of the stated value.
  • the stated value of the present disclosure is an approximate value. When there is no specific description, the stated value includes the meaning of “about” or “substantially”.
  • first, second, third etc. may be used herein to describe various elements, components, regions, layers, portions and/or sections, these elements, components, regions, layers, portions and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, portion or section from another region, layer or section. Thus, a first element, component, region, layer, portion or section discussed below could be termed a second element, component, region, layer, portion or section without departing from the teachings of the present disclosure.
  • relative terms such as “lower,” “upper,” “horizontal,” “vertical,”, “above,” “below,” “up,” “down,” “top” and “bottom” as well as derivative thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) should be construed to refer to the orientation as then described or as shown in the drawing under discussion. These relative terms are for convenience of description and do not require that the apparatus be constructed or operated in a particular orientation.
  • Terms concerning attachments, coupling and the like, such as “connected” and “interconnected,” refer to a relationship wherein structures are secured or attached to one another either directly or indirectly through intervening structures, as well as both movable or rigid attachments or relationships, unless expressly described otherwise.
  • substrate is meant to include devices formed within a semiconductor wafer and the layers overlying the wafer. All semiconductor element needed may be already formed over the substrate. However, the substrate is represented with a flat surface in order to simplify the drawing.
  • substrate surface is meant to include the uppermost exposed layers on a semiconductor wafer, such as silicon surface, and insulating layer and/or metallurgy lines.
  • the embodiment of the present disclosure replaces a portion of the second conductive type buried layer of the known semiconductor device with a first conductive type well region to increase the breakdown voltage of the semiconductor device.
  • the semiconductor device may be used in the application with higher operation voltage.
  • the semiconductor device may be used in the application in which the operation voltage higher than 100V.
  • the embodiment of the present disclosure merely change the configuration of the doped regions without increasing the thickness of the epitaxial layer or replacing the Si substrate with the semiconductor-on-insulator (SOI), the breakdown voltage of the semiconductor device may be increased without greatly increasing the manufacturing cost.
  • SOI semiconductor-on-insulator
  • FIG. 1A is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure.
  • a substrate 102 is provided.
  • the substrate 102 may include, but is not limited to, semiconductor substrate such as a silicon substrate.
  • the substrate 102 may include an element semiconductor which may include germanium; a compound semiconductor which may include gallium nitride (GaN), silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; an alloy semiconductor which may include SiGe alloy, GaAsP alloy, AlInAs alloy, AlGaAs alloy, GaInAs alloy, GaInP alloy and/or GaInAsP alloy; or a combination thereof.
  • the substrate 102 may be a lightly doped substrate, for example, a substrate lightly doped with the second conductive type dopant.
  • the substrate 102 when the second conductive type is P-type, the substrate 102 may be a P-type substrate.
  • a first conductive type first well region 104 a second conductive type first buried layer 106 A and a second conductive type second buried layer 106 B are formed in the substrate 102 .
  • the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B are disposed at opposite sides of the first conductive type first well region 104 .
  • the first conductive type is different from the second conductive type.
  • the formation sequence or order of the first conductive type first well region 104 , the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B is not limited.
  • the first conductive type first well region 104 is formed first, and then the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B are formed.
  • the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B are formed first, then the first conductive type first well region 104 is formed.
  • the first conductive type first well region 104 may be formed by ion implantation.
  • the predetermined region for the first conductive type first well region 104 may be implanted with phosphorous ions or arsenic ions to form the first conductive type first well region 104 .
  • the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B may be formed by ion implantation.
  • the predetermined region for the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B may be implanted with boron ion, indium ion or boron difluoride ion (BF 2 + ) to form the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B.
  • boron ion, indium ion or boron difluoride ion BF 2 +
  • FIG. 1B is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure.
  • an epitaxial layer 108 is formed over the substrate 102 .
  • the epitaxial layer 108 may include, but is not limited to, Si, Ge, Si/Ge, III-V compound, or a combination thereof.
  • the epitaxial layer 108 may be formed by an epitaxial growth step, such as metalorganic chemical vapor deposition (MOCVD), metalorganic vapor phase epitaxy (MOVPE), plasma-enhanced chemical vapor deposition (PECVD), remote plasma-enhanced chemical vapor deposition (RP-CVD), molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HYPE), liquid phase epitaxy (LPE), chloride vapor phase epitaxy (Cl-VPE), or any other suitable method.
  • MOCVD metalorganic chemical vapor deposition
  • MOVPE metalorganic vapor phase epitaxy
  • PECVD plasma-enhanced chemical vapor deposition
  • RP-CVD remote plasma-enhanced chemical vapor deposition
  • MBE molecular beam epitaxy
  • HYPE hydride vapor phase epitaxy
  • LPE liquid phase epitaxy
  • Cl-VPE chloride vapor phase epitaxy
  • the epitaxial layer 108 may be an un-doped epitaxial layer.
  • the epitaxial layer 108 has a second conductive type.
  • the second conductive type is P-type
  • the epitaxial layer 108 is a P-type epitaxial layer.
  • the P-type epitaxial layer may be formed by adding borane (BH 3 ) or boron tribromide (BBr 3 ) into the reaction gas to perform in-situ doping when depositing the epitaxial layer 108 .
  • the un-doped epitaxial layer 108 may be deposited first, then the un-doped epitaxial layer 108 is ion-implanted by boron ion or indium ion.
  • the first conductive type dopant of the first conductive type first well region 104 and the second conductive type dopant of the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B may extend upward into the epitaxial layer 108 in the epitaxial growth step. Therefore, the first conductive type first well region 104 , the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B may extend into the epitaxial layer 108 . In other words, the first conductive type first well region 104 , the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B may be disposed in the substrate 102 and the epitaxial layer 108 in the same time.
  • a second conductive type third buried layer 106 C is formed in the substrate 102 and/or the epitaxial layer 108 .
  • the second conductive type third buried layer 106 C is formed in the epitaxial layer 108 .
  • the second conductive type third buried layer 106 C may be formed by ion implantation.
  • the predetermined region for the second conductive type third buried layer 106 C may be implanted with boron ion, indium ion or boron difluoride ion (BF 2 + ) to form the second conductive type third buried layer 106 C.
  • the second conductive type third buried layer 106 C shown in FIG. 1B is disposed only in the epitaxial layer 108
  • the second conductive type third buried layer 106 C may be disposed in the substrate 102 and the epitaxial layer 108 in the same time.
  • the second conductive type third buried layer 106 C may be disposed only in the substrate 102 .
  • the second conductive type third buried layer 106 C shown in FIG. 1B is formed after the epitaxial layer 108
  • the second conductive type third buried layer 106 C may be formed before the epitaxial layer 108 . This will be described in detail in the following description. Therefore, the inventive concept and scope are not limited to the exemplary embodiment shown in FIG. 1B .
  • FIG. 1C is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure.
  • a first conductive type second well region 110 a second conductive type first well region 112 A and a second conductive type second well region 112 B are formed in the epitaxial layer 108 .
  • the second conductive type first well region 112 A and the second conductive type second well region 112 B are disposed at opposite sides of the first conductive type second well region 110 .
  • the formation sequence or order of the first conductive type second well region 110 , the second conductive type first well region 112 A and the second conductive type second well region 112 B is not limited.
  • the first conductive type second well region 110 is formed first, and then the second conductive type first well region 112 A and the second conductive type second well region 112 B are formed.
  • the second conductive type first well region 112 A and the second conductive type second well region 112 B are formed first, then the first conductive type second well region 110 is formed.
  • the first conductive type second well region 110 may be formed by ion implantation.
  • the predetermined region for the first conductive type second well region 110 may be implanted with phosphorous ions or arsenic ions to form the first conductive type second well region 110 .
  • the second conductive type first well region 112 A and the second conductive type second well region 112 B may be formed by ion implantation.
  • the predetermined region for the second conductive type first well region 112 A and the second conductive type second well region 112 B may be implanted with boron ion, indium ion or boron difluoride ion (BF 2 + ) to form the second conductive type first well region 112 A and the second conductive type second well region 112 B.
  • boron ion, indium ion or boron difluoride ion BF 2 +
  • the first conductive type second well region 110 is in direct contact with the first conductive type first well region 104 .
  • the first conductive type second well region 110 is in direct contact with the top surface 108 S of the epitaxial layer 108 .
  • the second conductive type first well region 112 A is in direct contact with the second conductive type first buried layer 106 A
  • the second conductive type second well region 112 B is in direct contact with the second conductive type second buried layer 106 B.
  • the second conductive type first well region 112 A and the second conductive type second well region 112 B are also in direct contact with the top surface 108 S of epitaxial layer 108 .
  • the second conductive type third buried layer 106 C is disposed in the first conductive type first well region 104 and/or the first conductive type second well region 110 .
  • the second conductive type third buried layer 106 C is disposed in the first conductive type first well region 104 .
  • the second conductive type third buried layer 106 C shown in FIG. 1C is disposed only in the first conductive type first well region 104
  • the second conductive type third buried layer 106 C may be disposed in the first conductive type second well region 110 and the first conductive type first well region 104 in the same time.
  • the second conductive type third buried layer 106 C may be disposed only in the first conductive type second well region 110 . This will be described in detail in the following description. Therefore, the inventive concept and scope are not limited to the exemplary embodiment shown in FIG. 1C .
  • a second conductive type doped region 114 is formed in the first conductive type second well region 110 .
  • the second conductive type doped region 114 is in direct contact with the top surface 108 S of the epitaxial layer 108 .
  • the second conductive type doped region 114 may be formed by ion implantation.
  • the predetermined region for the second conductive type doped region 114 may be implanted with boron ion, indium ion or boron difluoride ion (BF 2 + ) to form the second conductive type doped region 114 .
  • the second conductive type doped region 114 does not physically contact the second conductive type first buried layer 106 A, the second conductive type second buried layer 106 B, the second conductive type first well region 112 A, the second conductive type second well region 112 E and the second conductive type third buried layer 106 C.
  • the second conductive type third buried layer 106 C does not physically contact the second conductive type first buried layer 106 A, the second conductive type second buried layer 106 B, the second conductive type first well region 112 A, the second conductive type second well region 112 B and the second conductive type doped region 114 .
  • FIG. 1D is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure.
  • a plurality of isolation structures 116 is formed in the epitaxial layer 108 .
  • the isolation structures 116 may be shallow trench isolations.
  • the shallow trench isolation 116 may be formed with the following steps. First, a trench is formed at the predetermined region for the shallow trench isolation 116 .
  • the trench may be formed by an etch process.
  • the etch process may include wet etch, dry etch, or a combination thereof.
  • the wet etch may include, but is not limited to, immersion etching, spray etching, or any other suitable etch process, or a combination thereof.
  • the dry etch may include, but is not limited to, capacitively coupled plasma etching, inductively-coupled plasma etching, helicon plasma etching, electron cyclotron resonance plasma etching or any other suitable dry etch process, or a combination thereof.
  • the dry etch process employs a process gas, which may include, but is not limited to, inert gas, fluorine-containing gas, chlorine-containing gas, bromine-containing gas, iodine-containing gas, a combination thereof or any other suitable gases.
  • the processing gas may include, but is not limited to, Ar, CF 4 , SF 6 , CH 2 F 2 , CHF 3 , C 2 F 6 , Cl 2 , CHCl 3 , CCl 4 , HBr, CHBr 3 , BF 3 , BCl 3 , a combination thereof or any other suitable gases.
  • an insulating material may be filled into the trench to form the shallow trench isolation 116 .
  • the insulating material may include, but are not limited to, silicon oxide, silicon nitride, silicon oxynitride formed by chemical vapor deposition (CVD), any other suitable insulating materials, or a combination thereof.
  • the chemical vapor deposition may include, but is not limited to, low pressure chemical vapor deposition (LPCVD), low temperature chemical vapor deposition (LTCVD), rapid thermal chemical vapor deposition (RTCVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), or any other suitable method.
  • a gate structure 118 is formed over the top surface 108 S of the epitaxial layer 108 .
  • the gate structure 118 includes a gate dielectric layer 118 A and a gate electrode 118 B disposed over the gate dielectric layer 118 A.
  • a dielectric material layer (not shown, for forming the gate dielectric layer 118 A) and a conductive material layer (not shown, for forming the gate electrode 118 B) thereon may be blanketly deposited over substrate 102 sequentially. Subsequently, the gate dielectric layer 118 A and the gate electrode 118 B are respectively formed from the dielectric material layer and the conductive material layer by patterning the above two layers through the photolithography and etching steps.
  • the material of the dielectric material layer may include, but is not limited to, silicon oxide, silicon nitride, silicon oxynitride, high-k material, any other suitable dielectric material, or a combination thereof.
  • the high-k material may include, but is not limited to, metal oxide, metal nitride, metal silicide, transition metal oxide, transition metal nitride, transition metal silicide, transition metal oxynitride, metal aluminate, zirconium silicate, zirconium aluminate.
  • the material of the high-k material may include, but is not limited to, LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 (STO), BaTiO 3 (BTO), BaZrO, HfO 2 , HfO 3 , HfZrO, HfLaO, HfSiO, HfSiON, LaSiO, AlSiO, HfTaO, HfTiO, HfTaTiO, HfAlON, (Ba,Sr)TiO 3 (BST), Al 2 O 3 , any other suitable high-k dielectric material, or a combination thereof.
  • the dielectric material layer may be formed by the previously described chemical vapor deposition or spin-on coating as described previously.
  • the material of the conductive material layer may include, but is not limited to, amorphous silicon, poly-silicon, one or more metal, metal nitride, conductive metal oxide, or a combination thereof.
  • the metal may include, but is not limited to, molybdenum, tungsten, titanium, tantalum, platinum, or hafnium.
  • the metal nitride may include, but is not limited to, molybdenum nitride, tungsten nitride, titanium nitride or tantalum nitride.
  • the conductive metal oxide may include, but is not limited to, ruthenium oxide or indium tin oxide.
  • the conductive material layer may be formed by the previously described chemical vapor deposition (CVD), sputtering, resistive thermal evaporation, electron beam evaporation, or any other suitable methods.
  • CVD chemical vapor deposition
  • the amorphous silicon conductive material layer or poly-silicon conductive material layer may be deposited and formed by low-pressure chemical vapor deposition at about 525° C. ⁇ 650° C.
  • the thickness of the amorphous silicon conductive material layer or poly-silicon conductive material layer may range from about 1000 ⁇ to 10000 ⁇ .
  • an interlayer dielectric layer 120 is formed.
  • the material of the interlayer dielectric layer 120 may include, but is not limited to, silicon oxide, silicon nitride, silicon oxynitride, boron phosphorus silicate glass (BPSG), phosphorus silicate glass (PSG), spin-on glass (SOG), dielectric material formed by high density plasma, or any other suitable dielectric material, or a combination thereof.
  • the interlayer dielectric layer 120 may be formed by the previously described chemical vapor deposition or spin-on coating and patterning steps.
  • a drain contact plug 122 D, a gate contact plug 122 G, a source contact plug 122 S and a bulk contact plug 122 B are formed in the interlayer dielectric layer 120 to form the semiconductor device 100 .
  • the drain contact plug 122 D is electrically connected to either the first conductive type second well region 110 or the second conductive type doped region 114 , and the source contact plug 122 S is electrically connected to the other of the first conductive type second well region 110 or the second conductive type doped region 114 .
  • the drain contact plug 122 D is electrically connected to the first conductive type second well region 110
  • the source contact plug 122 S is electrically connected to the second conductive type doped region 114 .
  • the gate contact plug 122 G is electrically connected to gate electrode 118 B
  • the bulk contact plug 122 B is electrically connected to the second conductive type second well region 112 B.
  • the bulk contact plug 122 B is used to collect the redundant carriers in the device.
  • the bulk contact plug 122 B may be used to collect the redundant electron holes or electrons.
  • the second conductive type doped region 114 has an edge 114 S disposed between the drain contact plug 122 D and the source contact plug 122 S.
  • the gate structure 118 is disposed corresponding to the edge 114 S.
  • the gate structure 118 is disposed over the edge 114 S of the second conductive type doped region 114 , and the drain contact plug 122 D and the source contact plug 122 S are disposed at the opposite sides of the gate structure 118 and the gate contact plug 122 G, respectively.
  • the material of the drain contact plug 122 D, the gate contact plug 122 G, the source contact plug 122 S and the bulk contact plug 122 B may include, but is not limited to, a single layer or multiple layers of copper, aluminum, tungsten, gold, chromium, nickel, platinum, titanium, iridium, rhodium, an alloy thereof, a combination thereof, or any other metal material with good conductivity.
  • the material of the drain contact plug 122 D, the gate contact plug 122 G, the source contact plug 122 S and the bulk contact plug 122 B may include a nonmetal material as long as the material is conductive.
  • the drain contact plug 122 D, the gate contact plug 122 G, the source contact plug 122 S and the bulk contact plug 122 B may be formed by the previously described chemical vapor deposition (CVD), sputtering, resistive thermal evaporation, electron beam evaporation, or any other suitable methods.
  • CVD chemical vapor deposition
  • sputtering resistive thermal evaporation
  • electron beam evaporation or any other suitable methods.
  • the materials of the drain contact plug 122 D, the gate contact plug 122 G, the source contact plug 122 S and the bulk contact plug 122 B may be the same, and the drain contact plug 122 D, the gate contact plug 122 G, the source contact plug 122 S and the bulk contact plug 122 B may be formed by the same deposition steps.
  • the drain contact plug 122 D, the gate contact plug 122 G, the source contact plug 122 S and the bulk contact plug 122 B may be formed by different deposition steps, and the materials of the drain contact plug 122 D, the gate contact plug 122 G, the source contact plug 122 S and the bulk contact plug 122 B may be different from each other.
  • the semiconductor device 100 includes the substrate 102 and the epitaxial layer 108 disposed over the substrate 102 .
  • the semiconductor device 100 further includes the first conductive type first well region 104 disposed in the substrate 102 and the epitaxial layer 108 and the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B disposed in the substrate 102 and the epitaxial layer 108 .
  • the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B are disposed at opposite sides of the first conductive type first well region 104 .
  • the first conductive type is different from the second conductive type.
  • the semiconductor device 100 further includes the first conductive type second well region 110 disposed in the epitaxial layer 108 and being in direct contact with the first conductive type first well region 104 .
  • the semiconductor device 100 further includes the second conductive type third buried layer 106 C disposed in the first conductive type first well region 104 and/or the first conductive type second well region 110 .
  • the semiconductor device 100 further includes the second conductive type doped region 114 disposed in the first conductive type second well region 110 and the gate structure 118 disposed over the top surface 108 S of the epitaxial layer 108 .
  • the semiconductor device 100 further includes the drain contact plug 122 D electrically connected to either the first conductive type second well region 110 or the second conductive type doped region 114 .
  • the semiconductor device 100 further includes the source contact plug 122 S electrically connected to the other of the first conductive type second well region 110 or the second conductive type doped region 114 .
  • the semiconductor device 100 further includes the second conductive type first well region 112 A and second conductive type second well region 112 B disposed in the epitaxial layer 108 .
  • the second conductive type first well region 112 A and the second conductive type second well region 112 B are disposed at opposite sides of the first conductive type second well region 110 .
  • the semiconductor device 100 may include Bipolar-CMOS-DMOS device (BCD device).
  • BCD device Bipolar-CMOS-DMOS device
  • the embodiment of the present disclosure replaces a portion of the second conductive type buried layer of the known semiconductor device with the first conductive type first well region 104 to increase the breakdown voltage of the semiconductor device.
  • the region of the first conductive type first well region 104 shown in FIG. 1D is replaced by another second conductive type buried layer.
  • the dopant type and the dopant concentration of this second conductive type buried layer are the same as that of the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B.
  • this second conductive type buried layer, the second conductive type first buried layer 106 A and the second conductive type second buried layer 106 B are together referred as a second conductive type bottom buried layer.
  • the breakdown voltage of the semiconductor device is lower than 100V. Furthermore, in some embodiments of the present disclosure, the breakdown voltage of the semiconductor device is lower than 80V, 60V or 40V.
  • the breakdown voltage of the semiconductor device 100 may be increased further.
  • the breakdown voltage of the semiconductor device 100 may be greater than or equal to 120V, 140V, 150V, or even 160V. Since the semiconductor device 100 of the embodiments of the present disclosure has higher breakdown voltage, the semiconductor device 100 may be used in the application with higher operation voltage. For example, the semiconductor device 100 may be used in the application in which the operation voltage is greater than or equal to 100V or 120V.
  • the breakdown voltage of the semiconductor device 100 may be increased without greatly increasing the manufacturing cost.
  • the second conductive type third buried layer 106 C is disposed in the first conductive type first well region 104 and/or the first conductive type second well region 110 , and the second conductive type third buried layer 106 C is not electrically connected to other second conductive type doped region, the second conductive type third buried layer 106 C, the first conductive type first well region 104 and the first conductive type second well region 110 together form a reduced surface field structure (RESURF structure).
  • the reduced surface field structure may further increase the breakdown voltage of the semiconductor device 100 .
  • FIGS. 1A -ID is merely for the purpose of illustration.
  • the second conductive type third buried layer may have other configuration as shown in FIGS. 2A-2B . This will be described in detail in the following description. Therefore, the inventive concept and scope are not limited to the exemplary embodiment shown in FIGS. 1A-1D .
  • FIG. 2A is a cross-sectional view of a semiconductor device 200 A in accordance with another embodiment of the present disclosure. As shown in FIG. 2A , the second conductive type third buried layer 106 C is disposed in the first conductive type first well region 104 and the first conductive type second well region 110 .
  • FIG. 2B is a cross-sectional view of a semiconductor device 200 B in accordance with another embodiment of the present disclosure. As shown in FIG. 2B , the second conductive type third buried layer 106 C is disposed only in the first conductive type second well region 110 .
  • the second conductive type third buried layer 106 C shown in FIGS. 1A-1D is formed after the epitaxial layer 108
  • the second conductive type third buried layer 106 C may be formed before the epitaxial layer 108 . This will be described in detail in the following description. Therefore, the inventive concept and scope are not limited to the exemplary embodiment shown in FIGS. 1A-1D .
  • FIG. 2C is a cross-sectional view of a semiconductor device 200 C in accordance with another embodiment of the present disclosure.
  • the second conductive type third buried layer 106 C is formed in the substrate 102 before the epitaxial layer 108 is formed.
  • the second conductive type third buried layer 106 C is disposed only in the substrate 102 .
  • the second conductive type third buried layer 106 C is formed in the substrate 102 before the epitaxial layer 108 , and the second conductive type third buried layer 106 C may diffuse and extend into the epitaxial layer 108 in the step of forming the epitaxial layer 108 , as shown in FIG. 2A .
  • the exemplary embodiment set forth in FIGS. 1A-2C is merely for the purpose of illustration.
  • the second conductive type doped region may have other configuration as shown in FIGS. 3A-3C . This will be described in detail in the following description. Therefore, the inventive concept and scope are not limited to the exemplary embodiment shown in FIGS. 1A-2C .
  • FIG. 3A is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure.
  • the structure shown in FIG. 3A is formed by the similar steps shown in FIGS. 1A-1C , and the second conductive type doped region is not formed yet.
  • the second conductive type doped region 114 is formed in the first conductive type second well region 110 .
  • the second conductive type doped region 114 is in direct contact with the second conductive type third buried layer 106 C.
  • a first conductive type doped region 124 may be further formed in the first conductive type second well region 110 .
  • the first conductive type doped region 124 is in direct contact with the top surface 108 S of the epitaxial layer 108 , and does not physically contact the second conductive type doped region 114 , the second conductive type third buried layer 106 C, the second conductive type first buried layer 106 A, the second conductive type second buried layer 106 B, the second conductive type first well region 112 A and the second conductive type second well region 112 B.
  • the second conductive type third buried layer 106 C does not physically contact the second conductive type first buried layer 106 A, the second conductive type second buried layer 106 B, the second conductive type first well region 112 A, the second conductive type second well region 112 B and the first conductive type doped region 124 .
  • FIG. 3C is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure.
  • the interlayer dielectric layer 120 , the drain contact plug 122 D, the gate contact plug 122 G, the source contact plug 122 S and the bulk contact plug 122 B are formed by the similar step shown in FIG. 1D to form the semiconductor device 300 .
  • the drain contact plug 122 D is electrically connected to the second conductive type doped region 114 .
  • the source contact plug 122 S is electrically connected to the first conductive type doped region 124 , and is electrically connected to the first conductive type second well region 110 through the first conductive type doped region 124 .
  • the bulk contact plug 122 B is also electrically connected to the first conductive type doped region 124 , and is electrically connected to the first conductive type second well region 110 through the first conductive type doped region 124 .
  • the embodiment of the present disclosure replaces a portion of the second conductive type buried layer of the known semiconductor device with the first conductive type first well region to increase the breakdown voltage of the semiconductor device.
  • the breakdown voltage of the semiconductor device may be greater than 120V. Therefore, the semiconductor device may be used in the application with a higher operation voltage.
  • the semiconductor device may be used in the application in which the operation voltage is higher than or equal to 100V.
  • the embodiment of the present disclosure merely change the configuration of the doped regions without increasing the thickness of the epitaxial layer or replacing the Si substrate with the semiconductor-on-insulator (SOI), the breakdown voltage of the semiconductor device may be increased without greatly increasing the manufacturing cost.
  • a reduced surface field structure (RESURF structure) is formed in the semiconductor device. Therefore, the breakdown voltage of the semiconductor device may be increased further.
  • first conductive type being N-type
  • second conductive type being P-type
  • first conductive type may be P-type with the second conductive type being N-type
  • drain and source mentioned above in the present disclosure are switchable since the definition of the drain and source is related to the voltage connecting thereto.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A semiconductor device is provided. The semiconductor device includes a substrate; an epitaxial layer; a first conductive type first well region disposed in the substrate and the epitaxial layer; a second conductive type first buried layer and a second conductive type second buried layer disposed at opposite sides of the first conductive type first well region, respectively; a first conductive type second well region disposed in the epitaxial layer and being in direct contact with the first conductive type first well region; a second conductive type third buried layer disposed in the first conductive type first well region and/or the first conductive type second well region; a second conductive type doped region disposed in the first conductive type second well region; a gate structure; a drain contact plug; and a source contact plug.

Description

    BACKGROUND OF THE INVENTION Field of the Invention
  • The disclosure relates to semiconductor technology, and in particular to a semiconductor device and a method for manufacturing the same
  • Description of the Related Art
  • Due to the need for high-yield devices, two or more semiconductor devices may be integrated into one single chip. Bipolar-CMOS-DMOS devices (BCD devices) are widely used in integrated devices. A Bipolar-CMOS-DMOS device integrates a bipolar transistor, a complementary metal-oxide-semiconductor (CMOS), and a double diffused metal-oxide-semiconductor transistor (DMOS) into one single chip.
  • Recently, a Bipolar-CMOS-DMOS device has been developed that has a higher breakdown voltage. The best-known methods for increasing the breakdown voltage are to increase the thickness of the epitaxial layer or replace the Si substrate with a semiconductor-on-insulator (SOI). However, the aforementioned methods are not cost-effective.
  • Therefore, a cost-effective semiconductor device which may increase the breakdown voltage and a method for manufacturing the same are needed.
  • BRIEF SUMMARY OF THE INVENTION
  • The present disclosure provides a semiconductor device, including: a substrate; an epitaxial layer disposed over the substrate; a first conductive type first well region disposed in the substrate and the epitaxial layer; a second conductive type first buried layer and a second conductive type second buried layer disposed in the substrate and the epitaxial layer, wherein the second conductive type first buried layer and the second conductive type second buried layer are disposed at opposite sides of the first conductive type first well region. The first conductive type is different from the second conductive type. A first conductive type second well region disposed in the epitaxial layer is in direct contact with the first conductive type first well region. A second conductive type third buried layer is disposed in the first conductive type first well region and/or the first conductive type second well region. A second conductive type doped region is disposed in the first conductive type second well region. A gate structure is disposed over the top surface of the epitaxial layer. A drain contact plug is electrically connected to either the first conductive type second well region or the second conductive type doped region. A source contact plug is electrically connected to the other of the first conductive type second well region or the second conductive type doped region.
  • The present disclosure also provides a method for manufacturing a semiconductor device. The method includes providing a substrate; forming a first conductive type first well region in the substrate; forming a second conductive type first buried layer and a second conductive type second buried layer in the substrate, wherein the second conductive type first buried layer and the second conductive type second buried layer are disposed at opposite sides of the first conductive type first well region, wherein the first conductive type is different from the second conductive type; forming an epitaxial layer over the substrate, wherein the first conductive type first well region, the second conductive type first buried layer and the second conductive type second buried layer extend into the epitaxial layer; forming a second conductive type third buried layer in the substrate and/or the epitaxial layer; forming a first conductive type second well region in the epitaxial layer, wherein the first conductive type second well region is in direct contact with the first conductive type first well region, wherein the second conductive type third buried layer is disposed in the first conductive type first well region and/or the first conductive type second well region; forming a second conductive type doped region in the first conductive type second well region; forming a gate structure over the top surface of the epitaxial layer; forming a drain contact plug, wherein the drain contact plug is electrically connected to either the first conductive type second well region or the second conductive type doped region; and forming a source contact plug, wherein the source contact plug is electrically connected to the other of the first conductive type second well region or the second conductive type doped region.
  • A detailed description is given in the following embodiments with reference to the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The disclosure may be more fully understood by reading the subsequent detailed description and examples with references made to the accompanying drawings, wherein:
  • FIG. 1A is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure;
  • FIG. 1B is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure;
  • FIG. 1C is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure;
  • FIG. 1D is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure;
  • FIG. 2A is a cross-sectional view of a semiconductor device in accordance with another embodiment of the present disclosure;
  • FIG. 2B is a cross-sectional view of a semiconductor device in accordance with another embodiment of the present disclosure;
  • FIG. 2C is a cross-sectional view of a semiconductor device in accordance with another embodiment of the present disclosure;
  • FIG. 3A is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure;
  • FIG. 3B is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure; and
  • FIG. 3C is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The semiconductor device of the present disclosure and the method for manufacturing this semiconductor device are described in detail in the following description. In the following detailed description, for purposes of explanation, numerous specific details and embodiments are set forth in order to provide a thorough understanding of the present disclosure. The specific elements and configurations described in the following detailed description are set forth in order to clearly describe the present disclosure. It will be apparent, however, that the exemplary embodiments set forth herein are used merely for the purpose of illustration, and the inventive concept may be embodied in various forms without being limited to those exemplary embodiments. In addition, the drawings of different embodiments may use like and/or corresponding numerals to denote like and/or corresponding elements in order to clearly describe the present disclosure. However, the use of like and/or corresponding numerals in the drawings of different embodiments does not suggest any correlation between different embodiments. In addition, in this specification, expressions such as “first material layer disposed on/over a second material layer”, may indicate the direct contact of the first material layer and the second material layer, or it may indicate a non-contact state with one or more intermediate layers between the first material layer and the second material layer. In the above situation, the first material layer may not be in direct contact with the second material layer.
  • It should be noted that the elements or devices in the drawings of the present disclosure may be present in any form or configuration known to those skilled in the art. In addition, the expression “a layer overlying another layer”, “a layer is disposed above another layer”, “a layer is disposed on another layer” and “a layer is disposed over another layer” may indicate that the layer is in direct contact with the other layer, or that the layer is not in direct contact with the other layer, there being one or more intermediate layers disposed between the layer and the other layer.
  • In addition, in this specification, relative expressions are used. For example, “lower”, “bottom”, “higher” or “top” are used to describe the position of one element relative to another. It should be appreciated that if a device is flipped upside down, an element that is “lower” will become an element that is “higher”.
  • The terms “about” and “substantially” typically mean+/−20% of the stated value, more typically +/−10% of the stated value, more typically +/−5% of the stated value, more typically +/−3% of the stated value, more typically +/−2% of the stated value, more typically +/−1% of the stated value and even more typically +/−0.5% of the stated value. The stated value of the present disclosure is an approximate value. When there is no specific description, the stated value includes the meaning of “about” or “substantially”.
  • It should be understood that, although the terms first, second, third etc. may be used herein to describe various elements, components, regions, layers, portions and/or sections, these elements, components, regions, layers, portions and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, portion or section from another region, layer or section. Thus, a first element, component, region, layer, portion or section discussed below could be termed a second element, component, region, layer, portion or section without departing from the teachings of the present disclosure.
  • Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this disclosure belongs. It should be appreciated that, in each case, the term, which is defined in a commonly used dictionary, should be interpreted as having a meaning that conforms to the relative skills of the present disclosure and the background or the context of the present disclosure, and should not be interpreted in an idealized or overly formal manner unless so defined.
  • This description of the exemplary embodiments is intended to be read in connection with the accompanying drawings, which are to be considered part of the entire written description. The drawings are not drawn to scale. In addition, structures and devices are shown schematically in order to simplify the drawing.
  • In the description, relative terms such as “lower,” “upper,” “horizontal,” “vertical,”, “above,” “below,” “up,” “down,” “top” and “bottom” as well as derivative thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) should be construed to refer to the orientation as then described or as shown in the drawing under discussion. These relative terms are for convenience of description and do not require that the apparatus be constructed or operated in a particular orientation. Terms concerning attachments, coupling and the like, such as “connected” and “interconnected,” refer to a relationship wherein structures are secured or attached to one another either directly or indirectly through intervening structures, as well as both movable or rigid attachments or relationships, unless expressly described otherwise.
  • The term “substrate” is meant to include devices formed within a semiconductor wafer and the layers overlying the wafer. All semiconductor element needed may be already formed over the substrate. However, the substrate is represented with a flat surface in order to simplify the drawing. The term “substrate surface” is meant to include the uppermost exposed layers on a semiconductor wafer, such as silicon surface, and insulating layer and/or metallurgy lines.
  • The embodiment of the present disclosure replaces a portion of the second conductive type buried layer of the known semiconductor device with a first conductive type well region to increase the breakdown voltage of the semiconductor device. Thereby, the semiconductor device may be used in the application with higher operation voltage. For example, the semiconductor device may be used in the application in which the operation voltage higher than 100V.
  • In addition, since the embodiment of the present disclosure merely change the configuration of the doped regions without increasing the thickness of the epitaxial layer or replacing the Si substrate with the semiconductor-on-insulator (SOI), the breakdown voltage of the semiconductor device may be increased without greatly increasing the manufacturing cost.
  • FIG. 1A is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure. As shown in FIG. 1A, a substrate 102 is provided. The substrate 102 may include, but is not limited to, semiconductor substrate such as a silicon substrate. In addition, the substrate 102 may include an element semiconductor which may include germanium; a compound semiconductor which may include gallium nitride (GaN), silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; an alloy semiconductor which may include SiGe alloy, GaAsP alloy, AlInAs alloy, AlGaAs alloy, GaInAs alloy, GaInP alloy and/or GaInAsP alloy; or a combination thereof. In some embodiments of the present disclosure, the substrate 102 may be a lightly doped substrate, for example, a substrate lightly doped with the second conductive type dopant. In some embodiments of the present disclosure, when the second conductive type is P-type, the substrate 102 may be a P-type substrate.
  • Subsequently, a first conductive type first well region 104, a second conductive type first buried layer 106A and a second conductive type second buried layer 106B are formed in the substrate 102. The second conductive type first buried layer 106A and the second conductive type second buried layer 106B are disposed at opposite sides of the first conductive type first well region 104. The first conductive type is different from the second conductive type.
  • The formation sequence or order of the first conductive type first well region 104, the second conductive type first buried layer 106A and the second conductive type second buried layer 106B is not limited. In some embodiments of the present disclosure, the first conductive type first well region 104 is formed first, and then the second conductive type first buried layer 106A and the second conductive type second buried layer 106B are formed. However, in some other embodiments of the present disclosure, the second conductive type first buried layer 106A and the second conductive type second buried layer 106B are formed first, then the first conductive type first well region 104 is formed.
  • In some embodiments of the present disclosure, the first conductive type first well region 104 may be formed by ion implantation. For example, when the first conductive type is N-type, the predetermined region for the first conductive type first well region 104 may be implanted with phosphorous ions or arsenic ions to form the first conductive type first well region 104.
  • In some embodiments of the present disclosure, the second conductive type first buried layer 106A and the second conductive type second buried layer 106B may be formed by ion implantation. For example, when the second conductive type is P-type, the predetermined region for the second conductive type first buried layer 106A and the second conductive type second buried layer 106B may be implanted with boron ion, indium ion or boron difluoride ion (BF2 +) to form the second conductive type first buried layer 106A and the second conductive type second buried layer 106B.
  • Subsequently, FIG. 1B is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure. As shown in FIG. 1B, an epitaxial layer 108 is formed over the substrate 102. The epitaxial layer 108 may include, but is not limited to, Si, Ge, Si/Ge, III-V compound, or a combination thereof. The epitaxial layer 108 may be formed by an epitaxial growth step, such as metalorganic chemical vapor deposition (MOCVD), metalorganic vapor phase epitaxy (MOVPE), plasma-enhanced chemical vapor deposition (PECVD), remote plasma-enhanced chemical vapor deposition (RP-CVD), molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HYPE), liquid phase epitaxy (LPE), chloride vapor phase epitaxy (Cl-VPE), or any other suitable method.
  • In some embodiments of the present disclosure, the epitaxial layer 108 may be an un-doped epitaxial layer. However, in some other embodiments of the present disclosure, the epitaxial layer 108 has a second conductive type. For example, when the second conductive type is P-type, the epitaxial layer 108 is a P-type epitaxial layer. The P-type epitaxial layer may be formed by adding borane (BH3) or boron tribromide (BBr3) into the reaction gas to perform in-situ doping when depositing the epitaxial layer 108. Alternatively, the un-doped epitaxial layer 108 may be deposited first, then the un-doped epitaxial layer 108 is ion-implanted by boron ion or indium ion.
  • In addition, since the epitaxial growth step is performed under high temperature, for example, under 1180° C., the first conductive type dopant of the first conductive type first well region 104 and the second conductive type dopant of the second conductive type first buried layer 106A and the second conductive type second buried layer 106B may extend upward into the epitaxial layer 108 in the epitaxial growth step. Therefore, the first conductive type first well region 104, the second conductive type first buried layer 106A and the second conductive type second buried layer 106B may extend into the epitaxial layer 108. In other words, the first conductive type first well region 104, the second conductive type first buried layer 106A and the second conductive type second buried layer 106B may be disposed in the substrate 102 and the epitaxial layer 108 in the same time.
  • Still referring to FIG. 1B, a second conductive type third buried layer 106C is formed in the substrate 102 and/or the epitaxial layer 108. For example, in some embodiments of the present disclosure, as shown in FIG. 1B, the second conductive type third buried layer 106C is formed in the epitaxial layer 108.
  • In some embodiments of the present disclosure, the second conductive type third buried layer 106C may be formed by ion implantation. For example, when the second conductive type is P-type, the predetermined region for the second conductive type third buried layer 106C may be implanted with boron ion, indium ion or boron difluoride ion (BF2 +) to form the second conductive type third buried layer 106C.
  • It should be noted that, although the second conductive type third buried layer 106C shown in FIG. 1B is disposed only in the epitaxial layer 108, the second conductive type third buried layer 106C may be disposed in the substrate 102 and the epitaxial layer 108 in the same time. Alternatively, the second conductive type third buried layer 106C may be disposed only in the substrate 102. In addition, although the second conductive type third buried layer 106C shown in FIG. 1B is formed after the epitaxial layer 108, the second conductive type third buried layer 106C may be formed before the epitaxial layer 108. This will be described in detail in the following description. Therefore, the inventive concept and scope are not limited to the exemplary embodiment shown in FIG. 1B.
  • Subsequently, FIG. 1C is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure. As shown in Subsequently, FIG. 1C, a first conductive type second well region 110, a second conductive type first well region 112A and a second conductive type second well region 112B are formed in the epitaxial layer 108. The second conductive type first well region 112A and the second conductive type second well region 112B are disposed at opposite sides of the first conductive type second well region 110.
  • The formation sequence or order of the first conductive type second well region 110, the second conductive type first well region 112A and the second conductive type second well region 112B is not limited. In some embodiments of the present disclosure, the first conductive type second well region 110 is formed first, and then the second conductive type first well region 112A and the second conductive type second well region 112B are formed. However, in some other embodiments of the present disclosure, the second conductive type first well region 112A and the second conductive type second well region 112B are formed first, then the first conductive type second well region 110 is formed.
  • In some embodiments of the present disclosure, the first conductive type second well region 110 may be formed by ion implantation. For example, when the first conductive type is N-type, the predetermined region for the first conductive type second well region 110 may be implanted with phosphorous ions or arsenic ions to form the first conductive type second well region 110.
  • In some embodiments of the present disclosure, the second conductive type first well region 112A and the second conductive type second well region 112B may be formed by ion implantation. For example, when the second conductive type is P-type, the predetermined region for the second conductive type first well region 112A and the second conductive type second well region 112B may be implanted with boron ion, indium ion or boron difluoride ion (BF2 +) to form the second conductive type first well region 112A and the second conductive type second well region 112B.
  • In addition, still referring to FIG. 1C, in some embodiments of the present disclosure, the first conductive type second well region 110 is in direct contact with the first conductive type first well region 104. In addition, the first conductive type second well region 110 is in direct contact with the top surface 108S of the epitaxial layer 108.
  • In addition, in some embodiments of the present disclosure, the second conductive type first well region 112A is in direct contact with the second conductive type first buried layer 106A, and the second conductive type second well region 112B is in direct contact with the second conductive type second buried layer 106B. In addition, the second conductive type first well region 112A and the second conductive type second well region 112B are also in direct contact with the top surface 108S of epitaxial layer 108.
  • In addition, the second conductive type third buried layer 106C is disposed in the first conductive type first well region 104 and/or the first conductive type second well region 110. For example, in some embodiments of the present disclosure, as shown in FIG. 1C, the second conductive type third buried layer 106C is disposed in the first conductive type first well region 104.
  • However, it should be noted that, although the second conductive type third buried layer 106C shown in FIG. 1C is disposed only in the first conductive type first well region 104, the second conductive type third buried layer 106C may be disposed in the first conductive type second well region 110 and the first conductive type first well region 104 in the same time. Alternatively, the second conductive type third buried layer 106C may be disposed only in the first conductive type second well region 110. This will be described in detail in the following description. Therefore, the inventive concept and scope are not limited to the exemplary embodiment shown in FIG. 1C.
  • Still referring to FIG. 1C, a second conductive type doped region 114 is formed in the first conductive type second well region 110. In some embodiments of the present disclosure, the second conductive type doped region 114 is in direct contact with the top surface 108S of the epitaxial layer 108. In some embodiments of the present disclosure, the second conductive type doped region 114 may be formed by ion implantation. For example, when the second conductive type is P-type, the predetermined region for the second conductive type doped region 114 may be implanted with boron ion, indium ion or boron difluoride ion (BF2 +) to form the second conductive type doped region 114.
  • In addition, in some embodiments of the present disclosure, the second conductive type doped region 114 does not physically contact the second conductive type first buried layer 106A, the second conductive type second buried layer 106B, the second conductive type first well region 112A, the second conductive type second well region 112E and the second conductive type third buried layer 106C. In addition, in some embodiments of the present disclosure, the second conductive type third buried layer 106C does not physically contact the second conductive type first buried layer 106A, the second conductive type second buried layer 106B, the second conductive type first well region 112A, the second conductive type second well region 112B and the second conductive type doped region 114.
  • Subsequently, FIG. 1D is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure. As shown in FIG. 1D, a plurality of isolation structures 116 is formed in the epitaxial layer 108. In some embodiments of the present disclosure, the isolation structures 116 may be shallow trench isolations.
  • In some embodiments of the present disclosure, the shallow trench isolation 116 may be formed with the following steps. First, a trench is formed at the predetermined region for the shallow trench isolation 116. In some embodiments of the present disclosure, the trench may be formed by an etch process. The etch process may include wet etch, dry etch, or a combination thereof. The wet etch may include, but is not limited to, immersion etching, spray etching, or any other suitable etch process, or a combination thereof. The dry etch may include, but is not limited to, capacitively coupled plasma etching, inductively-coupled plasma etching, helicon plasma etching, electron cyclotron resonance plasma etching or any other suitable dry etch process, or a combination thereof. The dry etch process employs a process gas, which may include, but is not limited to, inert gas, fluorine-containing gas, chlorine-containing gas, bromine-containing gas, iodine-containing gas, a combination thereof or any other suitable gases. In some embodiments of the present disclosure, the processing gas may include, but is not limited to, Ar, CF4, SF6, CH2F2, CHF3, C2F6, Cl2, CHCl3, CCl4, HBr, CHBr3, BF3, BCl3, a combination thereof or any other suitable gases.
  • Subsequently, an insulating material may be filled into the trench to form the shallow trench isolation 116. The insulating material may include, but are not limited to, silicon oxide, silicon nitride, silicon oxynitride formed by chemical vapor deposition (CVD), any other suitable insulating materials, or a combination thereof. The chemical vapor deposition may include, but is not limited to, low pressure chemical vapor deposition (LPCVD), low temperature chemical vapor deposition (LTCVD), rapid thermal chemical vapor deposition (RTCVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), or any other suitable method.
  • Still referring to FIG. 1D, a gate structure 118 is formed over the top surface 108S of the epitaxial layer 108. The gate structure 118 includes a gate dielectric layer 118A and a gate electrode 118B disposed over the gate dielectric layer 118A.
  • In some embodiments of the present disclosure, a dielectric material layer (not shown, for forming the gate dielectric layer 118A) and a conductive material layer (not shown, for forming the gate electrode 118B) thereon may be blanketly deposited over substrate 102 sequentially. Subsequently, the gate dielectric layer 118A and the gate electrode 118B are respectively formed from the dielectric material layer and the conductive material layer by patterning the above two layers through the photolithography and etching steps.
  • The material of the dielectric material layer (i.e. The material of the gate dielectric layer 118A) may include, but is not limited to, silicon oxide, silicon nitride, silicon oxynitride, high-k material, any other suitable dielectric material, or a combination thereof. The high-k material may include, but is not limited to, metal oxide, metal nitride, metal silicide, transition metal oxide, transition metal nitride, transition metal silicide, transition metal oxynitride, metal aluminate, zirconium silicate, zirconium aluminate. For example, the material of the high-k material may include, but is not limited to, LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3(STO), BaTiO3(BTO), BaZrO, HfO2, HfO3, HfZrO, HfLaO, HfSiO, HfSiON, LaSiO, AlSiO, HfTaO, HfTiO, HfTaTiO, HfAlON, (Ba,Sr)TiO3(BST), Al2O3, any other suitable high-k dielectric material, or a combination thereof. The dielectric material layer may be formed by the previously described chemical vapor deposition or spin-on coating as described previously.
  • The material of the conductive material layer (i.e. The material of the gate electrode 118B) may include, but is not limited to, amorphous silicon, poly-silicon, one or more metal, metal nitride, conductive metal oxide, or a combination thereof. The metal may include, but is not limited to, molybdenum, tungsten, titanium, tantalum, platinum, or hafnium. The metal nitride may include, but is not limited to, molybdenum nitride, tungsten nitride, titanium nitride or tantalum nitride. The conductive metal oxide may include, but is not limited to, ruthenium oxide or indium tin oxide. The conductive material layer may be formed by the previously described chemical vapor deposition (CVD), sputtering, resistive thermal evaporation, electron beam evaporation, or any other suitable methods. For example, in one embodiment, the amorphous silicon conductive material layer or poly-silicon conductive material layer may be deposited and formed by low-pressure chemical vapor deposition at about 525° C.˜650° C. The thickness of the amorphous silicon conductive material layer or poly-silicon conductive material layer may range from about 1000 Å to 10000 Å.
  • Subsequently, still referring to FIG. 1D, an interlayer dielectric layer 120 is formed. The material of the interlayer dielectric layer 120 may include, but is not limited to, silicon oxide, silicon nitride, silicon oxynitride, boron phosphorus silicate glass (BPSG), phosphorus silicate glass (PSG), spin-on glass (SOG), dielectric material formed by high density plasma, or any other suitable dielectric material, or a combination thereof. The interlayer dielectric layer 120 may be formed by the previously described chemical vapor deposition or spin-on coating and patterning steps.
  • Subsequently, a drain contact plug 122D, a gate contact plug 122G, a source contact plug 122S and a bulk contact plug 122B are formed in the interlayer dielectric layer 120 to form the semiconductor device 100.
  • The drain contact plug 122D is electrically connected to either the first conductive type second well region 110 or the second conductive type doped region 114, and the source contact plug 122S is electrically connected to the other of the first conductive type second well region 110 or the second conductive type doped region 114. For example, in some embodiments of the present disclosure, as shown in FIG. 1D, the drain contact plug 122D is electrically connected to the first conductive type second well region 110, and the source contact plug 122S is electrically connected to the second conductive type doped region 114.
  • In addition, the gate contact plug 122G is electrically connected to gate electrode 118B, and the bulk contact plug 122B is electrically connected to the second conductive type second well region 112B. The bulk contact plug 122B is used to collect the redundant carriers in the device. For example, the bulk contact plug 122B may be used to collect the redundant electron holes or electrons.
  • In addition, the second conductive type doped region 114 has an edge 114S disposed between the drain contact plug 122D and the source contact plug 122S. The gate structure 118 is disposed corresponding to the edge 114S. In other words, the gate structure 118 is disposed over the edge 114S of the second conductive type doped region 114, and the drain contact plug 122D and the source contact plug 122S are disposed at the opposite sides of the gate structure 118 and the gate contact plug 122G, respectively.
  • In some embodiments of the present disclosure, the material of the drain contact plug 122D, the gate contact plug 122G, the source contact plug 122S and the bulk contact plug 122B may include, but is not limited to, a single layer or multiple layers of copper, aluminum, tungsten, gold, chromium, nickel, platinum, titanium, iridium, rhodium, an alloy thereof, a combination thereof, or any other metal material with good conductivity. In some other embodiments of the present disclosure, the material of the drain contact plug 122D, the gate contact plug 122G, the source contact plug 122S and the bulk contact plug 122B may include a nonmetal material as long as the material is conductive. The drain contact plug 122D, the gate contact plug 122G, the source contact plug 122S and the bulk contact plug 122B may be formed by the previously described chemical vapor deposition (CVD), sputtering, resistive thermal evaporation, electron beam evaporation, or any other suitable methods.
  • In some embodiments, the materials of the drain contact plug 122D, the gate contact plug 122G, the source contact plug 122S and the bulk contact plug 122B may be the same, and the drain contact plug 122D, the gate contact plug 122G, the source contact plug 122S and the bulk contact plug 122B may be formed by the same deposition steps. However, in other embodiments, the drain contact plug 122D, the gate contact plug 122G, the source contact plug 122S and the bulk contact plug 122B may be formed by different deposition steps, and the materials of the drain contact plug 122D, the gate contact plug 122G, the source contact plug 122S and the bulk contact plug 122B may be different from each other.
  • Still referring to FIG. 1D, the semiconductor device 100 includes the substrate 102 and the epitaxial layer 108 disposed over the substrate 102. The semiconductor device 100 further includes the first conductive type first well region 104 disposed in the substrate 102 and the epitaxial layer 108 and the second conductive type first buried layer 106A and the second conductive type second buried layer 106B disposed in the substrate 102 and the epitaxial layer 108. The second conductive type first buried layer 106A and the second conductive type second buried layer 106B are disposed at opposite sides of the first conductive type first well region 104. The first conductive type is different from the second conductive type. The semiconductor device 100 further includes the first conductive type second well region 110 disposed in the epitaxial layer 108 and being in direct contact with the first conductive type first well region 104. The semiconductor device 100 further includes the second conductive type third buried layer 106C disposed in the first conductive type first well region 104 and/or the first conductive type second well region 110. The semiconductor device 100 further includes the second conductive type doped region 114 disposed in the first conductive type second well region 110 and the gate structure 118 disposed over the top surface 108S of the epitaxial layer 108. The semiconductor device 100 further includes the drain contact plug 122D electrically connected to either the first conductive type second well region 110 or the second conductive type doped region 114. The semiconductor device 100 further includes the source contact plug 122S electrically connected to the other of the first conductive type second well region 110 or the second conductive type doped region 114.
  • In addition, in some embodiments of the present disclosure, the semiconductor device 100 further includes the second conductive type first well region 112A and second conductive type second well region 112B disposed in the epitaxial layer 108. The second conductive type first well region 112A and the second conductive type second well region 112B are disposed at opposite sides of the first conductive type second well region 110.
  • In addition, in some embodiments of the present disclosure, the semiconductor device 100 may include Bipolar-CMOS-DMOS device (BCD device).
  • The embodiment of the present disclosure replaces a portion of the second conductive type buried layer of the known semiconductor device with the first conductive type first well region 104 to increase the breakdown voltage of the semiconductor device. In particular, in some other embodiments of the present disclosure, the region of the first conductive type first well region 104 shown in FIG. 1D is replaced by another second conductive type buried layer. The dopant type and the dopant concentration of this second conductive type buried layer are the same as that of the second conductive type first buried layer 106A and the second conductive type second buried layer 106B. Therefore, this second conductive type buried layer, the second conductive type first buried layer 106A and the second conductive type second buried layer 106B are together referred as a second conductive type bottom buried layer. In this embodiment, the breakdown voltage of the semiconductor device is lower than 100V. Furthermore, in some embodiments of the present disclosure, the breakdown voltage of the semiconductor device is lower than 80V, 60V or 40V.
  • In comparison, in the semiconductor device 100 shown in FIG. 1D of the present disclosure, a portion of the second conductive type bottom buried layer mentioned above is replaced by the first conductive type first well region 104 shown in FIG. 1D. since the first conductive type dopant in the first conductive type first well region 104 may reduce the concentration of the second conductive type dopant in the substrate 102, the breakdown voltage of the semiconductor device 100 may be increased further. For example, in some embodiments of the present disclosure, the breakdown voltage of the semiconductor device 100 may be greater than or equal to 120V, 140V, 150V, or even 160V. Since the semiconductor device 100 of the embodiments of the present disclosure has higher breakdown voltage, the semiconductor device 100 may be used in the application with higher operation voltage. For example, the semiconductor device 100 may be used in the application in which the operation voltage is greater than or equal to 100V or 120V.
  • In addition, since the embodiment of the present disclosure merely change the configuration of the doped regions without increasing the thickness of the epitaxial layer or replacing the Si substrate with the semiconductor-on-insulator (SOI), the breakdown voltage of the semiconductor device 100 may be increased without greatly increasing the manufacturing cost.
  • In addition, as shown in FIG. 1D, since the second conductive type third buried layer 106C is disposed in the first conductive type first well region 104 and/or the first conductive type second well region 110, and the second conductive type third buried layer 106C is not electrically connected to other second conductive type doped region, the second conductive type third buried layer 106C, the first conductive type first well region 104 and the first conductive type second well region 110 together form a reduced surface field structure (RESURF structure). The reduced surface field structure may further increase the breakdown voltage of the semiconductor device 100.
  • It should be noted that the exemplary embodiment set forth in FIGS. 1A-ID is merely for the purpose of illustration. In addition to the embodiment set forth in FIGS. 1A-1D, the second conductive type third buried layer may have other configuration as shown in FIGS. 2A-2B. This will be described in detail in the following description. Therefore, the inventive concept and scope are not limited to the exemplary embodiment shown in FIGS. 1A-1D.
  • FIG. 2A is a cross-sectional view of a semiconductor device 200A in accordance with another embodiment of the present disclosure. As shown in FIG. 2A, the second conductive type third buried layer 106C is disposed in the first conductive type first well region 104 and the first conductive type second well region 110.
  • FIG. 2B is a cross-sectional view of a semiconductor device 200B in accordance with another embodiment of the present disclosure. As shown in FIG. 2B, the second conductive type third buried layer 106C is disposed only in the first conductive type second well region 110.
  • In addition, although the second conductive type third buried layer 106C shown in FIGS. 1A-1D is formed after the epitaxial layer 108, the second conductive type third buried layer 106C may be formed before the epitaxial layer 108. This will be described in detail in the following description. Therefore, the inventive concept and scope are not limited to the exemplary embodiment shown in FIGS. 1A-1D.
  • FIG. 2C is a cross-sectional view of a semiconductor device 200C in accordance with another embodiment of the present disclosure. As shown in FIG. 2C, the second conductive type third buried layer 106C is formed in the substrate 102 before the epitaxial layer 108 is formed. In addition, the second conductive type third buried layer 106C is disposed only in the substrate 102.
  • Alternatively, in some other embodiments of the present disclosure, the second conductive type third buried layer 106C is formed in the substrate 102 before the epitaxial layer 108, and the second conductive type third buried layer 106C may diffuse and extend into the epitaxial layer 108 in the step of forming the epitaxial layer 108, as shown in FIG. 2A.
  • It should be noted that the exemplary embodiment set forth in FIGS. 1A-2C is merely for the purpose of illustration. In addition to the embodiment set forth in FIGS. 1A-2C, the second conductive type doped region may have other configuration as shown in FIGS. 3A-3C. This will be described in detail in the following description. Therefore, the inventive concept and scope are not limited to the exemplary embodiment shown in FIGS. 1A-2C.
  • FIG. 3A is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure. The structure shown in FIG. 3A is formed by the similar steps shown in FIGS. 1A-1C, and the second conductive type doped region is not formed yet.
  • Subsequently, referring to FIG. 3B, the second conductive type doped region 114 is formed in the first conductive type second well region 110. The second conductive type doped region 114 is in direct contact with the second conductive type third buried layer 106C.
  • In addition, as shown in FIG. 3B, a first conductive type doped region 124 may be further formed in the first conductive type second well region 110. In some embodiments of the present disclosure, the first conductive type doped region 124 is in direct contact with the top surface 108S of the epitaxial layer 108, and does not physically contact the second conductive type doped region 114, the second conductive type third buried layer 106C, the second conductive type first buried layer 106A, the second conductive type second buried layer 106B, the second conductive type first well region 112A and the second conductive type second well region 112B.
  • In addition, in some embodiments of the present disclosure, the second conductive type third buried layer 106C does not physically contact the second conductive type first buried layer 106A, the second conductive type second buried layer 106B, the second conductive type first well region 112A, the second conductive type second well region 112B and the first conductive type doped region 124.
  • Subsequently, FIG. 3C is a cross-sectional view of a semiconductor device in one step of a manufacturing method of the semiconductor device according with some embodiments of the present disclosure. As shown in FIG. 3C, the interlayer dielectric layer 120, the drain contact plug 122D, the gate contact plug 122G, the source contact plug 122S and the bulk contact plug 122B are formed by the similar step shown in FIG. 1D to form the semiconductor device 300.
  • The drain contact plug 122D is electrically connected to the second conductive type doped region 114. The source contact plug 122S is electrically connected to the first conductive type doped region 124, and is electrically connected to the first conductive type second well region 110 through the first conductive type doped region 124. In addition, in some embodiments of the present disclosure, the bulk contact plug 122B is also electrically connected to the first conductive type doped region 124, and is electrically connected to the first conductive type second well region 110 through the first conductive type doped region 124.
  • In summary, the embodiment of the present disclosure replaces a portion of the second conductive type buried layer of the known semiconductor device with the first conductive type first well region to increase the breakdown voltage of the semiconductor device. For example, the breakdown voltage of the semiconductor device may be greater than 120V. Therefore, the semiconductor device may be used in the application with a higher operation voltage. For example, the semiconductor device may be used in the application in which the operation voltage is higher than or equal to 100V. In addition, since the embodiment of the present disclosure merely change the configuration of the doped regions without increasing the thickness of the epitaxial layer or replacing the Si substrate with the semiconductor-on-insulator (SOI), the breakdown voltage of the semiconductor device may be increased without greatly increasing the manufacturing cost. In addition, in some embodiments of the present disclosure, a reduced surface field structure (RESURF structure) is formed in the semiconductor device. Therefore, the breakdown voltage of the semiconductor device may be increased further.
  • It should be noted that although the above description merely illustrates embodiments with the first conductive type being N-type and the second conductive type being P-type, those skilled in the art will appreciate that the first conductive type may be P-type with the second conductive type being N-type.
  • In addition, it should be noted that the drain and source mentioned above in the present disclosure are switchable since the definition of the drain and source is related to the voltage connecting thereto.
  • Note that the above element sizes, element parameters, and element shapes are not limitations of the present disclosure. Those skilled in the art can adjust these settings or values according to different requirements. It should be understood that the semiconductor device and method for manufacturing the same of the present disclosure are not limited to the configurations of FIGS. 1A to 3C. The present disclosure may merely include any one or more features of any one or more embodiments of FIGS. 1A to 3C. In other words, not all of the features shown in the figures should be implemented in the semiconductor device and method for manufacturing the same of the present disclosure.
  • Although some embodiments of the present disclosure and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims. For example, it will be readily understood by those skilled in the art that many of the features, functions, processes, and materials described herein may be varied while remaining within the scope of the present disclosure. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (11)

1. A semiconductor device, comprising:
a substrate;
a first conductive type first well region;
a second conductive type epitaxial layer disposed over the substrate, the first conductive type first well region being disposed in the substrate and the second conductive type epitaxial layer;
a second conductive type first buried layer and a second conductive type second buried layer disposed in the substrate and the second conductive type epitaxial layer, wherein the second conductive type first buried layer and the second conductive type second buried layer are disposed at opposite sides of the first conductive type first well region, respectively, wherein the first conductive type is different from the second conductive type;
a first conductive type second well region disposed in the second conductive type epitaxial layer and being in direct contact with the first conductive type first well region;
a second conductive type third buried layer disposed in the first conductive type first well region and/or the first conductive type second well region;
a second conductive type doped region disposed in the first conductive type second well region;
a gate structure disposed over a top surface of the second conductive type epitaxial layer;
a drain contact plug electrically connected to either the first conductive type second well region or the second conductive type doped region; and
a source contact plug electrically connected to the other of the first conductive type second well region or the second conductive type doped region.
2. The semiconductor device as claimed in claim 1, further comprising
a second conductive type first well region and a second conductive type second well region disposed in the second conductive type epitaxial layer, wherein the second conductive type first well region and the second conductive type second well region are disposed at opposite sides of the first conductive type second well region, respectively.
3. The semiconductor device as claimed in claim 2, wherein
the second conductive type first well region is in direct contact with the second conductive type first buried layer, and
the second conductive type second well region is in direct contact with the second conductive type second buried layer.
4. The semiconductor device as claimed in claim 2, wherein the second conductive type third buried layer does not contact the second conductive type first buried layer, the second conductive type second buried layer, the second conductive type first well region, the second conductive type second well region and the second conductive type doped region.
5. The semiconductor device as claimed in claim 2, wherein the second conductive type third buried layer contacts the second conductive type doped region, and the second conductive type third buried layer does not contact the second conductive type first buried layer, the second conductive type second buried layer, the second conductive type first well region and the second conductive type second well region.
6. The semiconductor device as claimed in claim 1, further comprising:
a first conductive type doped region disposed in the first conductive type second well region, wherein the source contact plug is electrically connected to the first conductive type second well region through the first conductive type doped region, and the drain contact plug is electrically connected to the second conductive type doped region.
7. The semiconductor device as claimed in claim 1, wherein the second conductive type third buried layer is disposed only in the first conductive type first well region.
8. The semiconductor device as claimed in claim 1, wherein the second conductive type third buried layer is disposed in the first conductive type first well region and the first conductive type second well region.
9. The semiconductor device as claimed in claim 1, wherein the second conductive type third buried layer is disposed only in the first conductive type second well region.
10. The semiconductor device as claimed in claim 1, wherein a breakdown voltage of the semiconductor device is greater than or equal to 120V.
11-20. (canceled)
US15/148,090 2016-05-06 2016-05-06 Semiconductor device and method for manufacturing the same Active US9831305B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/148,090 US9831305B1 (en) 2016-05-06 2016-05-06 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/148,090 US9831305B1 (en) 2016-05-06 2016-05-06 Semiconductor device and method for manufacturing the same

Publications (2)

Publication Number Publication Date
US20170323938A1 true US20170323938A1 (en) 2017-11-09
US9831305B1 US9831305B1 (en) 2017-11-28

Family

ID=60243664

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/148,090 Active US9831305B1 (en) 2016-05-06 2016-05-06 Semiconductor device and method for manufacturing the same

Country Status (1)

Country Link
US (1) US9831305B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11038051B2 (en) * 2019-02-08 2021-06-15 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
CN113745311A (en) * 2020-05-27 2021-12-03 新唐科技股份有限公司 High-voltage semiconductor device with buried layer structure

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5605851A (en) * 1994-03-31 1997-02-25 Sgs-Thomson Microelectronics S.R.L. Method of forming semiconductor device with a buried junction
US5610421A (en) * 1993-12-15 1997-03-11 Sgs-Thomson Microelectronics S.R.L. Integrated circuit with EPROM cells
US6359318B1 (en) * 1998-09-03 2002-03-19 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with DMOS and bi-polar transistors
US6593629B2 (en) * 2000-12-28 2003-07-15 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US6927442B2 (en) * 2001-12-28 2005-08-09 Sanyo Electric Co., Ltd. Charge pump device
US20060076612A1 (en) * 2004-09-30 2006-04-13 Seiji Otake Semiconductor device and manufacturing method of the same
US7547950B2 (en) * 2006-06-29 2009-06-16 Sanyo Electric Co., Ltd. Semiconductor device and method of manufacturing the same
US20110062547A1 (en) * 2009-09-15 2011-03-17 Renesas Electronics Corporation Semiconductor device and method for manufacturing the same
US20120037984A1 (en) * 2010-08-12 2012-02-16 Ipgoal Microelectronics (Sichuan) Co., Ltd. LDMOS Structure
US20120146139A1 (en) * 2010-12-08 2012-06-14 Macronix International Co., Ltd. High voltage semiconductor device
US20130069154A1 (en) * 2011-09-20 2013-03-21 Alpha And Omega Semiconductor Incorporated Semiconductor chip integrating high and low voltage devices
US8546877B2 (en) * 2006-09-29 2013-10-01 Semiconductor Components Industries, Llc Semiconductor device
US20130285113A1 (en) * 2012-04-27 2013-10-31 Texas Instruments Incorporated Bidirectional electrostatic discharge (esd) protection device
US20150243770A1 (en) * 2014-02-21 2015-08-27 Magnachip Semiconductor, Ltd. Vertical bipolar junction transistor and manufacturing method thereof
US20160240660A1 (en) * 2015-02-15 2016-08-18 Shanghai Huahong Grace Semiconductor Manufacturing Corporation High Voltage LDMOS Device with an Increased Voltage at Source (High Side) and a Fabricating Method Thereof

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI443830B (en) 2010-05-26 2014-07-01 Macronix Int Co Ltd An ldpmos structure for enhancing breakdown voltage and specific on resistance in bicmos-dmos process
US8749016B2 (en) 2010-10-06 2014-06-10 Macronix International Co., Ltd. High voltage MOS device and method for making the same
US20130071994A1 (en) 2011-09-20 2013-03-21 Alpha And Omega Semiconductor Incorporated Method of integrating high voltage devices
US9214457B2 (en) 2011-09-20 2015-12-15 Alpha & Omega Semiconductor Incorporated Method of integrating high voltage devices
TWI455275B (en) 2012-03-16 2014-10-01 Vanguard Int Semiconduct Corp Electrostatic discharge (esd) protection device
US8889461B2 (en) 2012-05-29 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. CIS image sensors with epitaxy layers and methods for forming the same

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5610421A (en) * 1993-12-15 1997-03-11 Sgs-Thomson Microelectronics S.R.L. Integrated circuit with EPROM cells
US5605851A (en) * 1994-03-31 1997-02-25 Sgs-Thomson Microelectronics S.R.L. Method of forming semiconductor device with a buried junction
US6359318B1 (en) * 1998-09-03 2002-03-19 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with DMOS and bi-polar transistors
US6593629B2 (en) * 2000-12-28 2003-07-15 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US6927442B2 (en) * 2001-12-28 2005-08-09 Sanyo Electric Co., Ltd. Charge pump device
US20060076612A1 (en) * 2004-09-30 2006-04-13 Seiji Otake Semiconductor device and manufacturing method of the same
US7547950B2 (en) * 2006-06-29 2009-06-16 Sanyo Electric Co., Ltd. Semiconductor device and method of manufacturing the same
US8546877B2 (en) * 2006-09-29 2013-10-01 Semiconductor Components Industries, Llc Semiconductor device
US20110062547A1 (en) * 2009-09-15 2011-03-17 Renesas Electronics Corporation Semiconductor device and method for manufacturing the same
US20120037984A1 (en) * 2010-08-12 2012-02-16 Ipgoal Microelectronics (Sichuan) Co., Ltd. LDMOS Structure
US20120146139A1 (en) * 2010-12-08 2012-06-14 Macronix International Co., Ltd. High voltage semiconductor device
US20130069154A1 (en) * 2011-09-20 2013-03-21 Alpha And Omega Semiconductor Incorporated Semiconductor chip integrating high and low voltage devices
US20130285113A1 (en) * 2012-04-27 2013-10-31 Texas Instruments Incorporated Bidirectional electrostatic discharge (esd) protection device
US20150243770A1 (en) * 2014-02-21 2015-08-27 Magnachip Semiconductor, Ltd. Vertical bipolar junction transistor and manufacturing method thereof
US20160240660A1 (en) * 2015-02-15 2016-08-18 Shanghai Huahong Grace Semiconductor Manufacturing Corporation High Voltage LDMOS Device with an Increased Voltage at Source (High Side) and a Fabricating Method Thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11038051B2 (en) * 2019-02-08 2021-06-15 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
CN113745311A (en) * 2020-05-27 2021-12-03 新唐科技股份有限公司 High-voltage semiconductor device with buried layer structure

Also Published As

Publication number Publication date
US9831305B1 (en) 2017-11-28

Similar Documents

Publication Publication Date Title
US20210296471A1 (en) Vertical Tunneling Field-Effect Transistor Cell and Fabricating the Same
US8883594B2 (en) Vertical tunneling field-effect transistor cell and fabricating the same
US10629726B2 (en) High-voltage semiconductor device and method for manufacturing the same
US10879396B2 (en) Semiconductor device with source/drain structures
CN106328536B (en) Semiconductor devices and its manufacturing method
US10784340B2 (en) Semiconductor device having a super-junction in the drift region with decreasing doped sub-regions widths
US9978864B2 (en) Semiconductor device and method for manufacturing the same
US9343572B1 (en) High-voltage semiconductor device and method for manufacturing the same
US9831305B1 (en) Semiconductor device and method for manufacturing the same
CN105789280A (en) High-voltage semiconductor device and manufacturing method thereof
US9773681B2 (en) Semiconductor device with a trench and method for manufacturing the same
CN107180828B (en) Semiconductor device and its manufacturing method
EP3255680A1 (en) Semiconductor device and method for manufacturing the same
TW201719893A (en) Semiconductor device and method of manufacturing the same
TWI575707B (en) Semiconductor device and method of manufacturing the same
CN105529362A (en) High-voltage semiconductor device and manufacturing method thereof
TWI570918B (en) Semiconductor device and method of manufacturing the same
TWI570931B (en) High voltage semiconductor device and method of manufacturing the same
TWI618128B (en) Semiconductor devices and methods for manufacturing the same
US10157981B1 (en) Structure and formation method of semiconductor device structure with well regions
TWI544639B (en) High voltage semiconductor device and method of manufacturing the same
US9666711B1 (en) Semiconductor device and method for manufacturing the same
TWI587507B (en) Semiconductor device and method of manufacturing the same
US20140191311A1 (en) Semiconductor structure and method for manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, CHU-FENG;CHOU, WEI-CHUN;CHIU, CHIEN-WEI;SIGNING DATES FROM 20160330 TO 20160426;REEL/FRAME:038516/0382

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4