US20170148675A1 - Structure and process for w contacts - Google Patents

Structure and process for w contacts Download PDF

Info

Publication number
US20170148675A1
US20170148675A1 US15/134,959 US201615134959A US2017148675A1 US 20170148675 A1 US20170148675 A1 US 20170148675A1 US 201615134959 A US201615134959 A US 201615134959A US 2017148675 A1 US2017148675 A1 US 2017148675A1
Authority
US
United States
Prior art keywords
metal
nitrogen
liner layer
layer
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/134,959
Other versions
US9659817B1 (en
Inventor
Daniel C. Edelstein
Baozhen Li
Chih-Chao Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Elpis Technologies Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US15/134,959 priority Critical patent/US9659817B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EDELSTEIN, DANIEL C., LI, BAOZHEN, YANG, CHIH-CHAO
Application granted granted Critical
Publication of US9659817B1 publication Critical patent/US9659817B1/en
Publication of US20170148675A1 publication Critical patent/US20170148675A1/en
Assigned to ELPIS TECHNOLOGIES INC. reassignment ELPIS TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention generally relates to semiconductor integrated circuits, and more particularly, to the structure and formation of liner structures that create insulation and diffusion barriers of a tungsten metal contact.
  • An integrated circuit generally includes a semiconductor substrate in which a number of device regions are formed by diffusion or ion implantation of suitable dopants. This substrate usually involves a passivating and an insulating layer required to form different device regions. The total thickness of these layers is usually less than one micron. Openings through these layers (called vias or contact holes) allow electrical contact to be made selectively to the underlying device regions. A conducting material is used to fill these holes, which then make contact to semiconductor devices.
  • the present invention is generally directed to methods for forming an integrated circuit and contact structures for an integrated circuit.
  • a method for forming an integrated circuit comprises providing a patterned substrate comprising a contact hole in a dielectric layer, wherein the contact hole includes sidewalls formed of the dielectric layer and a bottom surface defined by a source or drain region or a metal gate; conformally depositing a single metal liner layer onto the patterned substrate; generating nitrogen ions from a nitrogen containing gas selected from the group consisting of nitrogen (N 2 ) and ammonia (NH 3 ); exposing the metal liner layer to form a nitrogen enriched metal liner layer; and depositing a tungsten metal into the contact hole.
  • a nitrogen containing gas selected from the group consisting of nitrogen (N 2 ) and ammonia (NH 3 )
  • method for forming an integrated circuit comprises providing a patterned substrate comprising a contact hole in a dielectric layer, wherein the contact hole includes sidewalls formed of the dielectric layer and a bottom surface defined by a source/drain region or a metal gate; generating nitrogen ions from a nitrogen containing gas selected from the group consisting of nitrogen (N 2 ) and ammonia (NH 3 ); exposing the substrate including the dielectric layer to form a nitrogen enriched dielectric layer at about a surface of the dielectric layer; conformally depositing a single metal liner layer onto the patterned substrate and forming a metal nitride at an interface between the single metal liner layer and the nitrogen enriched dielectric layer and into at least a portion of the metal liner layer; and depositing a tungsten metal into the contact hole.
  • a contact structure for an integrated circuit device comprises a patterned dielectric material comprising at least one contact hole, the contact hole including a bottom surface, and sidewalls extending from the bottom surface to a top surface, wherein the bottom surface is defined by a source/drain region or a metal gate; a self-formed metal nitride liner layer on the sidewalls and the bottom surface of the at least one contact hole; and a tungsten plug disposed within the at least one contact hole.
  • the contact structure for an integrated circuit device comprises a patterned dielectric material comprising at least one contact hole, the contact hole including a bottom surface, and sidewalls extending from the bottom surface to a top surface, wherein the bottom surface is defined by a source/drain region or a metal gate, and wherein the dielectric material is enriched at least at the sidewalls of the contact hole; a metal liner layer disposed on the sidewalls and the bottom surface of the at least one contact hole, wherein contact of the metal liner layer with the enriched dielectric material forms a metal nitride gradient in the metal liner layer; and a tungsten plug disposed within the at least one contact hole.
  • FIG. 1A depicts a schematic cross-sectional view illustrating a contact hole formed in an interlevel dielectric layer according to an embodiment of the present invention
  • FIG. 1B depicts a schematic cross-sectional view illustrating the structure of FIG. 1A after formation of a titanium metal liner layer
  • FIG. 1C depicts a schematic cross-sectional view illustrating the structure of FIG. 1B after deposition of nitrogen ions onto the titanium metal liner layer;
  • FIG. 1D depicts a schematic cross-sectional view illustrating the structure of FIG. 1C subsequent to formation of a tungsten plug within the contact hole;
  • FIG. 2A depicts a schematic cross-sectional view illustrating a contact hole formed in an interlevel dielectric layer according to an embodiment of the present invention
  • FIG. 2B depicts a schematic cross-sectional view illustrating the structure of FIG. 2A after deposition of nitrogen ions onto the dielectric layer to form a nitrogen enriched dielectric layer;
  • FIG. 2C depicts a enlarged cross-sectional view illustrating the structure of FIG. 2B after deposition of a titanium metal line layer on all of the exposed surfaces including the bottom surface of the contact hole;
  • FIG. 2D depicts a schematic cross-sectional view illustrating the structure of FIG. 2B after deposition of a titanium metal line layer on all of the exposed surfaces including the bottom surface of the contact hole;
  • FIG. 2E depicts a schematic cross-sectional view illustrating the structure of FIG. 2B after deposition of a titanium metal line layer on all of the exposed surfaces with the exception of the bottom surface of the contact hole.
  • a via may be formed by first masking an insulating layer, e.g., a dielectric layer, with photoresist and then selectively etching a portion of the insulating layer.
  • the via is etched through an opening formed in the photoresist using well known photolithographic techniques, to form an opening to the underlying conductive layer.
  • isotropic or anisotropic etching processes may be used to form a hole in the dielectric.
  • a conductive layer in the via.
  • Conductive material is deposited in the via to form the electrical interconnect between the conducting layers.
  • a liner layer is usually desirable between the insulating and conductive layers.
  • a liner layer on the sidewalls of the via is desirable because structural delamination and conductor metal diffusion can occur unless there is a layer of protection, a liner layer, between the conductive layer and the etched insulating layer.
  • the liner layer should line the entire side wall and will generally cover the bottom of the via as well.
  • the liner and conductive layers may be deposited by sputtering, CVD, electroless deposition and electrodeposition.
  • Rf bias sputtering in general, is known in the art and involves the reemission of material during the sputter deposition thereof through the effects of attendant ion bombardment of the layer being deposited.
  • Rf biased sputtering is the positive ion bombardment of a substrate or film during its deposition. Therefore, during Rf bias sputtering, there is always simultaneous etching and deposition of the material being deposited.
  • Previously deposited layers are not etched as part of a standard Rf biased sputter deposition.
  • High quality contacts are essential to high device yield and reliability, but fabrication of these high quality contacts poses several technical challenges.
  • the contacts are designed to have a high ratio of the height to the diameter, known as the aspect ratio.
  • High aspect ratio is a consequence of several constraints in the design of the IC.
  • the contacts it is desirable to achieve a high packing density of the contacts to enable high circuit density. This constrains the diameter of the contacts to be as small as possible.
  • the dielectric separating the semiconductor devices from the first metal level must be thick enough to protect transistors. The contacts often span the thickness of dielectric over a transistor and transistor gate over the substrate.
  • the dielectrics used for the insulating layers are typically comprised of silicon dioxide, a thermosetting polyarylene resin, an organosilicate glass such as a carbon-doped oxide (SiCOH), or any other type of hybrid related dielectric.
  • the liner can be a single layer or multiple layers and is not located on the bottom horizontal surface of the via.
  • the liner is comprised of a metal such as, for example, Ta, Ti, Ru, Ir, Co, and W, and/or a metal nitride such as TaN, TiN, and WN.
  • An optional adhesion layer can be used to enhance the bonding of the liner to the dielectric layer.
  • Current processes for depositing the liner generally include a two-step process, which includes a first step of depositing a metal followed by a second step of depositing a metal nitride layer.
  • the two step process for depositing two metal layers is inherently inefficient since it is a two-step process and affects throughput.
  • thickness control becomes an issue especially as device dimensions shrink. For example, for 32 nm node device fabrication, the thickness of each layer defining the liner layer is on the order of about 20 Angstroms ( ⁇ ) for a total thickness of about 40 ⁇ . Smaller thicknesses will be required for future device fabrication, which will be difficult given the relatively high deposition rates utilized to produce individual layer thicknesses at or less than 20 ⁇ .
  • the present invention provides a structure and process including a single metallization step for forming a metal liner layer suitable for contact formation.
  • the structures and processes generally include forming a nitrogen-enriched surface in a deposited metal liner layer or forming a nitrogen-enriched surface in the dielectric material prior to deposition of the metal liner layer.
  • nitridization of the metal occurs upon treatment of nitrogen ions into the metal liner layer and/or as a function of additional conventional processing in fabricating the integrated circuit such that the treated nitrogen ions diffuse into at least a portion of the metal liner layer.
  • only a single metal layer deposition step is needed to form the metal liner layer as opposed to the prior art's use of two metal deposition steps.
  • improved thickness control is realized since only one metal layer is deposited, which is especially advantageous as the art transitions to smaller device dimensions.
  • titanium metal and nitridization thereof so as to form a titanium nitride liner layer.
  • other metals are suitable including, but not limited to, tantalum (Ta), titanium (Ti), ruthenium (Ru), iridium (Ir) tungsten (W), cobalt (Co) mixtures thereof, and the like.
  • the metal liner layer serves as a barrier to prevent conductive material from diffusing through and can be formed by a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), sputtering, chemical solution deposition, or plating.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • sputtering chemical solution deposition, or plating.
  • the thickness of the metal liner layer may vary depending on the exact means of the deposition process as well as the material employed.
  • the process generally includes depositing a titanium metal liner layer at a thickness of less than 40 Angstroms followed by surface treatment of the titanium metal liner layer with a nitrogen containing gas to form a nitrogen enriched titanium metal surface.
  • Nitrogen enrichment of the titanium metal forms titanium nitride Ti(N).
  • the nitrogen-containing gas is selected from the group consisting of nitrogen (N 2 ) and ammonia (NH 3 ).
  • the nitrogen or ammonia dissociates to form nitrogen ions, which are then utilized to enrich a contact surface.
  • Suitable energy sources include but are not limited to thermal energy sources and plasma energy sources.
  • Plasma nitridization generally includes exposing the nitrogen-containing to a plasma effective to generate nitrogen ions.
  • the substrate including the titanium metal liner layer or the dielectric layer are then exposed to the nitrogen ions to form a nitrogen enrich surface that also penetrates the respective surface to form a nitrogen enriched gradient in the titanium metal liner layer.
  • Subsequent fabrication of the device facilitates additional nitrogen diffusion within the titanium metal liner layer or from the dielectric surface to the titanium metal liner layer to form a titanium nitride (TiN) liner layer.
  • the process temperature is between 80 to 400° C., and the bias is between 100 to 900 W.
  • Thermal nitridization provides a similar effect as plasma nitridization but generally includes exposing the substrate to a temperature effective to generate nitrogen ions from the nitrogen containing gas. Again, the nitrogen ions contact and penetrate the surface of the titanium metal liner layer or the dielectric layer so as to form a nitrogen enriched gradient in the titanium metal liner layer or dielectric layer. Subsequent conventional fabrication of the device facilitates further diffusion within the titanium metal liner layer or from the dielectric layer to the titanium metal liner layer so as to form a titanium nitride liner layer.
  • the process temperature is between 200 to 400° C.
  • the process generally includes first forming contact holes 14 in an interlevel dielectric layer (ILD) 12 deposited on a substrate 10 through conventional lithography and etching processes.
  • the lithographic step includes applying a photoresist to the surface of the dielectric layer, exposing the photoresist to a desired pattern of radiation, and developing the exposed resist utilizing a conventional resist developer to form a pattern.
  • the etching process may be a dry etching or wet etching process.
  • wet etching generally refers to application of a chemical solution. This is preferably a time controlled dip in the etch solution.
  • Preferred etch solutions include HNO 3 , HCL, H 2 SO 4 , HF or combinations thereof.
  • dry etching is used here to denote an etching technique such as reactive-ion-etching (RIE), ion beam etching, plasma etching or laser ablation.
  • RIE reactive-ion-etching
  • the pattern is first transferred to the dielectric layer.
  • the patterned photoresist is typically, but not necessarily, removed from the structure after the pattern has been transferred into the dielectric film.
  • the patterned feature formed into the dielectric material includes the contact holes.
  • the dielectric layer 12 may comprise any dielectric including inorganic dielectrics or organic dielectrics.
  • the dielectric material 12 may be porous or non-porous.
  • suitable dielectrics include, but are not limited to: SiO 2 , silsesquioxanes, carbon doped oxides (i.e., organosilicates) that include atoms of Si, C, O and H, thermosetting polyarylene ethers, or multilayers thereof.
  • polyarylene is used to denote aryl moieties or inertly substituted aryl moieties which are linked together by bonds, fused rings, or inert linking groups such as, for example, oxygen, sulfur, sulfone, sulfoxide, carbonyl and the like.
  • the ILD may be deposited by PECVD procedures as is generally known in the art. These patterned features correspond to the subsequent interconnect vias (i.e., metal plugs between levels) and can be aligned with underlying source and/or drain regions or over a metal gate structure defined by the particular substrate 10 .
  • a titanium metal liner layer 16 is conformally deposited onto the substrate including the exposed dielectric surfaces defining the contact hole and the underlying exposed source/drain or metal gate regions.
  • the titanium metal liner layer may be deposited through conventional deposition processes such as, for example, a plasma vapor deposition process such as R.F. sputtering.
  • the thickness of the deposited titanium metal liner layer is between 10 ⁇ and 40 ⁇ .
  • the titanium metal liner layer is used to provide adhesion between subsequent overlying structures, such as a tungsten plug structure, and ILD layer 12 , as well as supplying the needed titanium, for subsequent formation of a titanium silicide layer, if desired.
  • the patterned substrate with the titanium metal liner layer 16 is exposed to nitrogen ions generated from the nitrogen containing gas 18 to form a nitrogen enriched titanium metal liner layer 20 .
  • generation of the nitrogen ions can be plasma or thermally generated, wherein the nitrogen ions penetrate into at least a portion of the titanium metal liner layer.
  • the nitrogen ions penetrate into the titanium metal liner layer 16 at a depth of about 75 percent of the thickness of the titanium metal liner layer; in other embodiments, the nitrogen ions penetrate into the titanium metal liner layer 16 at a depth of about 50 percent of the thickness of the titanium metal liner layer; and in still other embodiments, the nitrogen ions penetrate into the titanium metal liner layer 16 at a depth of about 25 percent of the thickness of the titanium metal liner layer.
  • the nitrogen enriched titanium metal liner layer forms titanium nitride (Ti(N)) 20 in the areas where the nitrogen ions have penetrated, which generally includes coating the sides of contact hole 14 and the source/drain regions or metal gate structure defined by the underlying substrate 10 , exposed at the bottom of the contact hole.
  • subsequent processing such as a rapid thermal anneal step to create a metal silicide layer or the like can further effect diffusion of the nitrogen ions within the titanium metal liner layer to form a substantially uniform titanium nitride layer.
  • a conductive metal such as tungsten is then deposited onto substrate including the contact hole to form the so-called tungsten plug 22 .
  • a conformal LPCVD procedure at a temperature between about 400 to 500° C. can be used to deposit the tungsten layer to a thickness between about 2000 ⁇ to 9000 ⁇ .
  • the reactants, as well as the by-products, of the tungsten deposition, performed using silane and tungsten hexafluoride, cannot attack underlying materials, which is protected by the titanium nitride layer.
  • a chemical mechanical polishing (CMP) procedure is next used to remove the regions of tungsten, and the regions of titanium nitride layer 20 residing on the top surface of ILD 12 .
  • CMP chemical mechanical polishing
  • the removal procedure can also be accomplished via a blanket reactive ion etch (RIE) procedure (without the use of photolithographic procedures) using a suitable etchant.
  • RIE reactive ion etch
  • a patterned dielectric layer is exposed to nitrogen ions generated from the nitrogen containing gas to form a nitrogen enriched dielectric layer.
  • a titanium metal liner layer is then deposited onto the nitrogen enriched dielectric layer, wherein the nitrogen ions diffuse into the titanium metal liner layer to form titanium nitride.
  • the process generally includes first forming contact holes 54 in an interlevel dielectric layer (ILD) 52 deposited on a substrate 50 through conventional lithography and etching processes.
  • the dielectric layer 54 may comprise any dielectric including inorganic dielectrics or organic dielectrics and may be porous or non-porous.
  • suitable dielectrics include, but are not limited to: SiO 2 , silsesquioxanes, carbon doped oxides (i.e., organosilicates) that include atoms of Si, C, O and H, thermosetting polyarylene ethers, or multilayers thereof.
  • contact holes 54 correspond to the subsequent interconnect vias (i.e., metal plugs between levels) and can be aligned with underlying source and/or drain regions or over a metal gate structure defined by the particular substrate 50 .
  • the dielectric layer 52 and the exposed source/drain regions or metal gate are exposed to nitrogen ions generated from the nitrogen containing gas 56 to form a nitrogen enriched dielectric layer 55 .
  • the nitrogen enriched dielectric 55 can serve to provide a protection layer to the underlying bulk dielectric material 52 so as to prevent dielectric damage and minimize surface roughness from additional processing.
  • generation of the nitrogen ions can be plasma or thermally generated, wherein the nitrogen ions penetrate into at least a portion of the dielectric layer 52 , i.e., on the order of a few Angstroms.
  • FIG. 2C provides and enlarged sectional view of the nitrogen enriched dielectric layer and the bulk dielectric. It should be apparent that the nitrogen enrichment may form a gradient.
  • a titanium metal liner layer 60 is then conformally deposited onto the patterned substrate including the exposed nitrogen enriched dielectric surfaces defining the contact and the source/drain or metal gate regions.
  • the titanium metal liner layer may be deposited through conventional deposition processes such as, for example, a plasma vapor deposition process such as Rf sputtering.
  • the thickness of the deposited titanium metal liner layer is between 10 ⁇ and 40 ⁇ .
  • a titanium nitride layer 62 forms at about an interface of the titanium metal liner layer 60 and the nitrogen enriched dielectric layer 55 .
  • a H 2 -contained chemical treatment is applied to selectively remove the nitrogen enriched layer from the bottom surface of the contact feature (S/D or MG surface), while keeping the nitrogen enriched layer at sidewalls of the contact feature.
  • a conductive metal such as tungsten is then deposited into the contact hole to form the so-called tungsten plug (not shown, but similar to that shown in FIG. 1D using a conventional deposition process including, but not limited to: CVD, PECVD, sputtering, chemical solution deposition or plating).
  • a conformal LPCVD procedure at a temperature between about 400 to 500° C. can be used to deposit the tungsten layer to a thickness between about 2000 ⁇ to 9000 ⁇ .
  • the reactants, as well as the by-products, of the tungsten deposition, performed using silane and tungsten hexafluoride, cannot attack underlying materials, now protected by titanium nitride layer.
  • tungsten is preferred, other suitable conductive materials include, for example, Cu, Al, and combinations thereof.
  • the conductive material is filled into the contact hole.
  • a chemical mechanical polishing (CMP) procedure is next used to remove the regions of tungsten, and the regions of titanium nitride layer residing on the top surface of ILD 12 such that the upper surface of the tungsten plug that is substantially coplanar with the upper surface of the dielectric material.
  • CMP chemical mechanical polishing
  • the removal procedure can also be accomplished via a blanket RIE procedure (without the use of photolithographic procedures) using a suitable etchant

Abstract

Structures and processes include a single metallization step for forming a metal nitride liner layer suitable for contact formation. The structure and processes generally includes forming a nitrogen-enriched surface in a deposited metal liner layer or forming a nitrogen-enriched surface in the dielectric material prior to deposition of the metal liner layer. In this manner, nitridization of the metal occurs upon deposition of nitrogen ions into the metal liner layer and/or as a function of additional conventional processing in fabricating the integrated circuit such that the deposited nitrogen ions diffuse into at least a portion of the metal liner layer. As a consequence, only a single metal layer deposition step is needed to form the metal liner layer.

Description

    DOMESTIC PRIORITY
  • This application is a CONTINUATION of U.S. patent application Ser. No. 14/945,754, filed Nov. 19, 2015, the disclosure of which is incorporated by reference herein in its entirety.
  • BACKGROUND
  • The present invention generally relates to semiconductor integrated circuits, and more particularly, to the structure and formation of liner structures that create insulation and diffusion barriers of a tungsten metal contact.
  • An integrated circuit (IC) generally includes a semiconductor substrate in which a number of device regions are formed by diffusion or ion implantation of suitable dopants. This substrate usually involves a passivating and an insulating layer required to form different device regions. The total thickness of these layers is usually less than one micron. Openings through these layers (called vias or contact holes) allow electrical contact to be made selectively to the underlying device regions. A conducting material is used to fill these holes, which then make contact to semiconductor devices.
  • SUMMARY
  • The present invention is generally directed to methods for forming an integrated circuit and contact structures for an integrated circuit.
  • In one embodiment, a method for forming an integrated circuit comprises providing a patterned substrate comprising a contact hole in a dielectric layer, wherein the contact hole includes sidewalls formed of the dielectric layer and a bottom surface defined by a source or drain region or a metal gate; conformally depositing a single metal liner layer onto the patterned substrate; generating nitrogen ions from a nitrogen containing gas selected from the group consisting of nitrogen (N2) and ammonia (NH3); exposing the metal liner layer to form a nitrogen enriched metal liner layer; and depositing a tungsten metal into the contact hole.
  • In another embodiment, method for forming an integrated circuit comprises providing a patterned substrate comprising a contact hole in a dielectric layer, wherein the contact hole includes sidewalls formed of the dielectric layer and a bottom surface defined by a source/drain region or a metal gate; generating nitrogen ions from a nitrogen containing gas selected from the group consisting of nitrogen (N2) and ammonia (NH3); exposing the substrate including the dielectric layer to form a nitrogen enriched dielectric layer at about a surface of the dielectric layer; conformally depositing a single metal liner layer onto the patterned substrate and forming a metal nitride at an interface between the single metal liner layer and the nitrogen enriched dielectric layer and into at least a portion of the metal liner layer; and depositing a tungsten metal into the contact hole.
  • A contact structure for an integrated circuit device comprises a patterned dielectric material comprising at least one contact hole, the contact hole including a bottom surface, and sidewalls extending from the bottom surface to a top surface, wherein the bottom surface is defined by a source/drain region or a metal gate; a self-formed metal nitride liner layer on the sidewalls and the bottom surface of the at least one contact hole; and a tungsten plug disposed within the at least one contact hole.
  • In another embodiment, the contact structure for an integrated circuit device comprises a patterned dielectric material comprising at least one contact hole, the contact hole including a bottom surface, and sidewalls extending from the bottom surface to a top surface, wherein the bottom surface is defined by a source/drain region or a metal gate, and wherein the dielectric material is enriched at least at the sidewalls of the contact hole; a metal liner layer disposed on the sidewalls and the bottom surface of the at least one contact hole, wherein contact of the metal liner layer with the enriched dielectric material forms a metal nitride gradient in the metal liner layer; and a tungsten plug disposed within the at least one contact hole.
  • Additional features and advantages are realized through the techniques of the present invention. Other embodiments and aspects of the invention are described in detail herein and are considered a part of the claimed invention. For a better understanding of the invention with advantages and features, refer to the description and to the drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The subject matter that is regarded as the invention is particularly pointed out and distinctly claimed in the claims at the conclusion of the specification. The foregoing and other objects, features, and advantages of the invention are apparent from the following detailed description taken in conjunction with the accompanying drawings in which:
  • FIG. 1A depicts a schematic cross-sectional view illustrating a contact hole formed in an interlevel dielectric layer according to an embodiment of the present invention;
  • FIG. 1B depicts a schematic cross-sectional view illustrating the structure of FIG. 1A after formation of a titanium metal liner layer;
  • FIG. 1C depicts a schematic cross-sectional view illustrating the structure of FIG. 1B after deposition of nitrogen ions onto the titanium metal liner layer;
  • FIG. 1D depicts a schematic cross-sectional view illustrating the structure of FIG. 1C subsequent to formation of a tungsten plug within the contact hole;
  • FIG. 2A depicts a schematic cross-sectional view illustrating a contact hole formed in an interlevel dielectric layer according to an embodiment of the present invention;
  • FIG. 2B depicts a schematic cross-sectional view illustrating the structure of FIG. 2A after deposition of nitrogen ions onto the dielectric layer to form a nitrogen enriched dielectric layer;
  • FIG. 2C depicts a enlarged cross-sectional view illustrating the structure of FIG. 2B after deposition of a titanium metal line layer on all of the exposed surfaces including the bottom surface of the contact hole;
  • FIG. 2D depicts a schematic cross-sectional view illustrating the structure of FIG. 2B after deposition of a titanium metal line layer on all of the exposed surfaces including the bottom surface of the contact hole; and
  • FIG. 2E depicts a schematic cross-sectional view illustrating the structure of FIG. 2B after deposition of a titanium metal line layer on all of the exposed surfaces with the exception of the bottom surface of the contact hole.
  • The detailed description explains the preferred embodiments of the invention, together with advantages and features, by way of example with reference to the drawings.
  • DETAILED DESCRIPTION
  • In its simplest form, a via may be formed by first masking an insulating layer, e.g., a dielectric layer, with photoresist and then selectively etching a portion of the insulating layer. The via is etched through an opening formed in the photoresist using well known photolithographic techniques, to form an opening to the underlying conductive layer. Depending on the aspect ratio and the interconnection ground rules, isotropic or anisotropic etching processes may be used to form a hole in the dielectric.
  • After the via etch, and photoresist removal, it is possible to deposit a conductive layer in the via. Conductive material is deposited in the via to form the electrical interconnect between the conducting layers. However, a liner layer is usually desirable between the insulating and conductive layers.
  • The presence of a liner layer on the sidewalls of the via is desirable because structural delamination and conductor metal diffusion can occur unless there is a layer of protection, a liner layer, between the conductive layer and the etched insulating layer. For structural integrity, the liner layer should line the entire side wall and will generally cover the bottom of the via as well.
  • The liner and conductive layers may be deposited by sputtering, CVD, electroless deposition and electrodeposition. Rf bias sputtering, in general, is known in the art and involves the reemission of material during the sputter deposition thereof through the effects of attendant ion bombardment of the layer being deposited. In effect, Rf biased sputtering is the positive ion bombardment of a substrate or film during its deposition. Therefore, during Rf bias sputtering, there is always simultaneous etching and deposition of the material being deposited. Previously deposited layers are not etched as part of a standard Rf biased sputter deposition.
  • High quality contacts are essential to high device yield and reliability, but fabrication of these high quality contacts poses several technical challenges. For example, the contacts are designed to have a high ratio of the height to the diameter, known as the aspect ratio. High aspect ratio is a consequence of several constraints in the design of the IC.
  • For example, it is desirable to achieve a high packing density of the contacts to enable high circuit density. This constrains the diameter of the contacts to be as small as possible. In addition, the dielectric separating the semiconductor devices from the first metal level must be thick enough to protect transistors. The contacts often span the thickness of dielectric over a transistor and transistor gate over the substrate. These constraints lead to contacts with aspect ratios large enough to present manufacturing challenges.
  • As integrated circuit technology become smaller, the large aspect ratio combined with very small geometries creates many manufacturing and performance issues. Current attempts to manufacture very small contacts have been plagued with very high resistance. These contact resistances can dominate integrated circuit performance particularly with small process geometries such as thirty-two nanometers.
  • The dielectrics used for the insulating layers are typically comprised of silicon dioxide, a thermosetting polyarylene resin, an organosilicate glass such as a carbon-doped oxide (SiCOH), or any other type of hybrid related dielectric.
  • The liner can be a single layer or multiple layers and is not located on the bottom horizontal surface of the via. The liner is comprised of a metal such as, for example, Ta, Ti, Ru, Ir, Co, and W, and/or a metal nitride such as TaN, TiN, and WN. An optional adhesion layer, not specifically shown, can be used to enhance the bonding of the liner to the dielectric layer.
  • Current processes for depositing the liner generally include a two-step process, which includes a first step of depositing a metal followed by a second step of depositing a metal nitride layer. The two step process for depositing two metal layers is inherently inefficient since it is a two-step process and affects throughput. Moreover, because two layers are deposited, thickness control becomes an issue especially as device dimensions shrink. For example, for 32 nm node device fabrication, the thickness of each layer defining the liner layer is on the order of about 20 Angstroms (Å) for a total thickness of about 40 Å. Smaller thicknesses will be required for future device fabrication, which will be difficult given the relatively high deposition rates utilized to produce individual layer thicknesses at or less than 20 Å.
  • The present invention provides a structure and process including a single metallization step for forming a metal liner layer suitable for contact formation. The structures and processes generally include forming a nitrogen-enriched surface in a deposited metal liner layer or forming a nitrogen-enriched surface in the dielectric material prior to deposition of the metal liner layer. In this manner, nitridization of the metal occurs upon treatment of nitrogen ions into the metal liner layer and/or as a function of additional conventional processing in fabricating the integrated circuit such that the treated nitrogen ions diffuse into at least a portion of the metal liner layer. As a consequence, only a single metal layer deposition step is needed to form the metal liner layer as opposed to the prior art's use of two metal deposition steps. Moreover, improved thickness control is realized since only one metal layer is deposited, which is especially advantageous as the art transitions to smaller device dimensions.
  • For ease in understanding and for example only, reference herein will be made to a titanium metal and nitridization thereof so as to form a titanium nitride liner layer. However, it should be apparent that other metals are suitable including, but not limited to, tantalum (Ta), titanium (Ti), ruthenium (Ru), iridium (Ir) tungsten (W), cobalt (Co) mixtures thereof, and the like. The metal liner layer serves as a barrier to prevent conductive material from diffusing through and can be formed by a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), sputtering, chemical solution deposition, or plating. The thickness of the metal liner layer may vary depending on the exact means of the deposition process as well as the material employed.
  • In one embodiment, the process generally includes depositing a titanium metal liner layer at a thickness of less than 40 Angstroms followed by surface treatment of the titanium metal liner layer with a nitrogen containing gas to form a nitrogen enriched titanium metal surface. Nitrogen enrichment of the titanium metal forms titanium nitride Ti(N). As defined herein, the nitrogen-containing gas is selected from the group consisting of nitrogen (N2) and ammonia (NH3). Upon exposure to a suitable energy source, the nitrogen or ammonia dissociates to form nitrogen ions, which are then utilized to enrich a contact surface. Suitable energy sources include but are not limited to thermal energy sources and plasma energy sources.
  • Plasma nitridization generally includes exposing the nitrogen-containing to a plasma effective to generate nitrogen ions. The substrate including the titanium metal liner layer or the dielectric layer are then exposed to the nitrogen ions to form a nitrogen enrich surface that also penetrates the respective surface to form a nitrogen enriched gradient in the titanium metal liner layer. Subsequent fabrication of the device facilitates additional nitrogen diffusion within the titanium metal liner layer or from the dielectric surface to the titanium metal liner layer to form a titanium nitride (TiN) liner layer. The process temperature is between 80 to 400° C., and the bias is between 100 to 900 W.
  • Thermal nitridization provides a similar effect as plasma nitridization but generally includes exposing the substrate to a temperature effective to generate nitrogen ions from the nitrogen containing gas. Again, the nitrogen ions contact and penetrate the surface of the titanium metal liner layer or the dielectric layer so as to form a nitrogen enriched gradient in the titanium metal liner layer or dielectric layer. Subsequent conventional fabrication of the device facilitates further diffusion within the titanium metal liner layer or from the dielectric layer to the titanium metal liner layer so as to form a titanium nitride liner layer. The process temperature is between 200 to 400° C.
  • Referring now to FIG. 1A-1D, there is shown a process and resulting structure for forming a titanium nitride liner layer for a tungsten contact structure in accordance with an embodiment. As shown in FIG. 1A, the process generally includes first forming contact holes 14 in an interlevel dielectric layer (ILD) 12 deposited on a substrate 10 through conventional lithography and etching processes. The lithographic step includes applying a photoresist to the surface of the dielectric layer, exposing the photoresist to a desired pattern of radiation, and developing the exposed resist utilizing a conventional resist developer to form a pattern. The etching process may be a dry etching or wet etching process.
  • The term “wet etching” generally refers to application of a chemical solution. This is preferably a time controlled dip in the etch solution. Preferred etch solutions include HNO3, HCL, H2SO4, HF or combinations thereof.
  • The term “dry etching” is used here to denote an etching technique such as reactive-ion-etching (RIE), ion beam etching, plasma etching or laser ablation. During the etching process, the pattern is first transferred to the dielectric layer. The patterned photoresist is typically, but not necessarily, removed from the structure after the pattern has been transferred into the dielectric film. The patterned feature formed into the dielectric material includes the contact holes.
  • The dielectric layer 12 may comprise any dielectric including inorganic dielectrics or organic dielectrics. The dielectric material 12 may be porous or non-porous. Some examples of suitable dielectrics that can be used as the dielectric material include, but are not limited to: SiO2, silsesquioxanes, carbon doped oxides (i.e., organosilicates) that include atoms of Si, C, O and H, thermosetting polyarylene ethers, or multilayers thereof. The term “polyarylene” is used to denote aryl moieties or inertly substituted aryl moieties which are linked together by bonds, fused rings, or inert linking groups such as, for example, oxygen, sulfur, sulfone, sulfoxide, carbonyl and the like. The ILD may be deposited by PECVD procedures as is generally known in the art. These patterned features correspond to the subsequent interconnect vias (i.e., metal plugs between levels) and can be aligned with underlying source and/or drain regions or over a metal gate structure defined by the particular substrate 10.
  • Referring to FIG. 1B, after removal of the photoresist used to create the contact holes 14 via plasma ashing and wet cleaning, a titanium metal liner layer 16 is conformally deposited onto the substrate including the exposed dielectric surfaces defining the contact hole and the underlying exposed source/drain or metal gate regions. The titanium metal liner layer may be deposited through conventional deposition processes such as, for example, a plasma vapor deposition process such as R.F. sputtering. The thickness of the deposited titanium metal liner layer is between 10 Å and 40 Å. The titanium metal liner layer is used to provide adhesion between subsequent overlying structures, such as a tungsten plug structure, and ILD layer 12, as well as supplying the needed titanium, for subsequent formation of a titanium silicide layer, if desired.
  • In FIG. 1C, the patterned substrate with the titanium metal liner layer 16 is exposed to nitrogen ions generated from the nitrogen containing gas 18 to form a nitrogen enriched titanium metal liner layer 20. As described above, generation of the nitrogen ions can be plasma or thermally generated, wherein the nitrogen ions penetrate into at least a portion of the titanium metal liner layer. In one embodiment, the nitrogen ions penetrate into the titanium metal liner layer 16 at a depth of about 75 percent of the thickness of the titanium metal liner layer; in other embodiments, the nitrogen ions penetrate into the titanium metal liner layer 16 at a depth of about 50 percent of the thickness of the titanium metal liner layer; and in still other embodiments, the nitrogen ions penetrate into the titanium metal liner layer 16 at a depth of about 25 percent of the thickness of the titanium metal liner layer.
  • The nitrogen enriched titanium metal liner layer forms titanium nitride (Ti(N)) 20 in the areas where the nitrogen ions have penetrated, which generally includes coating the sides of contact hole 14 and the source/drain regions or metal gate structure defined by the underlying substrate 10, exposed at the bottom of the contact hole. Moreover, subsequent processing such as a rapid thermal anneal step to create a metal silicide layer or the like can further effect diffusion of the nitrogen ions within the titanium metal liner layer to form a substantially uniform titanium nitride layer.
  • Turning to FIG. 1D, a conductive metal such as tungsten is then deposited onto substrate including the contact hole to form the so-called tungsten plug 22. By way of example, a conformal LPCVD procedure at a temperature between about 400 to 500° C. can be used to deposit the tungsten layer to a thickness between about 2000 Å to 9000 Å. The reactants, as well as the by-products, of the tungsten deposition, performed using silane and tungsten hexafluoride, cannot attack underlying materials, which is protected by the titanium nitride layer.
  • A chemical mechanical polishing (CMP) procedure is next used to remove the regions of tungsten, and the regions of titanium nitride layer 20 residing on the top surface of ILD 12. In addition to removal of the unwanted regions of material, via a CMP procedure, the removal procedure can also be accomplished via a blanket reactive ion etch (RIE) procedure (without the use of photolithographic procedures) using a suitable etchant.
  • In another embodiment shown in FIGS. 2A-D, a patterned dielectric layer is exposed to nitrogen ions generated from the nitrogen containing gas to form a nitrogen enriched dielectric layer. A titanium metal liner layer is then deposited onto the nitrogen enriched dielectric layer, wherein the nitrogen ions diffuse into the titanium metal liner layer to form titanium nitride.
  • Turning to FIG. 2A, the process generally includes first forming contact holes 54 in an interlevel dielectric layer (ILD) 52 deposited on a substrate 50 through conventional lithography and etching processes. As previously disclosed, the dielectric layer 54 may comprise any dielectric including inorganic dielectrics or organic dielectrics and may be porous or non-porous. Some examples of suitable dielectrics that can be used as the dielectric material include, but are not limited to: SiO2, silsesquioxanes, carbon doped oxides (i.e., organosilicates) that include atoms of Si, C, O and H, thermosetting polyarylene ethers, or multilayers thereof. These patterned features, i.e., contact holes 54, correspond to the subsequent interconnect vias (i.e., metal plugs between levels) and can be aligned with underlying source and/or drain regions or over a metal gate structure defined by the particular substrate 50.
  • Referring to FIG. 2B, after removal of the photoresist used to create the contact holes 54 via plasma ashing and wet cleaning, the dielectric layer 52 and the exposed source/drain regions or metal gate are exposed to nitrogen ions generated from the nitrogen containing gas 56 to form a nitrogen enriched dielectric layer 55. The nitrogen enriched dielectric 55 can serve to provide a protection layer to the underlying bulk dielectric material 52 so as to prevent dielectric damage and minimize surface roughness from additional processing. As described above, generation of the nitrogen ions can be plasma or thermally generated, wherein the nitrogen ions penetrate into at least a portion of the dielectric layer 52, i.e., on the order of a few Angstroms. FIG. 2C provides and enlarged sectional view of the nitrogen enriched dielectric layer and the bulk dielectric. It should be apparent that the nitrogen enrichment may form a gradient.
  • In FIG. 2D, a titanium metal liner layer 60 is then conformally deposited onto the patterned substrate including the exposed nitrogen enriched dielectric surfaces defining the contact and the source/drain or metal gate regions. The titanium metal liner layer may be deposited through conventional deposition processes such as, for example, a plasma vapor deposition process such as Rf sputtering. The thickness of the deposited titanium metal liner layer is between 10 Å and 40 Å. A titanium nitride layer 62 forms at about an interface of the titanium metal liner layer 60 and the nitrogen enriched dielectric layer 55.
  • In some embodiments, it may be desirable to have the titanium metal layer directly contact the underlying metal gate structure as shown in FIG. 2E. For example, after the step shown in FIG. 2B, a H2-contained chemical treatment is applied to selectively remove the nitrogen enriched layer from the bottom surface of the contact feature (S/D or MG surface), while keeping the nitrogen enriched layer at sidewalls of the contact feature.
  • A conductive metal such as tungsten is then deposited into the contact hole to form the so-called tungsten plug (not shown, but similar to that shown in FIG. 1D using a conventional deposition process including, but not limited to: CVD, PECVD, sputtering, chemical solution deposition or plating). By way of example, a conformal LPCVD procedure at a temperature between about 400 to 500° C. can be used to deposit the tungsten layer to a thickness between about 2000 Å to 9000 Å. The reactants, as well as the by-products, of the tungsten deposition, performed using silane and tungsten hexafluoride, cannot attack underlying materials, now protected by titanium nitride layer. Although tungsten is preferred, other suitable conductive materials include, for example, Cu, Al, and combinations thereof. The conductive material is filled into the contact hole.
  • A chemical mechanical polishing (CMP) procedure is next used to remove the regions of tungsten, and the regions of titanium nitride layer residing on the top surface of ILD 12 such that the upper surface of the tungsten plug that is substantially coplanar with the upper surface of the dielectric material. In addition to removal of the unwanted regions of material, via a CMP procedure, the removal procedure can also be accomplished via a blanket RIE procedure (without the use of photolithographic procedures) using a suitable etchant
  • All ranges disclosed herein are inclusive of the endpoints, and the endpoints are combinable with each other.
  • All cited patents, patent applications, and other references are incorporated herein by reference in their entirety.
  • The use of the terms “a” and “an” and “the” and similar referents in the context of describing the invention (especially in the context of the following claims) are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. Further, it should further be noted that the terms “first,” “second,” and the like herein do not denote any order, quantity, or importance, but rather are used to distinguish one element from another.
  • While the preferred embodiment to the invention has been described, it will be understood that those skilled in the art, both now and in the future, may make various improvements and enhancements which fall within the scope of the claims which follow. These claims should be construed to maintain the proper protection for the invention first described.

Claims (8)

1. A method for forming an integrated circuit comprising:
providing a patterned substrate comprising a contact hole in a dielectric layer, wherein the contact hole includes sidewalls formed of the dielectric layer and a bottom surface defined by a source/drain region or a metal gate;
generating nitrogen ions from a nitrogen containing gas selected from the group consisting of nitrogen (N2) and ammonia (NH3);
exposing the substrate including the dielectric layer to form a nitrogen enriched dielectric layer at about a surface of the dielectric layer;
conformally depositing a single metal liner layer onto the patterned substrate and forming a metal nitride at an interface between the single metal liner layer and the nitrogen enriched dielectric layer and into at least a portion of the metal liner layer;
depositing a tungsten metal into the contact hole, wherein the tungsten metal is in direct contact with the nitrogen enriched metal liner layer; and
removing regions of the tungsten metal and regions of the metal nitride on a top surface of the dielectric layer such that the tungsten metal is coplanar to the top surface of the dielectric layer.
2. The method of claim 1, wherein generating the nitrogen ions from the nitrogen containing gas comprises exposing the energy source effective to generate the nitrogen ions from the nitrogen containing gas.
3. The method of claim 1, wherein the energy source is a plasma energy source.
4. The method of claim 1, wherein the energy source is thermal energy source.
5. The method of claim 1, wherein the metal liner layer is formed of a metal selected from the group consisting of tantalum (Ta), titanium (Ti), ruthenium (Ru), iridium (Ir) tungsten (W), Co (cobalt) and mixtures thereof.
6. The method of claim 1, further comprising selectively removing the nitrogen from a bottom surface of the contact hole prior to depositing the single metal liner layer, wherein the single metal liner layer is in direct contact with the bottom surface of the contact hole such that the metal liner layer contacts the source/drain regions or the metal gate and is free of nitrogen enrichment.
7. The method of claim 1, wherein removing regions of the tungsten metal and regions of the metal nitride on a top surface of the dielectric layer comprises a chemical mechanical polishing process.
8. The method of claim 1, wherein removing regions of the tungsten metal and regions of the metal nitride on a top surface of the dielectric layer comprises a blanket reactive ion etch process.
US15/134,959 2015-11-19 2016-04-21 Structure and process for W contacts Expired - Fee Related US9659817B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/134,959 US9659817B1 (en) 2015-11-19 2016-04-21 Structure and process for W contacts

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/945,754 US9406617B1 (en) 2015-11-19 2015-11-19 Structure and process for W contacts
US15/134,959 US9659817B1 (en) 2015-11-19 2016-04-21 Structure and process for W contacts

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/945,754 Continuation US9406617B1 (en) 2015-11-19 2015-11-19 Structure and process for W contacts

Publications (2)

Publication Number Publication Date
US9659817B1 US9659817B1 (en) 2017-05-23
US20170148675A1 true US20170148675A1 (en) 2017-05-25

Family

ID=56507293

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/945,754 Active US9406617B1 (en) 2015-11-19 2015-11-19 Structure and process for W contacts
US15/134,959 Expired - Fee Related US9659817B1 (en) 2015-11-19 2016-04-21 Structure and process for W contacts
US15/134,975 Expired - Fee Related US9653403B1 (en) 2015-11-19 2016-04-21 Structure and process for W contacts

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/945,754 Active US9406617B1 (en) 2015-11-19 2015-11-19 Structure and process for W contacts

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/134,975 Expired - Fee Related US9653403B1 (en) 2015-11-19 2016-04-21 Structure and process for W contacts

Country Status (1)

Country Link
US (3) US9406617B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9406617B1 (en) * 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US11217594B2 (en) * 2019-09-05 2022-01-04 Nanya Technology Corporation Semiconductor device and method for fabricating the same
CN112635395A (en) * 2019-09-24 2021-04-09 夏泰鑫半导体(青岛)有限公司 Preparation method of semiconductor device and semiconductor device

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (en) * 1999-10-15 2009-05-15 Asm Int A process for preparing nanolaminates
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5961791A (en) * 1997-02-26 1999-10-05 Motorola, Inc. Process for fabricating a semiconductor device
US6139922A (en) * 1999-05-18 2000-10-31 Gelest, Inc. Tantalum and tantalum-based films formed using fluorine-containing source precursors and methods of making the same
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US6950716B2 (en) 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US7390726B1 (en) 2001-10-02 2008-06-24 Actel Corporation Switching ratio and on-state resistance of an antifuse programmed below 5 mA and having a Ta or TaN barrier metal layer
US7459763B1 (en) 2001-10-02 2008-12-02 Actel Corporation Reprogrammable metal-to-metal antifuse employing carbon-containing antifuse material
JP3540302B2 (en) 2001-10-19 2004-07-07 Necエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6667231B1 (en) * 2002-07-12 2003-12-23 Texas Instruments Incorporated Method of forming barrier films for copper metallization over low dielectric constant insulators in an integrated circuit
CN100351725C (en) 2002-08-01 2007-11-28 应用材料有限公司 Method, system, and medium for handling misrepresentative metrology data within an advanced process control system
US6790707B1 (en) 2003-05-09 2004-09-14 Applied Materials, Inc. Method of preparing a sample of a semiconductor structure for adhesion testing
US6887798B2 (en) * 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US6919636B1 (en) 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US7700474B2 (en) * 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6952052B1 (en) 2004-03-30 2005-10-04 Advanced Micro Devices, Inc. Cu interconnects with composite barrier layers for wafer-to-wafer uniformity
KR100594276B1 (en) * 2004-05-25 2006-06-30 삼성전자주식회사 Method for forming metal wiring layer of semiconductor device
US6961626B1 (en) 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US7611912B2 (en) 2004-06-30 2009-11-03 Headway Technologies, Inc. Underlayer for high performance magnetic tunneling junction MRAM
US7271464B2 (en) * 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7727882B1 (en) * 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US7622369B1 (en) * 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8847333B2 (en) * 2011-09-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing metal gate devices with multiple barrier layers
US9406617B1 (en) * 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts

Also Published As

Publication number Publication date
US20170148736A1 (en) 2017-05-25
US9659817B1 (en) 2017-05-23
US9653403B1 (en) 2017-05-16
US9406617B1 (en) 2016-08-02

Similar Documents

Publication Publication Date Title
US9613900B2 (en) Nanoscale interconnect structure
JP5430946B2 (en) Interconnect structure forming method
US8232196B2 (en) Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration
US7884474B2 (en) Method for fabricating semiconductor device and semiconductor device
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
US7867895B2 (en) Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
US7670943B2 (en) Enhanced mechanical strength via contacts
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
JP2007300113A (en) Interconnection portion metallization process having step coverage equal to or more than 100%
KR20110001894A (en) Via gouged interconnect structure and method of fabricating same
US8617984B2 (en) Tungsten metallization: structure and fabrication of same
US10062605B2 (en) Via and chamfer control for advanced interconnects
US10224275B2 (en) Copper interconnect structures
US11735468B2 (en) Interconnect structures including self aligned vias
US8609534B2 (en) Electrical fuse structure and method of fabricating same
US9659817B1 (en) Structure and process for W contacts
US10373867B2 (en) Cobalt contact and interconnect structures
JP2009117673A (en) Semiconductor device and manufacturing method thereof
JP2006049534A (en) Semiconductor device and manufacturing method thereof
US9484252B2 (en) Integrated circuits including selectively deposited metal capping layers on copper lines and methods for fabricating the same
KR100670686B1 (en) Method for manufacturing contact plug in semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:EDELSTEIN, DANIEL C.;LI, BAOZHEN;YANG, CHIH-CHAO;SIGNING DATES FROM 20151112 TO 20151116;REEL/FRAME:038343/0556

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: ELPIS TECHNOLOGIES INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:052561/0161

Effective date: 20200306

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20210523