US20170061065A1 - Timing constraints formulation for highly replicated design modules - Google Patents

Timing constraints formulation for highly replicated design modules Download PDF

Info

Publication number
US20170061065A1
US20170061065A1 US15/094,090 US201615094090A US2017061065A1 US 20170061065 A1 US20170061065 A1 US 20170061065A1 US 201615094090 A US201615094090 A US 201615094090A US 2017061065 A1 US2017061065 A1 US 2017061065A1
Authority
US
United States
Prior art keywords
criteria
list
met
design
checkpoint
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/094,090
Other versions
US9576102B1 (en
Inventor
Chithra Ravindranath
Sourav Saha
Rajashree Srinidhi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US15/094,090 priority Critical patent/US9576102B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: RAVINDRANATH, CHITHRA, SAHA, SOURAV, SRINIDHI, RAJASHREE
Priority to US15/375,607 priority patent/US9703923B2/en
Priority to US15/375,677 priority patent/US9703924B2/en
Application granted granted Critical
Publication of US9576102B1 publication Critical patent/US9576102B1/en
Publication of US20170061065A1 publication Critical patent/US20170061065A1/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • G06F17/5081
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • G06F17/5072
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation
    • G06F2217/84
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Definitions

  • the present invention relates generally to the field of chip design, and more particularly to timing constraint formulation for highly replicated design modules.
  • a method for managing time constraints in a circuit comprising: creating a placement design in a circuit; determining, by one or more processors, whether a first criteria is met, wherein the first criteria comprises a first predefined threshold; in response to determining that a first criteria is met, initiating, by one or more processors, a first checkpoint procedure; determining, by one or more processors, whether a second criteria is met, wherein the second criteria comprises a second predefined threshold; and in response to determining that the second criteria is met, initiating, by one or more processors, a second checkpoint procedure.
  • a computer program product for managing time constraints in a circuit
  • the computer program product comprising: a computer readable storage medium and program instructions stored on the computer readable storage medium, the program instructions comprising: program instructions to create a placement design in a circuit; program instructions to determine whether a first criteria is met, wherein the first criteria comprises a first predefined threshold; program instructions to, in response to determining that a first criteria is met, initiate a first checkpoint procedure; program instructions to determine whether a second criteria is met, wherein the second criteria comprises a second predefined threshold; and program instructions to, in response to determining that the second criteria is met, initiate a second checkpoint procedure.
  • a computer system for managing time constraints in a circuit comprising: one or more computer processors; one or more computer readable storage media; program instructions stored on the one or more computer readable storage media for execution by at least one of the one or more processors, the program instructions comprising: program instructions to create a placement design in a circuit; program instructions to determine whether a first criteria is met, wherein the first criteria comprises a first predefined threshold; program instructions to, in response to determining that a first criteria is met, initiate a first checkpoint procedure; program instructions to determine whether a second criteria is met, wherein the second criteria comprises a second predefined threshold; and program instructions to, in response to determining that the second criteria is met, initiate a second checkpoint procedure.
  • FIG. 1A depicts a block diagram of a chip with block layout, in accordance with an embodiment of the present invention
  • FIG. 1B depicts an example graph showing the variation in data arrival time for an input pin, in accordance with an embodiment of the present invention
  • FIG. 2A depicts a flowchart illustrating operational steps of timing closure for multi-instance modules (MIMs), in accordance with an embodiment of the present invention
  • FIG. 2B depicts a flowchart illustrating operational steps of a first checkpoint, in accordance with an embodiment of the present invention
  • FIG. 2C depicts a flowchart illustrating operational steps of a second checkpoint, in accordance with an embodiment of the present invention
  • FIGS. 3A-D depict examples of location-based grouping, in accordance with an embodiment of the present invention.
  • FIG. 4 depicts an example of a graph depicting the calculated weighted mean for each MIM, in accordance with an illustrative embodiment of the present invention.
  • Embodiments of the present invention provide methods and systems for creating an optimal set of partitions across replica blocks, treating each replica block as unique entities which are optimized differently during the construction phase.
  • a statistical distribution model is implemented to create the partitions based on the selected parameters, wherein the partitions have similar boundary constraints and thus, power performance is optimized.
  • Embodiments of the present invention can be applied to processors, as well as, various other application-specific integrated circuits (ASICs), system-on-chips (SoCs), and other memory chips, where there is a possibility of occurrence of highly replicated designs.
  • ASICs application-specific integrated circuits
  • SoCs system-on-chips
  • Other memory chips where there is a possibility of occurrence of highly replicated designs.
  • FIG. 1A depicts a block diagram of a chip 100 with a block layout, in accordance with an embodiment of the present invention. Modifications to chip 100 may be made by those skilled in the art without departing from the scope of the invention as recited by the claims.
  • chip 100 includes blocks 102 , 104 , 106 , and 108 , which are representative of heavily replicated macros on chip 100 . Each of blocks 102 , 104 , 106 , and 108 may be placed in different locations on different chips, and thus a disparity may exist between the replicated macros between multiple chips.
  • FIG. 1B depicts an example graph showing the variation in data arrival time for an input pin, in accordance with an embodiment of the present invention.
  • Graph 120 depicts the variation in data arrival time (AT) for the same input pin 122 A and 122 B, for a heavily replicated macro. As seen in graph 120 , there is a disparity in the data arrival time for many of the replicated macro instances (i.e., X 1 through X 20 ), showing that the timing constraints are different for these heavily replicated macros for the same input pin.
  • FIG. 2A depicts flowchart 200 illustrating operational steps of timing closure for multi-instance modules (MIMs), in accordance with an embodiment of the present invention.
  • MIMs multi-instance modules
  • Initial floorplanning refers to a set of activities in which different participating blocks or modules are assigned initial area budget, aspect ratios, etc., as well as, deciding their relative location and whitespace around them. There are many ways to decide this. For example, it can be based on a micro-architectural or a critical path timing requirement or on connection density, or a combination of both, using a weighted cost function. Certain blocks are tagged as soft, which indicates they are open to additional refinement in subsequent iterations, while other blocks are tagged as hard or locked, which indicates they cannot be altered because of technical reasons.
  • step 204 it is determined whether the checkpoint 1 criteria is met.
  • the checkpoint 1 criteria is met when a certain design parameters are at a predefined threshold. If the checkpoint 1 threshold criteria is met, then, in step 206 , a first checkpoint is initiated and performed. This first checkpoint allows the designer to have greater flexibility to arrive at a better clustering of MIMs in the early stages of chip design. The first checkpoint is described in more detail with respect to FIG. 2B .
  • Placement in general can be a complex step-by-step iterative process, in which many underlying CAD algorithms are performed to guide a design into convergence and to meet a set of design criteria.
  • Intermediate placement refers to one internal step (of the many iterations) in which design comes close (i.e., within a specified percentage) to a final optimized state.
  • step 210 it is determined whether the checkpoint 2 criteria is met.
  • the checkpoint 2 criteria is met when certain design parameters are within a predefined, specific threshold. If the checkpoint 2 threshold criteria is met, then, in step 212 , a second checkpoint is initiated and performed.
  • the second checkpoint is executed after the final floorplanning, when certain design parameters are within a specific threshold, where the design cycle is short and/or the design is in a state of re-use, or where re-floorplanning is less likely to occur.
  • the second checkpoint is described in more detail with respect to FIG. 2C .
  • step 214 final placement, routing, and the timing and design closure occurs.
  • step 214 final placement, routing, and the timing and design closure occurs.
  • step 208 a few more design iterations and fine grain adjustments are performed to reach the final optimized state. These adjustments/iterations may include a detailed routability metrics evaluation, a buffering update, clocking and power related checks, and a fix-up of reliability issues.
  • FIG. 2B depicts flowchart 220 illustrating operational steps of a first checkpoint, in accordance with an embodiment of the present invention.
  • the first checkpoint is a two pass flow, in which a first pass grouping is based on the location of MIMs and a second pass grouping is based on the timing.
  • step 222 location-based MIM grouping occurs.
  • groups of macros are formed based on their location proximity to each other. Clustering of the macros is iteratively performed, until the distance parameter exceeds a pre-determined threshold. Location-based MIM grouping is described in further detail with respect to FIGS. 3A-D .
  • timing-based MIM grouping occurs.
  • pin-list filtering is performed to eliminate common, non-critical signal pins (e.g., test/scan related) from each MIM's pin list, in order to generate a shortened pin list.
  • timing-based grouping is done using statistical analysis of the ATs/ETAs of each pin, for each MIM which is similar to checkpoint 2 .
  • step 226 it is determined whether an optimum size and number in the grouping is met.
  • a calculation based on MIM groupings is performed, in which each MIM is initially grouped by itself and then nearest in distance pairs of MIMs are further merged into groups.
  • a few considerations are taken into account. For example, the cost is applied for merging the nearest neighboring instances of MIMs, the nearness of MIMs for grouping factors in physical distance, local density, and timing slack at each pin is considered, and based on the cost of merging the MIMs, thresholds are set for the size and number of MIM groups.
  • An example of MIM grouping is depicted further with respect to FIGS. 3A-D .
  • step 226 If, in step 226 , an optimum size and number in the grouping is met (i.e., the determined threshold), then, in step 228 , macro tagging is performed. After a new group is generated, the MIMs are tagged in the design netlist/layout based on the group to which each MIM belongs.
  • timing constraints are regenerated.
  • new timing constraints for each group are derived by calculating the weighted mean of each pin's AT.
  • the sum of the PIS/ETA values can be written as:
  • N ⁇ n 1 ,n 2 ,n 2 ′,n 2 ′′,n 2 ′′′,n 3 ,n 3 ′,n 3 ′′,n 4 , . . . n n ⁇ (Eq. 1)
  • n denotes different PIS/ETA values.
  • the new weighted mean timing constraint for n 2 can then be written as:
  • W n the weight, is the number of occurrences of each PIS/ETA (i.e., within a given cluster). This implementation is depicted in further detail with respect to FIG. 4 .
  • step 232 the operational steps return to step 214 of FIG. 2A .
  • FIG. 2C depicts flowchart 240 illustrating operational steps of a second checkpoint, in accordance with an embodiment of the present invention.
  • step 242 summation of the arrival times (ATs) and expected time of arrival (ETA) is gathered for all MIMs.
  • pin-list filtering is done to eliminate common, non-critical signal pins (e.g., test/scan related) from each MIM's pin list, in order to generate a reduced I/O pin list.
  • a summation of the ATs and the ETAs is created for the reduced pin list. Both the mean (i.e., average) and standard deviation of the summed ATs are calculated.
  • timing-based MIM grouping is performed.
  • the MIMs are grouped based on the calculated mean and standard deviation of the summed ATs. For example, MIMs with the mean summed ATs may fall into a ‘normal variant group’, while MIMs with a higher standard deviation from the mean of summed ATs may fall into a ‘high variant group’, and MIMs with a lower standard deviation from the mean of summed ATs may fall into a ‘low variant group.’ If contention occurs because MIMs belong to one variant group based on AT, and belong to a different variant group based on ETA, then the probability of occurrence decides which group will take precedence.
  • step 246 macro tagging is performed. Once the variant groups are determined, the MIMs are tagged in the design netlist/layout based on the determined group to which each belongs.
  • step 248 timing constraints are regenerated in the same manner as described with regards to step 230 of FIG. 2B .
  • This implementation is depicted in further detail with respect to FIG. 4 .
  • step 250 the operational steps return to step 214 of FIG. 2A .
  • FIGS. 3A-D depict examples of location-based grouping, in accordance with an embodiment of the present invention.
  • FIG. 3A depicts an example chip 300 with multiple MIMs 301 - 306 .
  • FIG. 3B depicts chip 300 with 0 th groupings depicted.
  • each of MIMs 301 - 306 is initially in a group by itself.
  • MIM 301 is in a separate grouping from MIM 302 .
  • a dendrogram is depicted showing each of MIMs 301 - 306 (i.e., A-F) in a separate grouping.
  • FIG. 3C depicts chip 300 with the 0 th and 1 st groupings depicted.
  • the nearest (i.e., closest distance) pair of MIMs are then merged into a group.
  • MIMs 302 and 303 are merged into a 1 st grouping and MIMs 304 and 305 are merged into another 1st grouping.
  • the dendrogram is updated to show that MIMs 302 and 303 (i.e., B and C) are now in a group and that MIMs 304 and 305 (i.e., D and E) are now in a group.
  • FIG. 3D depicts chip 300 with the 0 th , 1 st , and 2 nd groupings depicted.
  • the MIMs are then further merged into another group based on the nearest MIMs.
  • MIMs 301 , 302 , and 303 are merged into a 2 nd grouping and MIMs 304 , 305 , and 306 are merged into another 2 nd grouping.
  • Within-in class variance and distance between class centroids are good metrics to determine the quality of clustering, as the implementation of the clustering method maintains the similarity of MIMs within each cluster.
  • the determined distance threshold between the two groups of MIMs is depicted in FIG. 3D in the block diagram.
  • the dendrogram of FIG. 3D is updated to show that MIMs 301 , 302 , and 303 (i.e., A, B, and C) are grouped together and that MIMs 304 , 305 , and 306 (i.e., D, E, and F) are grouped together.
  • the distance threshold is also indicated in the dendrogram, showing that distance-based groupings continue to occur, until the distance threshold is met (i.e., height of dendrogram groupings reaches height of the distance threshold).
  • FIG. 4 depicts an example of a graph depicting the calculated weighted mean for each MIM, in accordance with an illustrative embodiment of the present invention.
  • Graph 400 depicts a comparison between a worst case assert, a mean, and the calculated weighted mean for four different MIMs, MIM 1 , MIM 2 , MIM 3 , and MIM 4 .
  • the calculated weighted mean for each of MIMs 1 , 3 , and 4 is closer in value to the base assert than the values of the mean and the worst case assert for MIMS 1 , 3 , and 4 . It is demonstrated that the calculated weighted mean is able to minimize the overall variations across the MIMS, as only MIM 2 has a base assert which is much larger than the calculated weighted mean.
  • the present invention may be a system, a method, and/or a computer program product.
  • the computer program product may include a computer readable storage medium (or media) having computer readable program instructions thereon for causing a processor to carry out aspects of the present invention.
  • the computer readable storage medium can be a tangible device that can retain and store instructions for use by an instruction execution device.
  • the computer readable storage medium may be, for example, but is not limited to, an electronic storage device, a magnetic storage device, an optical storage device, an electromagnetic storage device, a semiconductor storage device, or any suitable combination of the foregoing.
  • a non-exhaustive list of more specific examples of the computer readable storage medium includes the following: a portable computer diskette, a hard disk, a random access memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM or Flash memory), a static random access memory (SRAM), a portable compact disc read-only memory (CD-ROM), a digital versatile disk (DVD), a memory stick, a floppy disk, a mechanically encoded device such as punch-cards or raised structures in a groove having instructions recorded thereon, and any suitable combination of the foregoing.
  • RAM random access memory
  • ROM read-only memory
  • EPROM or Flash memory erasable programmable read-only memory
  • SRAM static random access memory
  • CD-ROM compact disc read-only memory
  • DVD digital versatile disk
  • memory stick a floppy disk
  • a mechanically encoded device such as punch-cards or raised structures in a groove having instructions recorded thereon
  • a computer readable storage medium is not to be construed as being transitory signals per se, such as radio waves or other freely propagating electromagnetic waves, electromagnetic waves propagating through a waveguide or other transmission media (e.g., light pulses passing through a fiber-optic cable), or electrical signals transmitted through a wire.
  • Computer readable program instructions described herein can be downloaded to respective computing/processing devices from a computer readable storage medium or to an external computer or external storage device via a network, for example, the Internet, a local area network, a wide area network and/or a wireless network.
  • the network may comprise copper transmission cables, optical transmission fibers, wireless transmission, routers, firewalls, switches, gateway computers and/or edge servers.
  • a network adapter card or network interface in each computing/processing device receives computer readable program instructions from the network and forwards the computer readable program instructions for storage in a computer readable storage medium within the respective computing/processing device.
  • Computer readable program instructions for carrying out operations of the present invention may be assembler instructions, instruction-set-architecture (ISA) instructions, machine instructions, machine dependent instructions, microcode, firmware instructions, state-setting data, or either source code or object code written in any combination of one or more programming languages, including an object oriented programming language such as Smalltalk, C++ or the like, and conventional procedural programming languages, such as the “C” programming language or similar programming languages.
  • the computer readable program instructions may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer or entirely on the remote computer or server.
  • the remote computer may be connected to the user's computer through any type of network, including a local area network (LAN) or a wide area network (WAN), or the connection may be made to an external computer (for example, through the Internet using an Internet Service Provider).
  • electronic circuitry including, for example, programmable logic circuitry, field-programmable gate arrays (FPGA), or programmable logic arrays (PLA) may execute the computer readable program instructions by utilizing state information of the computer readable program instructions to personalize the electronic circuitry, in order to perform aspects of the present invention.
  • These computer readable program instructions may be provided to a processor of a general purpose computer, special purpose computer, or other programmable data processing apparatus to produce a machine, such that the instructions, which execute via the processor of the computer or other programmable data processing apparatus, create means for implementing the functions/acts specified in the flowchart and/or block diagram block or blocks.
  • These computer readable program instructions may also be stored in a computer readable storage medium that can direct a computer, a programmable data processing apparatus, and/or other devices to function in a particular manner, such that the computer readable storage medium having instructions stored therein comprises an article of manufacture including instructions which implement aspects of the function/act specified in the flowchart and/or block diagram block or blocks.
  • the computer readable program instructions may also be loaded onto a computer, other programmable data processing apparatus, or other device to cause a series of operational steps to be performed on the computer, other programmable apparatus or other device to produce a computer implemented process, such that the instructions which execute on the computer, other programmable apparatus, or other device implement the functions/acts specified in the flowchart and/or block diagram block or blocks.
  • each block in the flowchart or block diagrams may represent a module, segment, or portion of instructions, which comprises one or more executable instructions for implementing the specified logical function(s).
  • the functions noted in the block may occur out of the order noted in the figures.
  • two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved.

Abstract

Embodiments of the present invention provide efficient systems and methods for creating an optimal set of partitions across replica blocks using two checkpoints during the design process. The two checkpoints group a set of macros according to a timing constraint and a location proximity to the other macros. Clustering of the macros is iteratively performed until a distance parameter exceeds a pre-defined threshold.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates generally to the field of chip design, and more particularly to timing constraint formulation for highly replicated design modules.
  • Current state-of-the art processor design often contains heavily replicated design modules at the chip or chiplet level, and can reach a replication count of over 50. Conventional timing closure methodologies use worst case boundary timing constraints during the design construction process (i.e., synthesis, place-n-route). Because of many different design scenarios and constraints (e.g., floorplanning, wiring congestion, parent level buffering, boundary port locations), different replicas of the same module can be subjected to significantly different design requirements.
  • SUMMARY
  • According to an embodiment of the present invention, a method for managing time constraints in a circuit is provided, the method comprising: creating a placement design in a circuit; determining, by one or more processors, whether a first criteria is met, wherein the first criteria comprises a first predefined threshold; in response to determining that a first criteria is met, initiating, by one or more processors, a first checkpoint procedure; determining, by one or more processors, whether a second criteria is met, wherein the second criteria comprises a second predefined threshold; and in response to determining that the second criteria is met, initiating, by one or more processors, a second checkpoint procedure.
  • According to another embodiment of the present invention, a computer program product for managing time constraints in a circuit is provided, the computer program product comprising: a computer readable storage medium and program instructions stored on the computer readable storage medium, the program instructions comprising: program instructions to create a placement design in a circuit; program instructions to determine whether a first criteria is met, wherein the first criteria comprises a first predefined threshold; program instructions to, in response to determining that a first criteria is met, initiate a first checkpoint procedure; program instructions to determine whether a second criteria is met, wherein the second criteria comprises a second predefined threshold; and program instructions to, in response to determining that the second criteria is met, initiate a second checkpoint procedure.
  • According to another embodiment of the present invention, a computer system for managing time constraints in a circuit is provided, the system comprising: one or more computer processors; one or more computer readable storage media; program instructions stored on the one or more computer readable storage media for execution by at least one of the one or more processors, the program instructions comprising: program instructions to create a placement design in a circuit; program instructions to determine whether a first criteria is met, wherein the first criteria comprises a first predefined threshold; program instructions to, in response to determining that a first criteria is met, initiate a first checkpoint procedure; program instructions to determine whether a second criteria is met, wherein the second criteria comprises a second predefined threshold; and program instructions to, in response to determining that the second criteria is met, initiate a second checkpoint procedure.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A depicts a block diagram of a chip with block layout, in accordance with an embodiment of the present invention;
  • FIG. 1B depicts an example graph showing the variation in data arrival time for an input pin, in accordance with an embodiment of the present invention;
  • FIG. 2A depicts a flowchart illustrating operational steps of timing closure for multi-instance modules (MIMs), in accordance with an embodiment of the present invention;
  • FIG. 2B depicts a flowchart illustrating operational steps of a first checkpoint, in accordance with an embodiment of the present invention;
  • FIG. 2C depicts a flowchart illustrating operational steps of a second checkpoint, in accordance with an embodiment of the present invention;
  • FIGS. 3A-D depict examples of location-based grouping, in accordance with an embodiment of the present invention; and
  • FIG. 4 depicts an example of a graph depicting the calculated weighted mean for each MIM, in accordance with an illustrative embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Current state-of-the art processor design often contains heavily replicated design modules at the chip or chiplet level. Embodiments of the present invention provide methods and systems for creating an optimal set of partitions across replica blocks, treating each replica block as unique entities which are optimized differently during the construction phase. A statistical distribution model is implemented to create the partitions based on the selected parameters, wherein the partitions have similar boundary constraints and thus, power performance is optimized. Embodiments of the present invention can be applied to processors, as well as, various other application-specific integrated circuits (ASICs), system-on-chips (SoCs), and other memory chips, where there is a possibility of occurrence of highly replicated designs.
  • The present invention will now be described in detail with reference to the Figures. FIG. 1A depicts a block diagram of a chip 100 with a block layout, in accordance with an embodiment of the present invention. Modifications to chip 100 may be made by those skilled in the art without departing from the scope of the invention as recited by the claims. In an exemplary embodiment, chip 100 includes blocks 102, 104, 106, and 108, which are representative of heavily replicated macros on chip 100. Each of blocks 102, 104, 106, and 108 may be placed in different locations on different chips, and thus a disparity may exist between the replicated macros between multiple chips.
  • FIG. 1B depicts an example graph showing the variation in data arrival time for an input pin, in accordance with an embodiment of the present invention. Graph 120 depicts the variation in data arrival time (AT) for the same input pin 122A and 122B, for a heavily replicated macro. As seen in graph 120, there is a disparity in the data arrival time for many of the replicated macro instances (i.e., X1 through X20), showing that the timing constraints are different for these heavily replicated macros for the same input pin.
  • FIG. 2A depicts flowchart 200 illustrating operational steps of timing closure for multi-instance modules (MIMs), in accordance with an embodiment of the present invention.
  • In step 202, initial floorplanning and IP placement is performed. Initial floorplanning refers to a set of activities in which different participating blocks or modules are assigned initial area budget, aspect ratios, etc., as well as, deciding their relative location and whitespace around them. There are many ways to decide this. For example, it can be based on a micro-architectural or a critical path timing requirement or on connection density, or a combination of both, using a weighted cost function. Certain blocks are tagged as soft, which indicates they are open to additional refinement in subsequent iterations, while other blocks are tagged as hard or locked, which indicates they cannot be altered because of technical reasons.
  • In step 204, it is determined whether the checkpoint 1 criteria is met. The checkpoint 1 criteria is met when a certain design parameters are at a predefined threshold. If the checkpoint 1 threshold criteria is met, then, in step 206, a first checkpoint is initiated and performed. This first checkpoint allows the designer to have greater flexibility to arrive at a better clustering of MIMs in the early stages of chip design. The first checkpoint is described in more detail with respect to FIG. 2B.
  • If a certain threshold criteria is not met, then in step 208, an intermediate placement occurs. Placement in general can be a complex step-by-step iterative process, in which many underlying CAD algorithms are performed to guide a design into convergence and to meet a set of design criteria. Intermediate placement refers to one internal step (of the many iterations) in which design comes close (i.e., within a specified percentage) to a final optimized state.
  • In step 210, it is determined whether the checkpoint 2 criteria is met. The checkpoint 2 criteria is met when certain design parameters are within a predefined, specific threshold. If the checkpoint 2 threshold criteria is met, then, in step 212, a second checkpoint is initiated and performed. The second checkpoint is executed after the final floorplanning, when certain design parameters are within a specific threshold, where the design cycle is short and/or the design is in a state of re-use, or where re-floorplanning is less likely to occur. The second checkpoint is described in more detail with respect to FIG. 2C.
  • If a certain threshold criteria is not met, then in step 214, final placement, routing, and the timing and design closure occurs. After the intermediate placement step (i.e., step 208), a few more design iterations and fine grain adjustments are performed to reach the final optimized state. These adjustments/iterations may include a detailed routability metrics evaluation, a buffering update, clocking and power related checks, and a fix-up of reliability issues.
  • FIG. 2B depicts flowchart 220 illustrating operational steps of a first checkpoint, in accordance with an embodiment of the present invention. The first checkpoint is a two pass flow, in which a first pass grouping is based on the location of MIMs and a second pass grouping is based on the timing.
  • In step 222, location-based MIM grouping occurs. In this exemplary embodiment, during a first pass grouping, groups of macros are formed based on their location proximity to each other. Clustering of the macros is iteratively performed, until the distance parameter exceeds a pre-determined threshold. Location-based MIM grouping is described in further detail with respect to FIGS. 3A-D.
  • In step 224, timing-based MIM grouping occurs. In this exemplary embodiment, during a second pass grouping, pin-list filtering is performed to eliminate common, non-critical signal pins (e.g., test/scan related) from each MIM's pin list, in order to generate a shortened pin list. Following this, timing-based grouping is done using statistical analysis of the ATs/ETAs of each pin, for each MIM which is similar to checkpoint 2.
  • In step 226, it is determined whether an optimum size and number in the grouping is met. In order to determine whether an optimum size and number of MIM groups are met, a calculation based on MIM groupings is performed, in which each MIM is initially grouped by itself and then nearest in distance pairs of MIMs are further merged into groups. When determining an optimal size and number of MIM groups, a few considerations are taken into account. For example, the cost is applied for merging the nearest neighboring instances of MIMs, the nearness of MIMs for grouping factors in physical distance, local density, and timing slack at each pin is considered, and based on the cost of merging the MIMs, thresholds are set for the size and number of MIM groups. An example of MIM grouping is depicted further with respect to FIGS. 3A-D.
  • If, in step 226, an optimum size and number in the grouping is met (i.e., the determined threshold), then, in step 228, macro tagging is performed. After a new group is generated, the MIMs are tagged in the design netlist/layout based on the group to which each MIM belongs.
  • In step 230, timing constraints are regenerated. In this exemplary embodiment, new timing constraints for each group are derived by calculating the weighted mean of each pin's AT. For example, the sum of the PIS/ETA values can be written as:

  • N={n 1 ,n 2 ,n 2 ′,n 2 ″,n 2 ′″,n 3 ,n 3 ′,n 3 ″,n 4 , . . . n n}  (Eq. 1)
  • where n denotes different PIS/ETA values. The new weighted mean timing constraint for n2 can then be written as:
  • W 1 * n 2 + W 2 * n 2 + W 3 * n 2 + W 4 * n 2 ′′′ W n ( Eq . 2 )
  • where Wn, the weight, is the number of occurrences of each PIS/ETA (i.e., within a given cluster). This implementation is depicted in further detail with respect to FIG. 4.
  • In step 232, the operational steps return to step 214 of FIG. 2A.
  • FIG. 2C depicts flowchart 240 illustrating operational steps of a second checkpoint, in accordance with an embodiment of the present invention.
  • In step 242, summation of the arrival times (ATs) and expected time of arrival (ETA) is gathered for all MIMs. In this exemplary embodiment, pin-list filtering is done to eliminate common, non-critical signal pins (e.g., test/scan related) from each MIM's pin list, in order to generate a reduced I/O pin list. Following this, a summation of the ATs and the ETAs is created for the reduced pin list. Both the mean (i.e., average) and standard deviation of the summed ATs are calculated.
  • In step 244, timing-based MIM grouping is performed. The MIMs are grouped based on the calculated mean and standard deviation of the summed ATs. For example, MIMs with the mean summed ATs may fall into a ‘normal variant group’, while MIMs with a higher standard deviation from the mean of summed ATs may fall into a ‘high variant group’, and MIMs with a lower standard deviation from the mean of summed ATs may fall into a ‘low variant group.’ If contention occurs because MIMs belong to one variant group based on AT, and belong to a different variant group based on ETA, then the probability of occurrence decides which group will take precedence.
  • In step 246, macro tagging is performed. Once the variant groups are determined, the MIMs are tagged in the design netlist/layout based on the determined group to which each belongs.
  • In step 248, timing constraints are regenerated in the same manner as described with regards to step 230 of FIG. 2B. This implementation is depicted in further detail with respect to FIG. 4.
  • In step 250, the operational steps return to step 214 of FIG. 2A.
  • FIGS. 3A-D depict examples of location-based grouping, in accordance with an embodiment of the present invention.
  • Location-based grouping is implemented to determine the optimal size and number of MIM groups. FIG. 3A depicts an example chip 300 with multiple MIMs 301-306.
  • FIG. 3B depicts chip 300 with 0th groupings depicted. In this exemplary embodiment, each of MIMs 301-306 is initially in a group by itself. For example, MIM 301 is in a separate grouping from MIM 302. A dendrogram is depicted showing each of MIMs 301-306 (i.e., A-F) in a separate grouping.
  • FIG. 3C depicts chip 300 with the 0th and 1st groupings depicted. In this exemplary embodiment, after each MIM is initially in its own group, the nearest (i.e., closest distance) pair of MIMs are then merged into a group. For example, MIMs 302 and 303 are merged into a 1st grouping and MIMs 304 and 305 are merged into another 1st grouping. The dendrogram is updated to show that MIMs 302 and 303 (i.e., B and C) are now in a group and that MIMs 304 and 305 (i.e., D and E) are now in a group.
  • FIG. 3D depicts chip 300 with the 0th, 1st, and 2nd groupings depicted. In this exemplary embodiment, after the MIMs are merged into a nearest group (i.e., 1st grouping), the MIMs are then further merged into another group based on the nearest MIMs. For example, MIMs 301, 302, and 303 are merged into a 2nd grouping and MIMs 304, 305, and 306 are merged into another 2nd grouping. Within-in class variance and distance between class centroids are good metrics to determine the quality of clustering, as the implementation of the clustering method maintains the similarity of MIMs within each cluster. The determined distance threshold between the two groups of MIMs is depicted in FIG. 3D in the block diagram. The dendrogram of FIG. 3D is updated to show that MIMs 301, 302, and 303 (i.e., A, B, and C) are grouped together and that MIMs 304, 305, and 306 (i.e., D, E, and F) are grouped together. The distance threshold is also indicated in the dendrogram, showing that distance-based groupings continue to occur, until the distance threshold is met (i.e., height of dendrogram groupings reaches height of the distance threshold).
  • FIG. 4 depicts an example of a graph depicting the calculated weighted mean for each MIM, in accordance with an illustrative embodiment of the present invention.
  • Graph 400 depicts a comparison between a worst case assert, a mean, and the calculated weighted mean for four different MIMs, MIM1, MIM2, MIM3, and MIM4. The calculated weighted mean for each of MIMs 1, 3, and 4 is closer in value to the base assert than the values of the mean and the worst case assert for MIMS 1, 3, and 4. It is demonstrated that the calculated weighted mean is able to minimize the overall variations across the MIMS, as only MIM2 has a base assert which is much larger than the calculated weighted mean.
  • The present invention may be a system, a method, and/or a computer program product. The computer program product may include a computer readable storage medium (or media) having computer readable program instructions thereon for causing a processor to carry out aspects of the present invention.
  • The computer readable storage medium can be a tangible device that can retain and store instructions for use by an instruction execution device. The computer readable storage medium may be, for example, but is not limited to, an electronic storage device, a magnetic storage device, an optical storage device, an electromagnetic storage device, a semiconductor storage device, or any suitable combination of the foregoing. A non-exhaustive list of more specific examples of the computer readable storage medium includes the following: a portable computer diskette, a hard disk, a random access memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM or Flash memory), a static random access memory (SRAM), a portable compact disc read-only memory (CD-ROM), a digital versatile disk (DVD), a memory stick, a floppy disk, a mechanically encoded device such as punch-cards or raised structures in a groove having instructions recorded thereon, and any suitable combination of the foregoing. A computer readable storage medium, as used herein, is not to be construed as being transitory signals per se, such as radio waves or other freely propagating electromagnetic waves, electromagnetic waves propagating through a waveguide or other transmission media (e.g., light pulses passing through a fiber-optic cable), or electrical signals transmitted through a wire.
  • Computer readable program instructions described herein can be downloaded to respective computing/processing devices from a computer readable storage medium or to an external computer or external storage device via a network, for example, the Internet, a local area network, a wide area network and/or a wireless network. The network may comprise copper transmission cables, optical transmission fibers, wireless transmission, routers, firewalls, switches, gateway computers and/or edge servers. A network adapter card or network interface in each computing/processing device receives computer readable program instructions from the network and forwards the computer readable program instructions for storage in a computer readable storage medium within the respective computing/processing device.
  • Computer readable program instructions for carrying out operations of the present invention may be assembler instructions, instruction-set-architecture (ISA) instructions, machine instructions, machine dependent instructions, microcode, firmware instructions, state-setting data, or either source code or object code written in any combination of one or more programming languages, including an object oriented programming language such as Smalltalk, C++ or the like, and conventional procedural programming languages, such as the “C” programming language or similar programming languages. The computer readable program instructions may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer or entirely on the remote computer or server. In the latter scenario, the remote computer may be connected to the user's computer through any type of network, including a local area network (LAN) or a wide area network (WAN), or the connection may be made to an external computer (for example, through the Internet using an Internet Service Provider). In some embodiments, electronic circuitry including, for example, programmable logic circuitry, field-programmable gate arrays (FPGA), or programmable logic arrays (PLA) may execute the computer readable program instructions by utilizing state information of the computer readable program instructions to personalize the electronic circuitry, in order to perform aspects of the present invention.
  • Aspects of the present invention are described herein with reference to flowchart illustrations and/or block diagrams of methods, apparatus (systems), and computer program products according to embodiments of the invention. It will be understood that each block of the flowchart illustrations and/or block diagrams, and combinations of blocks in the flowchart illustrations and/or block diagrams, can be implemented by computer readable program instructions.
  • These computer readable program instructions may be provided to a processor of a general purpose computer, special purpose computer, or other programmable data processing apparatus to produce a machine, such that the instructions, which execute via the processor of the computer or other programmable data processing apparatus, create means for implementing the functions/acts specified in the flowchart and/or block diagram block or blocks. These computer readable program instructions may also be stored in a computer readable storage medium that can direct a computer, a programmable data processing apparatus, and/or other devices to function in a particular manner, such that the computer readable storage medium having instructions stored therein comprises an article of manufacture including instructions which implement aspects of the function/act specified in the flowchart and/or block diagram block or blocks.
  • The computer readable program instructions may also be loaded onto a computer, other programmable data processing apparatus, or other device to cause a series of operational steps to be performed on the computer, other programmable apparatus or other device to produce a computer implemented process, such that the instructions which execute on the computer, other programmable apparatus, or other device implement the functions/acts specified in the flowchart and/or block diagram block or blocks.
  • The flowchart and block diagrams in the Figures illustrate the architecture, functionality, and operation of possible implementations of systems, methods, and computer program products according to various embodiments of the present invention. In this regard, each block in the flowchart or block diagrams may represent a module, segment, or portion of instructions, which comprises one or more executable instructions for implementing the specified logical function(s). In some alternative implementations, the functions noted in the block may occur out of the order noted in the figures. For example, two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved. It will also be noted that each block of the block diagrams and/or flowchart illustration, and combinations of blocks in the block diagrams and/or flowchart illustration, can be implemented by special purpose hardware-based systems that perform the specified functions or acts or carry out combinations of special purpose hardware and computer instructions.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the invention. The terminology used herein was chosen to best explain the principles of the embodiment, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (1)

What is claimed is:
1. A method for managing time constraints in a circuit, the method comprising:
creating an initial placement design in a circuit;
determining, by one or more processors, whether a first criteria is met, wherein the first criteria comprises a design parameter at a first predefined threshold;
in response to determining that a first criteria is met, initiating, by one or more processors, a first checkpoint procedure, wherein the first checkpoint procedure comprises a two-pass flow, in which:
a first pass grouping is based on the location of a group of macros and clustering of the group of macros is iteratively performed until a distance parameter exceeds a predetermined threshold; and
a second pass grouping is based on a time, wherein pin-list filtering is performed to generate a shortened pin list;
determining, by one or more processors, whether a second criteria is met, wherein the second criteria comprises a second predefined threshold; and
in response to determining that the second criteria is met, initiating, by one or more processors, a second checkpoint procedure, wherein the second checkpoint procedure comprises:
gathering a list of arrival times (ATs) and a list of expected time of arrival (ETA) for the group of macros;
performing pin-list filtering; and
calculating an average and a standard deviation of the list of ATs and the list of ETA, wherein the second checkpoint procedure is executed after a final placement step when a set of design parameters are within a specific threshold; and
wherein after an intermediate placement step, design iterations are performed to reach a final optimized stage, wherein the design iterations include: a detailed routability metrics evaluation, a buffering update, clocking and power related checks, and a fix-up of reliability issues.
US15/094,090 2015-08-27 2016-04-08 Timing constraints formulation for highly replicated design modules Expired - Fee Related US9576102B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US15/094,090 US9576102B1 (en) 2015-08-27 2016-04-08 Timing constraints formulation for highly replicated design modules
US15/375,607 US9703923B2 (en) 2015-08-27 2016-12-12 Timing constraints formulation for highly replicated design modules
US15/375,677 US9703924B2 (en) 2015-08-27 2016-12-12 Timing constraints formulation for highly replicated design modules

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/837,050 US10169523B2 (en) 2015-08-27 2015-08-27 Timing constraints formulation for highly replicated design modules
US15/094,090 US9576102B1 (en) 2015-08-27 2016-04-08 Timing constraints formulation for highly replicated design modules

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/837,050 Continuation US10169523B2 (en) 2015-08-27 2015-08-27 Timing constraints formulation for highly replicated design modules

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US15/375,677 Continuation US9703924B2 (en) 2015-08-27 2016-12-12 Timing constraints formulation for highly replicated design modules
US15/375,607 Continuation US9703923B2 (en) 2015-08-27 2016-12-12 Timing constraints formulation for highly replicated design modules

Publications (2)

Publication Number Publication Date
US9576102B1 US9576102B1 (en) 2017-02-21
US20170061065A1 true US20170061065A1 (en) 2017-03-02

Family

ID=58017681

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/837,050 Expired - Fee Related US10169523B2 (en) 2015-08-27 2015-08-27 Timing constraints formulation for highly replicated design modules
US15/094,090 Expired - Fee Related US9576102B1 (en) 2015-08-27 2016-04-08 Timing constraints formulation for highly replicated design modules
US15/375,607 Expired - Fee Related US9703923B2 (en) 2015-08-27 2016-12-12 Timing constraints formulation for highly replicated design modules
US15/375,677 Expired - Fee Related US9703924B2 (en) 2015-08-27 2016-12-12 Timing constraints formulation for highly replicated design modules

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/837,050 Expired - Fee Related US10169523B2 (en) 2015-08-27 2015-08-27 Timing constraints formulation for highly replicated design modules

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/375,607 Expired - Fee Related US9703923B2 (en) 2015-08-27 2016-12-12 Timing constraints formulation for highly replicated design modules
US15/375,677 Expired - Fee Related US9703924B2 (en) 2015-08-27 2016-12-12 Timing constraints formulation for highly replicated design modules

Country Status (1)

Country Link
US (4) US10169523B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10169523B2 (en) * 2015-08-27 2019-01-01 International Business Machines Corporation Timing constraints formulation for highly replicated design modules
US11080326B2 (en) 2017-12-27 2021-08-03 International Business Machines Corporation Intelligently organizing displays of medical imaging content for rapid browsing and report creation
US10522248B2 (en) 2017-12-27 2019-12-31 International Business Machines Corporation Automatic creation of imaging story boards from medical imaging studies

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05165188A (en) * 1991-12-11 1993-06-29 Sharp Corp Method for checking design rule
US5600593A (en) * 1994-12-06 1997-02-04 National Semiconductor Corporation Apparatus and method for reducing erased threshold voltage distribution in flash memory arrays
US6282506B1 (en) * 1996-02-20 2001-08-28 Matsushita Electric Industrial Co., Ltd. Method of designing semiconductor integrated circuit
JP3542138B2 (en) * 1996-11-18 2004-07-14 シーメンス アクチエンゲゼルシヤフト Computer aided method for partitioning electrical circuits.
US6310398B1 (en) * 1998-12-03 2001-10-30 Walter M. Katz Routable high-density interfaces for integrated circuit devices
US6691297B1 (en) * 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US7031889B1 (en) * 1999-03-22 2006-04-18 Hewlett-Packard Development Company, L.P. Method and apparatus for evaluating the design quality of network nodes
US6367060B1 (en) * 1999-06-18 2002-04-02 C. K. Cheng Method and apparatus for clock tree solution synthesis based on design constraints
US6665856B1 (en) * 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US6601231B2 (en) * 2001-07-10 2003-07-29 Lacour Patrick Joseph Space classification for resolution enhancement techniques
JP4929437B2 (en) * 2001-08-03 2012-05-09 富士通セミコンダクター株式会社 Integrated circuit wiring layout method
US6754877B1 (en) * 2001-12-14 2004-06-22 Sequence Design, Inc. Method for optimal driver selection
US6769103B2 (en) * 2002-07-19 2004-07-27 Micron Technology, Inc. Line width check in layout database
JP3990250B2 (en) * 2002-10-10 2007-10-10 株式会社東芝 Automatic design system and automatic design method
US6791343B2 (en) * 2002-11-27 2004-09-14 Broadcom Corporation Modeling miller effect in static timing analysis
US6910196B2 (en) * 2003-05-08 2005-06-21 Intel Corporation Clocked and non-clocked repeater insertion in a circuit design
US7251800B2 (en) * 2003-05-30 2007-07-31 Synplicity, Inc. Method and apparatus for automated circuit design
US7085856B2 (en) * 2003-06-19 2006-08-01 International Business Machines Corporation Method and system for evaluating and optimizing placement of PCI adapters in a multi-partitioned server with respect to function, performance, and availability
US7308664B1 (en) * 2004-02-09 2007-12-11 Altera Corporation Method and apparatus for utilizing long-path and short-path timing constraints in an electronic-design-automation tool for routing
JP2005242697A (en) * 2004-02-26 2005-09-08 Nec Electronics Corp Method for verifying delay time
US7124380B2 (en) 2004-03-18 2006-10-17 Hewlett-Packard Development Company, L.P. System and method for controlling analysis of multiple instantiations of circuits in hierarchical VLSI circuit designs
US7536658B2 (en) * 2004-10-29 2009-05-19 Synopsys, Inc. Power pad synthesizer for an integrated circuit design
JP4501728B2 (en) * 2005-03-08 2010-07-14 日本電気株式会社 Crosstalk error control device, crosstalk error control method, and crosstalk error control program
US8903688B1 (en) * 2005-03-31 2014-12-02 The Mathworks, Inc. Test entities for evaluation and validation of a model
JP4713962B2 (en) * 2005-06-27 2011-06-29 株式会社東芝 Pattern creating method and semiconductor device manufacturing method
US7451412B2 (en) 2005-08-04 2008-11-11 Synopsys, Inc. Speeding up timing analysis by reusing delays computed for isomorphic subcircuits
US20070245281A1 (en) 2006-04-14 2007-10-18 Riepe Michael A Placement-Driven Physical-Hierarchy Generation
US8332793B2 (en) * 2006-05-18 2012-12-11 Otrsotech, Llc Methods and systems for placement and routing
US8407650B1 (en) 2007-06-01 2013-03-26 Synopsis, Inc. Method for repeated block modification for chip routing
US7971168B1 (en) 2007-06-01 2011-06-28 Magna Design Automation, Inc. Method for repeated block timing analysis
US7844937B2 (en) * 2007-12-06 2010-11-30 Freescale Semiconductor, Inc. Method and apparatus for making a semiconductor device using hardware description having merged functional and test logic blocks
JP2009282319A (en) * 2008-05-22 2009-12-03 Toshiba Corp Pattern verification method, pattern verification system, pattern verification program, method for manufacturing mask, and method for manufacturing semiconductor device
JP5341399B2 (en) * 2008-06-03 2013-11-13 ルネサスエレクトロニクス株式会社 PATTERN VERIFICATION METHOD, PATTERN VERIFICATION DEVICE, PROGRAM, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
US8051391B2 (en) * 2008-08-04 2011-11-01 Texas Instruments Incorporated Method for layout of random via arrays in the presence of strong pitch restrictions
US8181144B2 (en) * 2008-10-14 2012-05-15 Lsi Corporation Circuit timing analysis incorporating the effects of temperature inversion
JP5297468B2 (en) * 2008-12-26 2013-09-25 ルネサスエレクトロニクス株式会社 Semiconductor integrated circuit design method and software
US7984415B1 (en) 2009-02-27 2011-07-19 Xilinx, Inc. Merging of equivalent logic blocks in a circuit design
US8201123B1 (en) * 2009-02-27 2012-06-12 Xilinx, Inc. Automatic input/output timing adjustment flow for programmable integrated circuits
US20110126052A1 (en) * 2009-11-23 2011-05-26 Bhavesh Mistry Generation of Test Information for Testing a Circuit
US8990740B2 (en) * 2009-12-01 2015-03-24 The Trustees Of Princeton University Method and system for a run-time reconfigurable computer architecture
JP5526883B2 (en) * 2010-03-12 2014-06-18 富士通株式会社 Design support program, design support apparatus, and design support method
US8448113B2 (en) 2010-04-27 2013-05-21 International Business Machines Corporation Efficiently applying a single timing assertion to multiple timing points in a circuit using creating a deffinition
US8566765B1 (en) 2010-08-30 2013-10-22 Atoptech, Inc. In-hierarchy circuit analysis and modification
US8413101B2 (en) * 2011-07-15 2013-04-02 Infineon Technologies Ag System and method for detecting parasitic thyristors in an integrated circuit
JP2013045318A (en) * 2011-08-25 2013-03-04 Renesas Electronics Corp Floor plan creation information generating method, floor plan creation information generating program, floor plan creation information generating device, floor plan optimizing method, floor plan optimizing program, and floor plan optimizing device
US8453089B2 (en) * 2011-10-03 2013-05-28 Globalfoundries Singapore Pte. Ltd. Method and apparatus for pattern adjusted timing via pattern matching
JP2013143008A (en) * 2012-01-11 2013-07-22 Toshiba Corp Design device
US8640075B2 (en) * 2012-06-01 2014-01-28 International Business Machines Corporation Early design cycle optimzation
US9779195B2 (en) * 2012-12-04 2017-10-03 The Mathworks, Inc. Model-based retiming with functional equivalence constraints
US8806407B2 (en) 2012-12-31 2014-08-12 Synopsys, Inc. Multiple-instantiated-module (MIM) aware pin assignment
US9026970B2 (en) * 2013-03-07 2015-05-05 Freescale Semiconductor, Inc. Prioritized design for manufacturing virtualization with design rule checking filtering
US9418196B2 (en) * 2013-03-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization for integrated circuit design
US8832623B1 (en) * 2013-03-15 2014-09-09 Taiwan Semiconductor Manufacturing Company Limited Universal design layout compliance
US8839171B1 (en) * 2013-03-31 2014-09-16 Atrenta, Inc. Method of global design closure at top level and driving of downstream implementation flow
US8949758B1 (en) * 2013-08-05 2015-02-03 Taiwan Semiconductor Manufacturing Company Limited Hybrid design rule for double patterning
US8984449B1 (en) * 2013-09-16 2015-03-17 Oracle International Corporation Dynamically generating jog patches for jog violations
US10192019B2 (en) * 2013-09-25 2019-01-29 Synopsys, Inc. Separation and minimum wire length constrained maze routing method and system
US8898612B1 (en) * 2013-10-30 2014-11-25 Freescale Semiconductor, Inc. System for placing dummy tiles in metal layers of integrated circuit design
US9613175B2 (en) * 2014-01-28 2017-04-04 Globalfoundries Inc. Method, computer system and computer-readable storage medium for creating a layout of an integrated circuit
US9148155B1 (en) * 2014-04-08 2015-09-29 Freescale Semiconductor, Inc. Clock distribution architecture for integrated circuit
US9235676B2 (en) * 2014-04-25 2016-01-12 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for optical proximity correction
US9760667B1 (en) * 2014-06-30 2017-09-12 Cadence Design Systems, Inc. Method, system, and computer program product for implementing prototyping and floorplanning of electronic circuit designs
US9501597B2 (en) * 2014-07-11 2016-11-22 Synopsys, Inc. Elimination of illegal states within equivalence checking
CN107743619B (en) * 2015-04-15 2021-06-18 应用材料公司 Automatic generation of test layouts for verifying DRC stacks
US9846759B2 (en) * 2015-07-30 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Global connection routing method and system for performing the same
US9501389B1 (en) * 2015-08-20 2016-11-22 International Business Machines Corporation Test machine management
US10169523B2 (en) * 2015-08-27 2019-01-01 International Business Machines Corporation Timing constraints formulation for highly replicated design modules
US9830416B2 (en) * 2016-01-20 2017-11-28 Anaglobe Technology, Inc. Method for analog circuit placement

Also Published As

Publication number Publication date
US9703924B2 (en) 2017-07-11
US9703923B2 (en) 2017-07-11
US10169523B2 (en) 2019-01-01
US20170091374A1 (en) 2017-03-30
US20170083662A1 (en) 2017-03-23
US20170061060A1 (en) 2017-03-02
US9576102B1 (en) 2017-02-21

Similar Documents

Publication Publication Date Title
US9542524B2 (en) Static timing analysis (STA) using derived boundary timing constraints for out-of-context (OOC) hierarchical entity analysis and abstraction
US9690899B2 (en) Prioritized path tracing in statistical timing analysis of integrated circuits
KR102103617B1 (en) Automatic clock tree routing rule generation
US9703924B2 (en) Timing constraints formulation for highly replicated design modules
EP3239865A1 (en) Method for analyzing ir drop and electromigration of ic
US9684751B2 (en) Slack redistribution for additional power recovery
US10325059B2 (en) Incremental common path pessimism analysis
US11036913B2 (en) Integrated circuit methods using single-pin imaginary devices
US10896283B1 (en) Noise-based optimization for integrated circuit design
US20180203968A1 (en) Placement-driven generation of error detecting structures in integrated circuits
US9747405B2 (en) Buffer chain management for alleviating routing congestion
US20200089828A1 (en) Boundary assertion-based power recovery in integrated circuit design
US11586798B1 (en) Avoiding electrostatic discharge events from cross-hierarchy tie nets
US10839122B1 (en) Automatic layer trait generation and promotion cost computation
US20170212977A1 (en) Area and/or power optimization through post-layout modification of integrated circuit (ic) design blocks
US9189583B2 (en) Look-up based buffer tree synthesis
US10762271B2 (en) Model-based refinement of the placement process in integrated circuit generation
US10565336B2 (en) Pessimism reduction in cross-talk noise determination used in integrated circuit design
US11113446B2 (en) Yield improving leaf cells optimization for semiconductor netlists
US9836571B2 (en) Applying random nets credit in an efficient static timing analysis
WO2014105861A1 (en) Look-up based buffer tree synthesis

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAVINDRANATH, CHITHRA;SAHA, SOURAV;SRINIDHI, RAJASHREE;SIGNING DATES FROM 20150608 TO 20150610;REEL/FRAME:038228/0150

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20210221