US20160056106A1 - Structure with self aligned resist layer on an interconnect surface and method of making same - Google Patents

Structure with self aligned resist layer on an interconnect surface and method of making same Download PDF

Info

Publication number
US20160056106A1
US20160056106A1 US14/932,409 US201514932409A US2016056106A1 US 20160056106 A1 US20160056106 A1 US 20160056106A1 US 201514932409 A US201514932409 A US 201514932409A US 2016056106 A1 US2016056106 A1 US 2016056106A1
Authority
US
United States
Prior art keywords
layer
interconnect structures
metal interconnect
resist layer
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/932,409
Inventor
Daniel C. Edelstein
Elbert E. Huang
Robert D. Miller
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US14/932,409 priority Critical patent/US20160056106A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MILLER, ROBERT D., EDELSTEIN, DANIEL C., HUANG, ELBERT E.
Publication of US20160056106A1 publication Critical patent/US20160056106A1/en
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

A structure is provided with a self-aligned resist layer on a surface of metal interconnects for use in forming air gaps in an insulator material and method of fabricating the same. The non-lithographic method includes applying a resist on a structure comprising at least one metal interconnect formed in an insulator material. The method further includes blanket-exposing the resist to energy and developing the resist to expose surfaces of the insulator material while protecting the metal interconnects. The method further includes forming air gaps in the insulator material by an etching process, while the metal interconnects remain protected by the resist.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to an interconnect structure and method of fabricating the same and, more particularly, to a structure with a self-aligned resist layer on a surface of the interconnects for use in forming dielectric sub-structures such as air gaps in an insulator material between the interconnects, and method of fabricating the same.
  • BACKGROUND
  • To fabricate microelectronic semiconductor devices such as an integrated circuit (IC), many different layers of metal interconnects and their surrounding insulation are deposited and patterned above the transistor layer on a silicon wafer. The insulation layers may be, for example, silicon dioxide, silicon oxynitride, fluorinated silicate glass (FSG), organosilicate glass (OSG, SiCOH), and the like. These insulation layers are deposited between the metal interconnect layers, i.e., interlevel dielectric (ILD) layers, and may act as electrical insulation therebetween.
  • The metal interconnect layers are interconnected by metallization through vias etched in the intervening insulation layers. Additionally, interconnects are provided separately within the dielectric (insulation) layers. To accomplish this, the stacked layers of metal and insulation undergo photolithographic processing to provide a pattern consistent with a predetermined IC design. By way of example, the top layer of the structure may be covered with a photo resist layer of photo-reactive polymeric material for patterning via a mask. A photolithographic process using either visible or ultraviolet light is then directed through the mask onto the photo resist layer to expose it in the mask pattern. An antireflective coating (ARC) layer may be provided at the top portion of the wafer substrate to minimize reflection of light back to the photo resist layer for more uniform processing. Regardless of the fabrication process, to maximize the integration of the device components in very large scale integration (VLSI), it is necessary to increase the density of the components.
  • Although silicon dioxide material has been used as an insulating material due to its thermal stability and mechanical strength, in recent years it has been found that better device performance may be achieved by using a lower dielectric constant material. By using a lower dielectric constant insulator material, a reduction in the capacitance of the structure can be achieved which, in turn, increases the device speed. However, use of organic low-k dielectric material such as, for example, SiCOH, tends to have lower mechanical strength than conventional dielectric materials such as, for example, silicon oxide.
  • By building a device having a low-k dielectric or a hybrid low-k dielectric stack, the large intra-level line-to-line component of wiring capacitive coupling is reduced, thus maximizing the positive benefit of the low-k material while improving the overall robustness and reliability of the finished structure. The hybrid oxide/low-k dielectric stack structure is much more robust than an “all low-k” dielectric stack, but with a concomitant increase in wiring capacitance relative to the all low-k stack. As insulator dielectric constants continue to be decreased, for example by adding porosity to the low-k material such as SiCOH, the overall dielectric mechanical strength continues to decrease as well.
  • Nonetheless, even with the lower dielectric constant materials including, for example, a hybrid oxide/low-k dielectric stack structure, there is still the possibility to improve even further the electrical properties of the device by lowering the effective K (Keff) of a multilevel structure or a K of the dielectric material by forming voided channels (conventionally referred to as “air gaps”, though they may not contain air) within the dielectric material between the interconnects and vias. The channels are vacuum filled and have a dielectric constant of about 1.0, and represent a dielectric sub-structure between the metal interconnects in the IC. By using such channels, the Keff of a higher dielectric constant insulator may be lowered significantly without reducing its mechanical strength by nearly as much.
  • There may be additional reasons for creating other types of dielectric sub-structures between the metal interconnects. For example, porous ultralow-k insulator surfaces may need to be strengthened or repaired after chemical-mechanical polishing or plasma precleans prior to cap depositions, to improve their time dependent dielectric breakdown (TDDB) reliability. Similar to the air gap process, this might require sub-lithographic patterning to define regions where sub-structure processes are effective.
  • In known systems, sub-resolution lithography processes have been used to create such channels. This typically consists of new manufacturing processes and tool sets which add to the overall cost of the fabrication of the semiconductor device. Also, in sub-resolution lithography processes, it is necessary to etch wide troughs in empty spaces which, in turn, cannot be pinched off by ILD PECVD deposition. Additionally, although the channels create low line-line capacitance, there remains a high level-level capacitance for wide lines. This, of course, affects the overall electrical properties of the device. Also, air gaps can occur near the vias from a higher level which creates the risk of plating bath or metal fill at these areas. Lastly, in known processes, there is also the requirement of providing an isotropic etch which may etch underneath the interconnect thus leaving it unsupported or floating and, thus degrading the entire structural and electrical performance of the device.
  • Accordingly, there exists a need in the art to overcome the deficiencies and limitations described hereinabove.
  • SUMMARY
  • In a first aspect of the invention a non-lithographic method comprises applying a resist on a structure comprising at least one of interconnects formed in an insulator material. The method further includes blanket-exposing the resist to energy and developing the resist to expose surfaces of the insulator material while protecting the interconnects. The method further includes forming air gaps in the insulator material by an etching process, while the interconnects remain protected by the resist.
  • In another aspect of the invention, a non-lithographic method comprises forming interconnect structures in a dielectric layer; forming a layer of negative tone resist on exposed surfaces of the interconnect structures and the dielectric layer; blanket-exposing the negative tone resist to energy; developing the negative tone resist to expose the surface of the dielectric layer while maintaining a protective layer on the surface of the interconnect structures; etching air gaps into the dielectric layer, while protecting the interconnect structures; and removing the negative tone resist from the interconnect structures.
  • In another aspect of the invention, an intermediate structure comprises a dielectric layer having air gaps between interconnect structures. The structure also includes a layer of negative tone spin-on organic polymer having a photoacid generator on exposed surfaces of the interconnect structures. The photoacid generator leads to the negative tone spin-on organic polymer being selectively removed over the dielectric layer after exposure to energy. A capping material pinches off a perforated hard mask layer over the polymer layer.
  • In yet another aspect of the invention, a structure comprises a dielectric layer having gaps between metal interconnect structures and a layer of polymer having a photoacid generator on exposed surfaces of the metal interconnect structures. The structure also includes a pinched off perforated hard mask layer over the polymer layer.
  • BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • The present invention is described in the detailed description which follows, in reference to the noted plurality of drawings by way of non-limiting examples of exemplary embodiments of the present invention, in which:
  • FIGS. 1-5 show intermediate structures and respective fabrication processes in accordance with a first aspect of the invention;
  • FIG. 6 shows a final structure and accompanying fabrication processes in accordance with the first aspect of the invention;
  • FIGS. 7-11 show intermediate structures and respective fabrication processes in accordance with a second aspect of the invention; and
  • FIG. 12 shows a final structure and accompanying fabrication processes in accordance with the second aspect of the invention.
  • DETAILED DESCRIPTION
  • The present invention relates generally to an interconnect structure and method of fabricating the same and, more particularly, to a structure with a self-aligned resist layer on a surface of the interconnects for use in forming air gaps in an insulator material and method of fabricating the same. In implementing the fabrication processes and structures in accordance with the invention, a self-aligned resist material is deposited on interconnects and/or other wires within the structure. The self-aligned resist material protects the interconnects during the formation of air gaps within the insulator material. The air gaps effectively increase the insulative properties of the insulator material.
  • First Aspect of the Invention
  • FIGS. 1-5 show intermediate structures and respective fabrication processes in accordance with a first aspect of the invention. In particular, FIG. 1 shows a structure comprising interconnects (generally depicted as reference numeral 12) embedded within a dielectric (insulator) layer 10. In embodiments, the dielectric material 10 is SiO2, low-k SiCOH, ultralow-k porous SiCOH, or other dielectric material. In optional embodiments, a hard dielectric layer (hard mask) 15 may be deposited and polished on the insulator material 10.
  • In embodiments, the interconnects 12 may be copper, lined with another metal 14 such as, for example, TaN or Ta. The interconnects 12 may be formed using conventional dual damascene fabrication processes such that further explanation is not required herein for an understanding of the invention.
  • In FIG. 2, a capping resist layer 16 is applied to the structure of FIG. 1. In particular, the capping resist layer 16 may be a spin-on self-aligning resist layer such as, for example, an organic polymer. In embodiments, the capping resist layer 16 is a class of organic photoresist materials containing iodonium salts, which possesses a Cu-catalyzed decomposition property.
  • In more particular embodiments, the capping resist layer 16 functions as a negative tone non-lithographic selective cap. Non-lithographic is required for absolute alignment, in the presence of overlay and dimensional control variations, such that the interconnects 12 remain capped and the surfaces of the hard mask 15 are exposed in subsequent processes. In embodiments, the entire surface of all the interconnects 12 remain capped in order to protect the interconnects during the formation of subsequent air gaps in the insulator layer 10. The capping resist layer 16 may range in thickness from about 20 nm to 500 nm.
  • In more particular embodiments, the organic photoresist materials can include a photoacid generator (PAG) which can turn a material into either a negative or positive variety. In the embodiment described herein, the PAG leads to the capping resist layer 16 being selectively removed over the insulator material 10 after exposure to energy. For such resist systems, the decomposition of the iodonium sensitizer produces acid. This acid is used to activate the resist upon thermal heating which, in turn, prevents development of the resist. The most common reaction would be cleavage of a t-butyl ester to produce a carboxlyic acid, which would be soluble in base. Alternatively a material like S-cap would liberate the free phenolic group, also soluble in base.
  • As shown in FIG. 3, the capping resist layer 16 is exposed to energy (e.g., thermal, optical, e-beam) to induce an activation of the capping resist layer 16 by acid generation, ring-breaking, or other mechanism. For example, the capping resist layer 16 can be flood-exposed to UV or DUV optical radiation. This will polymerize the capping resist layer 16 over the Cu regions so that it can be developed off of the insulator regions 10. In the case of PAG, mild heating will induce the self-aligned catalyzed activation. The amount of heat needed depends on the protecting group, as should be understood by those of skill in the art.
  • In FIG. 4, the capping resist layer 16 is developed and, if necessary, baked, using conventional processes. This results in the capping resist layer 16 selectively being removed from the exposed surface of the insulator layer 10 and remaining on the metal surfaces of the interconnects 12. The capping resist layer 16 protects the interconnects 12 during subsequent etching processes.
  • In FIG. 5, perforations 17 are etched in the hard mask 15. To form the perforations, for example, a block copolymer nanotemplate can be formed over the hard mask 15. The block copolymer nanotemplate is a thin layer having features smaller than the minimal resolution features, e.g., the features of the block copolymer nanotemplate are smaller than the spacing between the interconnects 12. The block copolymer nanotemplate may be a material that self assembles into substantially uniformly shaped and spaced holes or features. For example, the block copolymer nanotemplate may be a self-assembled monolayer templated porous or permeable film. The block copolymer nanotemplate may be e-beam, “uv” or thermally cured. An etching process is then performed to produce perforations in the hard mask 15. Further etching results in the formation of air gaps 20 in the insulator material. (See, for example, U.S. Publication No. 2008/0026541, the contents of which are incorporated by reference herein in its entirety.)
  • In embodiments, air gaps 20 can be formed directly in the insulator layer 10 without the need for a hard mask. The air gaps 20 can be formed using any conventional etching process such as, for example, a RIE.
  • FIG. 6 shows a final structure and respective fabrication processes in accordance with the invention. As shown in FIG. 6, the capping resist layer 16 is removed by a solvent or ashing process, e.g., stripping or lifting off the capping resist layer 16. A capping layer 22 is deposited on the structure, which pinches off the perforations formed in the hard mask 15. In embodiments, the capping layer 22 is a SiCNH cap.
  • Second Aspect of the Invention
  • FIGS. 7-11 show intermediate structures and respective fabric fabrication processes in accordance with a second aspect of the invention. In particular, FIG. 7 shows a structure comprising interconnects 12 embedded within a dielectric (insulator) layer 10. In embodiments, the dielectric material 10 is SiO2 or low-k SiCOH dielectric material. In embodiments, the interconnects 12 may be copper lined with another metal 14 such as, for example, TaN or Ta. The interconnects 12 may be formed using conventional dual damascene fabrication processes. In embodiments, a hard dielectric layer (hard mask) 15 may be deposited and polished on the insulator material 10.
  • In FIG. 7, a diffuse poisoning agent 20 is applied to the structure and preferentially the interconnects 12. In embodiments, the poisoning agent may be, for example, NH3 or an amine gas or plasma. In embodiments, the poisoning agent 20 is designed to remain on the exposed metal surfaces of the interconnects 12 to ensure that a subsequently applied resist layer remains on the surface of the interconnects 12 when exposed to, for example, UV. In embodiments, the poisoning agent 20 is applied as NH3, N2/H2 (forming gas), or other amine-producing gas or plasma to wafers at room temperature or elevated temperature up to approximately 400° C. in a vacuum chamber. For example, typical PECVD chambers with standard NH3/N2 plasma preclean capability may be used.
  • In FIG. 8, the capping resist layer 16 is applied to the structure of FIG. 7. In particular, the capping resist layer 16 may be a spin-on self-aligning resist layer such as, for example, an organic polymer. In embodiments, the capping resist layer 16 is a class of organic photoresist materials containing iodonium salts. In embodiments, the capping resist layer 16 possesses a catalyzed decomposition property. In more particular embodiments, the capping resist layer 16 is a negative tone poison non-lithographic selective cap. The capping resist layer 16 may range in thickness from about 20 nm to 500 nm.
  • As shown in FIG. 9, the capping resist layer 16 is exposed to energy (e.g., thermal, optical, e-beam) to induce a self-aligned catalyzed activation of the capping resist layer 16 by acid generation, ring-breaking, or other mechanism. For example, the capping resist layer 16 can be flood-exposed to UV or DUV optical radiation. This will induce self-aligned poisoning of the capping resist layer 16, e.g., cause a chemical reaction of the poisoning on the exposed surface of the metal interconnect 12. Typical exposures could be 100 C thermal bake for several minutes, or blanket DUV flood exposure at 193 nm wavelength at a dose of ˜20 to ˜100 mJ/cm2 (I need to check these numbers). In embodiments, in this process, the entire surface of insulator layer 10 is exposed; whereas, the interconnects 12 will remain capped to thereby protect the interconnects 12 during subsequent etching processes.
  • In FIG. 10, the capping resist layer 16 is developed and, if necessary, baked, using conventional processes. This results in the capping resist layer 16 being selectively removed from the surface of the insulator layer 10 and remaining on the metal surfaces of the interconnects 12.
  • In FIG. 11, perforations 17 are etched in the hard mask 15 as discussed above. Also, air gaps 20 are formed in the insulator material 10.
  • FIG. 12 shows a final structure and respective fabrication processes in accordance with the invention. As shown in FIG. 12, the capping resist layer 16 is removed by a solvent or ashing process, e.g., stripping or lifting off the capping resist layer 16. A capping layer 22 is deposited on the structure, which pinches off the perforations formed in the hard mask 15. In embodiments, the capping layer 22 is a SiCNH cap.
  • The methods and structures as described above are used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with the structures of the invention) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
  • The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below, if applicable, are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed. The description of the present invention has been presented for purposes of illustration and description, but is not intended to be exhaustive or limited to the invention in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the invention. The embodiments were chosen and described in order to best explain the principles of the invention and the practical application, and to enable others of ordinary skill in the art to understand the invention for various embodiments with various modifications as are suited to the particular use contemplated. Accordingly, while the invention has been described in terms of embodiments, those of skill in the art will recognize that the invention can be practiced with modifications and in the spirit and scope of the appended claims.

Claims (12)

What is claimed is:
1. A structure, comprising:
a dielectric layer having gaps between metal interconnect structures formed in the dielectric layer;
a hard mask layer, including perforations, formed over the gaps between the metal interconnect structures; and
a capping layer formed over the hard mask layer, including over the perforations,
wherein an upper surface of the hard mask layer is substantially coplanar with upper surfaces of the metal interconnect structures.
2. The structure of claim 1, wherein:
the gaps are air gaps; and
the dielectric material is SiO2, low-k SiCOH, or ultralow-k porous SiCOH.
3. The structure of claim 2, wherein:
the metal interconnect structures are copper;
the copper is lined with TaN or Ta; and
the perforations are smaller than a spacing between adjacent metal interconnect structures.
4. The structure of claim 3, wherein the air gaps are formed below perforations of the perforated hard mask and are completely covered by the perforated hard mask.
5. The structure of claim 4, wherein the capping layer is provided over the upper surfaces of the metal interconnect structures.
6. The structure of claim 5, wherein the capping layer is a SiCNH cap.
7. The structure of claim 6, wherein the air gaps extend from an upper surface of the dielectric layer into, but not completely through, the dielectric layer.
8. The structure of claim 7, wherein at least one of the metal interconnect structures extends completely through the dielectric layer.
9. The structure of claim 1, wherein a poisoning agent is formed on the upper surfaces of the metal interconnect structures.
10. The structure of claim 9, wherein in the poisoning agent is NH3, or an amine, or a plasma.
11. The structure of claim 1, wherein capping layer completely covers the perforations in the hard mask layer.
12. The structure of claim 11, wherein a poisoning agent is formed on the upper surfaces of the metal interconnect structures.
US14/932,409 2009-01-20 2015-11-04 Structure with self aligned resist layer on an interconnect surface and method of making same Abandoned US20160056106A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/932,409 US20160056106A1 (en) 2009-01-20 2015-11-04 Structure with self aligned resist layer on an interconnect surface and method of making same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/356,105 US8227336B2 (en) 2009-01-20 2009-01-20 Structure with self aligned resist layer on an interconnect surface and method of making same
US13/418,818 US8461678B2 (en) 2009-01-20 2012-03-13 Structure with self aligned resist layer on an interconnect surface and method of making same
US13/826,936 US9202863B2 (en) 2009-01-20 2013-03-14 Structure with self aligned resist layer on an interconnect surface and method of making same
US14/932,409 US20160056106A1 (en) 2009-01-20 2015-11-04 Structure with self aligned resist layer on an interconnect surface and method of making same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/826,936 Division US9202863B2 (en) 2009-01-20 2013-03-14 Structure with self aligned resist layer on an interconnect surface and method of making same

Publications (1)

Publication Number Publication Date
US20160056106A1 true US20160056106A1 (en) 2016-02-25

Family

ID=42336274

Family Applications (4)

Application Number Title Priority Date Filing Date
US12/356,105 Expired - Fee Related US8227336B2 (en) 2009-01-20 2009-01-20 Structure with self aligned resist layer on an interconnect surface and method of making same
US13/418,818 Active US8461678B2 (en) 2009-01-20 2012-03-13 Structure with self aligned resist layer on an interconnect surface and method of making same
US13/826,936 Expired - Fee Related US9202863B2 (en) 2009-01-20 2013-03-14 Structure with self aligned resist layer on an interconnect surface and method of making same
US14/932,409 Abandoned US20160056106A1 (en) 2009-01-20 2015-11-04 Structure with self aligned resist layer on an interconnect surface and method of making same

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US12/356,105 Expired - Fee Related US8227336B2 (en) 2009-01-20 2009-01-20 Structure with self aligned resist layer on an interconnect surface and method of making same
US13/418,818 Active US8461678B2 (en) 2009-01-20 2012-03-13 Structure with self aligned resist layer on an interconnect surface and method of making same
US13/826,936 Expired - Fee Related US9202863B2 (en) 2009-01-20 2013-03-14 Structure with self aligned resist layer on an interconnect surface and method of making same

Country Status (1)

Country Link
US (4) US8227336B2 (en)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US8227336B2 (en) * 2009-01-20 2012-07-24 International Business Machines Corporation Structure with self aligned resist layer on an interconnect surface and method of making same
US9018097B2 (en) * 2012-10-10 2015-04-28 International Business Machines Corporation Semiconductor device processing with reduced wiring puddle formation
US9153479B2 (en) * 2013-03-11 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of preventing a pattern collapse
US9214429B2 (en) * 2013-12-05 2015-12-15 Stmicroelectronics, Inc. Trench interconnect having reduced fringe capacitance
US20150162277A1 (en) 2013-12-05 2015-06-11 International Business Machines Corporation Advanced interconnect with air gap
US9269668B2 (en) 2014-07-17 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect having air gaps and polymer wrapped conductive lines
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US9881870B2 (en) * 2015-12-30 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9991156B2 (en) 2016-06-03 2018-06-05 International Business Machines Corporation Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
US9786554B1 (en) 2016-06-08 2017-10-10 International Business Machines Corporation Self aligned conductive lines
US9852946B1 (en) 2016-06-08 2017-12-26 International Business Machines Corporation Self aligned conductive lines
US9773700B1 (en) 2016-06-08 2017-09-26 International Business Machines Corporation Aligning conductive vias with trenches
US20170365504A1 (en) 2016-06-20 2017-12-21 Globalfoundries Inc. Forming air gap
US9607886B1 (en) 2016-06-30 2017-03-28 International Business Machines Corporation Self aligned conductive lines with relaxed overlay
WO2018152211A1 (en) * 2017-02-14 2018-08-23 Rolls-Royce Corporation Material property capacitance sensor
US20220328346A1 (en) * 2021-04-13 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Cavity in metal interconnect structure
US20230068892A1 (en) * 2021-08-26 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier & air-gap scheme for high performance interconnects
US11923306B2 (en) * 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having air gaps and method for manufacturing the same
US11923243B2 (en) * 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having air gaps and method for manufacturing the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020145200A1 (en) * 2001-04-05 2002-10-10 International Business Machines Corporation Spin-on cap layer, and semiconductor device containing same
US6875685B1 (en) * 2003-10-24 2005-04-05 International Business Machines Corporation Method of forming gas dielectric with support structure
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US20060073695A1 (en) * 2004-09-30 2006-04-06 International Business Machines Corporation Gas dielectric structure forming methods
US20060099785A1 (en) * 2004-11-10 2006-05-11 International Busines Machines Corporation De-fluorination after via etch to preserve passivation
US20060281295A1 (en) * 2005-06-13 2006-12-14 Markus Naujok Methods of manufacturing semiconductor devices and structures thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4770974A (en) * 1986-09-18 1988-09-13 International Business Machines Corporation Microlithographic resist containing poly(1,1-dialkylsilazane)
JP2600897B2 (en) * 1989-04-03 1997-04-16 凸版印刷株式会社 Positive resist composition and pattern forming method
JP3613491B2 (en) 1996-06-04 2005-01-26 富士写真フイルム株式会社 Photosensitive composition
US6642147B2 (en) * 2001-08-23 2003-11-04 International Business Machines Corporation Method of making thermally stable planarizing films
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US7041748B2 (en) * 2003-01-08 2006-05-09 International Business Machines Corporation Patternable low dielectric constant materials and their use in ULSI interconnection
US20040150096A1 (en) * 2003-02-03 2004-08-05 International Business Machines Corporation Capping coating for 3D integration applications
JP3992654B2 (en) * 2003-06-26 2007-10-17 沖電気工業株式会社 Manufacturing method of semiconductor device
US7378738B2 (en) 2003-09-02 2008-05-27 International Business Machines Corporation Method for producing self-aligned mask, articles produced by same and composition for same
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7517637B2 (en) * 2004-03-19 2009-04-14 International Business Machines Corporation Method of producing self-aligned mask in conjunction with blocking mask, articles produced by same and composition for same
US7348280B2 (en) * 2005-11-03 2008-03-25 International Business Machines Corporation Method for fabricating and BEOL interconnect structures with simultaneous formation of high-k and low-k dielectric regions
US8227336B2 (en) * 2009-01-20 2012-07-24 International Business Machines Corporation Structure with self aligned resist layer on an interconnect surface and method of making same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020145200A1 (en) * 2001-04-05 2002-10-10 International Business Machines Corporation Spin-on cap layer, and semiconductor device containing same
US6875685B1 (en) * 2003-10-24 2005-04-05 International Business Machines Corporation Method of forming gas dielectric with support structure
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US20060073695A1 (en) * 2004-09-30 2006-04-06 International Business Machines Corporation Gas dielectric structure forming methods
US20060099785A1 (en) * 2004-11-10 2006-05-11 International Busines Machines Corporation De-fluorination after via etch to preserve passivation
US20060281295A1 (en) * 2005-06-13 2006-12-14 Markus Naujok Methods of manufacturing semiconductor devices and structures thereof

Also Published As

Publication number Publication date
US8227336B2 (en) 2012-07-24
US9202863B2 (en) 2015-12-01
US8461678B2 (en) 2013-06-11
US20120168953A1 (en) 2012-07-05
US20100181678A1 (en) 2010-07-22
US20130193551A1 (en) 2013-08-01

Similar Documents

Publication Publication Date Title
US9202863B2 (en) Structure with self aligned resist layer on an interconnect surface and method of making same
US8343868B2 (en) Device and methodology for reducing effective dielectric constant in semiconductor devices
KR100745986B1 (en) Fabrication method of dual damascene interconnections of microelectronics device using filler having porogen
US6030901A (en) Photoresist stripping without degrading low dielectric constant materials
US8298943B1 (en) Self aligning via patterning
KR100690881B1 (en) Fabrication method of dual damascene interconnections of microelectronics and microelectronics having dual damascene interconnections fabricated thereby
US7544623B2 (en) Method for fabricating a contact hole
JP3501280B2 (en) Manufacturing method of semiconductor device
US7012022B2 (en) Self-patterning of photo-active dielectric materials for interconnect isolation
US20080026541A1 (en) Air-gap interconnect structures with selective cap
KR100571417B1 (en) Dual damascene wiring of semiconductor device and manufacturing method thereof
US6903461B2 (en) Semiconductor device having a region of a material which is vaporized upon exposing to ultraviolet radiation
US20130178068A1 (en) Dual damascene process and apparatus
US6713386B1 (en) Method of preventing resist poisoning in dual damascene structures
KR100465057B1 (en) Method of forming a dual damascene pattern in a semiconductor device
US6716741B2 (en) Method of patterning dielectric layer with low dielectric constant
US7125782B2 (en) Air gaps between conductive lines for reduced RC delay of integrated circuits
US6693355B1 (en) Method of manufacturing a semiconductor device with an air gap formed using a photosensitive material
US6660645B1 (en) Process for etching an organic dielectric using a silyated photoresist mask
KR100571406B1 (en) Method for manufacturing metal wiring of semiconductor device
TW508741B (en) Method for manufacturing dual damascene structure
JP2004335745A (en) Method of manufacturing semiconductor device
JP2006041054A (en) Ashing processing method and substrate processing method

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:EDELSTEIN, DANIEL C.;HUANG, ELBERT E.;MILLER, ROBERT D.;SIGNING DATES FROM 20151022 TO 20151030;REEL/FRAME:036961/0520

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117