US20150184298A1 - Methods and Apparatus for Combinatorial PECVD or PEALD - Google Patents

Methods and Apparatus for Combinatorial PECVD or PEALD Download PDF

Info

Publication number
US20150184298A1
US20150184298A1 US14/660,772 US201514660772A US2015184298A1 US 20150184298 A1 US20150184298 A1 US 20150184298A1 US 201514660772 A US201514660772 A US 201514660772A US 2015184298 A1 US2015184298 A1 US 2015184298A1
Authority
US
United States
Prior art keywords
substrate
plasma
chamber
site
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/660,772
Inventor
Shouqian Shao
Chi-I Lang
Jingang Su
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Priority to US14/660,772 priority Critical patent/US20150184298A1/en
Publication of US20150184298A1 publication Critical patent/US20150184298A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Definitions

  • the present disclosure relates generally to methods and apparatuses for processing using a plasma source for surface treatment and layer formation.
  • Plasmas are widely used for a variety of treatment and layer deposition tasks in semiconductor fabrication and other thin film applications. These applications include subtractive processes such as wafer precleaning, contaminant removal, native oxide removal, photoresist removal, plasma etching, as well as treatment processes such as oxidation, nitridation, or hydridation of a layer both during and after formation, and deposition process such as plasma enhanced chemical vapor deposition (PECVD) or plasma enhanced atomic layer deposition (PEALD).
  • PECVD plasma enhanced chemical vapor deposition
  • PEALD plasma enhanced atomic layer deposition
  • Remote plasma sources are frequently used, where the plasma is located at some distance from the surface to be treated or substrate on which a layer is being formed. The distance allows some filtering of the charged particles in the plasma. For example, the density of electrons and ions can be adjusted or removed from the generated plasma.
  • Direct plasma sources are also used where the substrate is exposed to the plasma.
  • the apparatus includes an outer chamber, a plasma source, and an inner chamber enclosing a substrate support.
  • the inner chamber has a top surface parallel to the surface of the substrate support, a bottom surface parallel to the top surface and disposed below the substrate support, and one or more side walls.
  • An aperture is disposed in the top surface of the inner chamber and is operable to provide site-isolated exposure of plasma from the plasma source to a site-isolated region on a substrate.
  • the aperture is disposed between the plasma source and the substrate and has an area less than that of the substrate.
  • a barrier can be positioned near the edges of the aperture, extending down from the top surface of the inner chamber toward the substrate, and separated from the substrate by a small gap, typically less than about 0.5 mm.
  • the barrier can define the boundaries of the site-isolated region on the substrate.
  • a gas purge system can be provided from a plurality of flow outlets at the edge of the substrate support. The gas purge system can provide a gas flow into the site-isolated region through the small gap and out of the site-isolated region through the aperture.
  • a substrate heater can be mounted in the substrate support.
  • a transport system moves the substrate support and is capable of positioning the substrate such that the site-isolated region can be located anywhere on the substrate.
  • Methods of depositing materials on a plurality of site-isolated regions using PECVD or PEALD comprise depositing material using PECVD or PEALD on a first site-isolated region of a surface under a first set of process parameters, depositing material using PECVD or PEALD on a second site-isolated region of the surface of the substrate under a second set of process parameters, and varying the first set and second set of process parameters in a combinatorial manner.
  • the first set and second set of process parameters include one or more of source gases for the plasma generator, plasma filtering parameters, exposure time, gas flow rate, frequency, plasma generator power, plasma generation method, chamber pressure, substrate temperature, distance between plasma source and substrate, substrate bias voltage, or combinations thereof.
  • the depositing can be controlled by an aperture in a plate disposed between the plasma source and the substrate.
  • the aperture has an area less than that of the substrate.
  • the depositing can be further controlled by placing a barrier near the edges of the aperture, wherein the barrier extends from the aperture plate to the substrate surface and is separated by a small gap from the substrate surface.
  • the depositing can also be controlled by a gas purge system that provides a flow of gas into the site-isolated region through the small gap and out of the site-isolated region through the aperture.
  • the method can be extended by depositing material using PECVD or PEALD on a third site-isolated region of the substrate under a third set of process parameters, and more generally, by depositing material using PECVD or PEALD on a plurality of site-isolated regions of the substrate under a plurality of different sets of process parameters.
  • the substrate can be analyzed to evaluate the effect of the different process parameters on the substrate.
  • Plasma deposition process parameters can be varied in a combinatorial manner.
  • Plasma deposition process parameters include one or more of source gases for the plasma generator, plasma filtering parameters, exposure time, gas flow rate, frequency, plasma generator power, plasma generation method, chamber pressure, substrate temperature, distance between plasma source and substrate, substrate bias voltage, or combinations thereof.
  • FIG. 1 illustrates a schematic diagram for implementing combinatorial processing and evaluation.
  • FIG. 2 presents a schematic diagram for illustrating various process sequences using combinatorial processing and evaluation.
  • FIG. 3 illustrates a processing system enabling combinatorial processing.
  • FIG. 4 illustrates an apparatus enabling combinatorial processing using PECVD or PEALD.
  • FIG. 5 illustrates an apparatus enabling combinatorial processing using PECVD or PEALD.
  • FIG. 6 presents a flow chart illustrating the steps of methods according to some embodiments.
  • site-isolated refers to providing distinct processing conditions, such as controlled temperature, flow rates, chamber pressure, processing time, plasma composition, and plasma energies.
  • Site isolation may provide complete isolation between regions or relative isolation between regions.
  • the relative isolation is sufficient to provide a control over processing conditions within ⁇ 10%, within ⁇ 5%, within ⁇ 2%, within ⁇ 1%, or within ⁇ 0.1% of the target conditions. Where one region is processed at a time, adjacent regions are generally protected from any exposure that would alter the substrate surface in a measurable way.
  • site-isolated region is used herein to refer to a localized area on a substrate which is, was, or is intended to be used for processing or formation of a selected material.
  • the region can include one region and/or a series of regular or periodic regions predefined on the substrate.
  • the region may have any convenient shape, e.g., circular, rectangular, elliptical, wedge-shaped, etc.
  • a region may be, for example, a test structure, single die, multiple dies, portion of a die, other defined portion of substrate, or an undefined area of a substrate, e.g., blanket substrate which is defined through the processing.
  • substrate may refer to any workpiece on which formation or treatment of material layers is desired.
  • Substrates may include, without limitation, silicon, silica, sapphire, zinc oxide, SiC, AlN, GaN, Spinel, coated silicon, silicon on oxide, silicon carbide on oxide, glass, gallium nitride, indium nitride and aluminum nitride, and combinations (or alloys) thereof.
  • substrate or “wafer” may be used interchangeably herein. Semiconductor wafer shapes and sizes can vary and include commonly used round wafers of 2′′, 4′′, 200 mm, or 300 mm in diameter.
  • remote plasma source refers to a plasma (e.g., an rf or microwave generated plasma) located at a distance from a deposition or treatment location sufficient to allow some filtering of the plasma components.
  • a plasma e.g., an rf or microwave generated plasma
  • the density of ions and electrons can be adjusted by distance, and electrons and ions can also be filtered out using suitable electrode configurations, such as a grounded metal showerhead so that only atomic or molecular radicals reach the substrate.
  • HPC processing techniques have been successfully adapted to wet chemical processing such as etching and cleaning. HPC processing techniques have also been successfully adapted to deposition processes such as physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD).
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • Embodiments of the present invention provide a system for systematic exploration of plasma treatment process variables in a combinatorial manner with the possibility of performing many variations on a single substrate.
  • the combinatorial processing permits a single substrate to be systematically explored using different plasma processing conditions, and reduces or eliminates variables that interfere with research quality.
  • the apparatuses and methods disclosed herein permit the systematic exploration of plasma treatments on a single substrate using combinatorial methods, and removes the run to run variability and inconsistencies between substrates that hamper research and optimization of process variables.
  • FIG. 1 illustrates a schematic diagram, 100 , for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening.
  • the schematic diagram, 100 illustrates that the relative number of combinatorial processes run with a group of substrates decreases as certain materials and/or processes are selected.
  • combinatorial processing includes performing a large number of processes during a primary screen, selecting promising candidates from those processes, performing the selected processing during a secondary screen, selecting promising candidates from the secondary screen for a tertiary screen, and so on.
  • feedback from later stages to earlier stages can be used to refine the success criteria and provide better screening results.
  • Materials discovery stage, 102 is also known as a primary screening stage performed using primary screening techniques.
  • Primary screening techniques may include dividing substrates into coupons and depositing materials using varied processes.
  • the materials are then evaluated, and promising candidates are advanced to the secondary screen, or materials and process development stage, 104 . Evaluation of the materials is performed using metrology tools such as electronic testers and imaging tools (i.e., microscopes).
  • the materials and process development stage, 104 may evaluate hundreds of materials (i.e., a magnitude smaller than the primary stage) and may focus on the processes used to deposit or develop those materials. Promising materials and processes are again selected, and advanced to the tertiary screen or process integration stage, 106 , where tens of materials and/or processes and combinations are evaluated.
  • the tertiary screen or process integration stage, 106 may focus on integrating the selected processes and materials with other processes and materials.
  • the most promising materials and processes from the tertiary screen are advanced to device qualification, 108 .
  • device qualification the materials and processes selected are evaluated for high volume manufacturing, which normally is conducted on full substrates within production tools, but need not be conducted in such a manner. The results are evaluated to determine the efficacy of the selected materials and processes. If successful, the use of the screened materials and processes can proceed to pilot manufacturing, 110 .
  • the schematic diagram, 100 is an example of various techniques that may be used to evaluate and select materials and processes for the development of new materials and processes.
  • the descriptions of primary, secondary, etc. screening and the various stages, 102 - 110 are arbitrary and the stages may overlap, occur out of sequence, be described and be performed in many other ways.
  • the composition or thickness of the layers or structures or the actions is substantially uniform through each discrete region.
  • different materials or processes may be used for corresponding layers or steps in the formation of a structure in different regions of the substrate during the combinatorial processing
  • the application of each layer or use of a given process is substantially consistent or uniform throughout the different regions in which it is intentionally applied.
  • the processing is uniform within a region (inter-region uniformity) and between regions (intra-region uniformity), as desired.
  • the process can be varied between regions, for example, where a thickness of a layer is varied or a material may be varied between the regions, etc., as desired by the design of the experiment.
  • the result is a series of regions on the substrate that contain structures or unit process sequences that have been uniformly applied within that region and, as applicable, across different regions.
  • This process uniformity allows comparison of the properties within and across the different regions such that the variations in test results are due to the varied parameter (e.g., materials, unit processes, unit process parameters, hardware details, or process sequences) and not the lack of process uniformity.
  • the positions of the discrete regions on the substrate can be defined as needed, but are preferably systematized for ease of tooling and design of experimentation.
  • the number, variants and location of structures within each region are designed to enable valid statistical analysis of the test results within each region and across regions to be performed.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site-isolated processing and/or conventional processing in accordance with one embodiment of the invention.
  • the substrate is initially processed using conventional process N.
  • the substrate is then processed using site-isolated process N+1.
  • an HPC module may be used, such as the HPC module described in U.S. patent application Ser. No. 11/352,077 filed on Feb. 10, 2006.
  • the substrate can then be processed using site-isolated process N+2, and thereafter processed using conventional process N+3. Testing is performed and the results are evaluated.
  • the testing can include physical, chemical, acoustic, magnetic, electrical, optical, etc. tests.
  • a particular process from the various site-isolated processes may be selected and fixed so that additional combinatorial process sequence integration may be performed using site-isolated processing for either process N or N+3.
  • a next process sequence can include processing the substrate using site-isolated process N, conventional processing for processes N+1, N+2, and N+3, with testing performed thereafter.
  • the combinatorial process sequence integration can be applied to any desired segments and/or portions of an overall process flow. Characterization, including physical, chemical, acoustic, magnetic, electrical, optical, etc. testing, can be performed after each process operation, and/or series of process operations within the process flow as desired. The feedback provided by the testing is used to select certain materials, processes, process conditions, and process sequences and eliminate others. Furthermore, the above process flows can be applied to entire monolithic substrates, or portions of the monolithic substrates.
  • a processing material delivered to a first and second region can be the same or different. If the processing material delivered to the first region is the same as the processing material delivered to the second region, this processing material can be offered to the first and second regions on the substrate at different concentrations. In addition, the material can be deposited under different processing parameters.
  • Parameters which can be varied include, but are not limited to, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, atmospheres in which the processes are conducted, the order in which materials are deposited, hardware details of the gas distribution assembly, etc. It should be appreciated that these process parameters are exemplary and not meant to be an exhaustive list as other process parameters commonly used with plasma exposure systems may be varied.
  • the process conditions are substantially uniform, in contrast to gradient processing techniques which rely on the inherent non-uniformity of the material deposition. That is, the embodiments, described herein locally perform the processing in a conventional manner, e.g., substantially consistent and substantially uniform, while globally over the substrate, the materials, processes, and process sequences may vary. Thus, the testing will find optimums without interference from process variation differences between processes that are meant to be the same. It should be appreciated that a region may be adjacent to another region in one embodiment or the regions may be isolated and, therefore, non-overlapping.
  • regions When the regions are adjacent, there may be a slight overlap wherein the materials or precise process interactions are not known, however, a portion of the regions, normally at least 50% or more of the area, is uniform and all testing occurs within that region. Further, the potential overlap is only allowed with material of processes that will not adversely affect the result of the tests. Both types of regions are referred to herein as regions or discrete regions.
  • Substrates may be a conventional round 200 mm, 300 mm, or any other larger or smaller substrate/wafer size. In other embodiments, substrates may be square, rectangular, or other shape. One skilled in the art will appreciate that substrate may be a blanket substrate, a coupon (e.g., partial wafer), or even a patterned substrate having predefined regions. In some embodiments, a substrate may have regions defined through the processing described herein.
  • the process parameters comprise selection of one or more source gases for the plasma generator, plasma filtering parameters, exposure time, substrate temperature, power, frequency, plasma generation method, substrate bias, pressure, gas flow, or combinations thereof.
  • FIG. 3 is a simplified schematic diagram illustrating an integrated high productivity combinatorial (HPC) system in accordance with some embodiments of the invention.
  • the HPC system includes a frame 300 supporting a plurality of processing modules. It will be appreciated that frame 300 may be a unitary frame in accordance with some embodiments. In some embodiments, the environment within frame 300 is controlled.
  • a load lock 302 provides access into the plurality of modules of the HPC system.
  • a robot 314 provides for the movement of substrates (and masks) between the modules and for the movement into and out of the load lock 302 .
  • Modules 304 - 312 may be any set of modules and preferably include one or more combinatorial modules.
  • module 304 may be an orientation/degassing module
  • module 306 may be a clean module, either plasma or non-plasma based
  • modules 308 and/or 310 may be combinatorial/conventional dual purpose modules.
  • Module 312 may provide conventional clean or degas as necessary for the experiment design.
  • a centralized controller i.e., computing device 316
  • a plurality of methods may be employed to deposit material upon a substrate employing combinatorial processes.
  • Plasmas are widely used for a variety of treatment, etching, and layer deposition (i.e. PECVD or PEALD) tasks in semiconductor fabrication. These applications include treatment processes such as oxidation, nitridation, or hydridation of a layer both during and after formation, subtractive processes such as wafer precleaning, contaminant removal, native oxide removal, photoresist removal, and deposition applications such as thin films, spacers, isolation materials, conductors, and the like. “Remote” plasma sources are frequently used, where the plasma is located at some distance from the surface to be treated or substrate on which a layer is to be formed. The distance allows some adjusting of the charged particles in the plasma.
  • the density of ions and electrons can be adjusted by distance, the electrons and ions can be removed from the generated plasma using suitable electrode configurations such as a grounded metal showerhead, so that, for example, only atomic radicals and molecule radicals (but not ions) reach the substrate.
  • suitable electrode configurations such as a grounded metal showerhead, so that, for example, only atomic radicals and molecule radicals (but not ions) reach the substrate.
  • “direct” plasma sources can also be used, where the substrate is exposed to the plasma.
  • the plasma generator can use any known means of coupling energy into atoms or molecules to ionize them and create a plasma.
  • the energy source can be, for example, electromagnetic energy such as microwaves, radio frequency energy, or lasers.
  • Some embodiments overcome this limitation by providing a plasma source, an associated substrate positioning system, and a site isolation system that allows a selected region of a substrate to be processed while the remaining regions of the substrate are protected from exposure to the plasma and reactive radical species unless or until such exposure is intended.
  • an apparatus for combinatorial processing using plasma exposure e.g. PECVD or PEALD
  • the apparatus comprises an outer chamber containing: a plasma source, an aperture allowing exposure of a site-isolated region of the substrate to plasma from the plasma source, and a transport system comprising a substrate support and capable of positioning the substrate such that the site-isolated region can be located anywhere on the substrate.
  • the aperture has an area less than that of the substrate, so that a plurality of site-isolated regions on a single substrate can be exposed to a variety of plasma processing conditions.
  • a barrier or barriers and a gas purge system operate to provide exposure to plasma and reactive radical species within the site-isolated region, preventing exposure of regions of the substrate outside the area exposed to plasma and reactive radical species through the aperture.
  • the plasma exposure process parameters can be varied in a combinatorial manner.
  • the plasma exposure process parameters comprise one or more of source gases for the plasma generator, plasma filtering parameters, exposure time, gas flow rate, frequency, plasma generator power, plasma generation method, chamber pressure, substrate temperature, distance between plasma source and substrate, substrate bias voltage, or combinations thereof.
  • the apparatus further comprises an inner chamber, contained within the outer chamber and enclosing the substrate and the substrate support.
  • a “process kit” can be defined comprising the inner chamber, substrate, and substrate support.
  • the inner chamber comprises a top surface parallel to and in close proximity to the substrate, a bottom surface below the substrate support, and one or more side walls.
  • the dimensions of the inner chamber parallel to the substrate are such that any desired region on the substrate can be positioned under the aperture, (i.e., there is sufficient space available in the inner chamber to accommodate the substrate and substrate support in any configuration necessary to provide access to the substrate through the aperture).
  • the aperture is preferably located in the top surface of the inner chamber with the barrier positioned near the edges of the aperture.
  • the barrier can extend down from the top surface of the inner chamber toward the substrate, to provide more restriction to the flow of plasma and/or reactive radical species toward the remaining regions of the substrate.
  • the barrier can be separated from the substrate by a small gap.
  • the gap between the barrier and the substrate can be set to a distance of about 0.5 mm or less to exclude plasma and reactive radical species from reaching areas of the substrate outside the aperture and barrier.
  • the substrate support can comprise a substrate heater mounted in the substrate support for providing an independent temperature regulation as a process parameter that can be varied in a combinatorial manner.
  • the apparatus can include a gas purge system that comprises a plurality of flow outlets located at the edge of the substrate support.
  • the gas purge system provides a gas flow into the site-isolated region through the small gap between the barrier and the substrate and out of the site-isolated region through the aperture, preventing plasma and/or reactive radical species from leaking past the barrier and affecting the protected regions of the substrate.
  • the barrier or barriers and gas purge system can work together with the aperture to control exposure of plasma and reactive radical species to the substrate surface.
  • FIG. 4 illustrates the overall layout of some embodiments of a system enabling combinatorial processing using a plasma source.
  • An outer process chamber 400 is provided.
  • a plasma source 402 is mounted on a chamber lid 404 either directly as illustrated or through a short flange.
  • the plasma source 402 may be a remote plasma source or may be a direct plasma source.
  • the configuration illustrated in FIG. 4 corresponds to a remote plasma source.
  • the plasma 406 is entrained into a central gas flow 408 which is directed toward an aperture 410 .
  • the aperture is in close proximity to a substrate 412 .
  • a substrate positioning system 414 can position any region on the substrate 412 directly under the aperture 410 .
  • the substrate positioning system can provide two displaced axes of rotation 416 and 418 .
  • the two-axis rotation configuration illustrated can provide 360° of rotation for the upper rotation (providing an angular coordinate) and 60° of rotation for the lower axis (approximating a radial coordinate) to provide all possible substrate positions.
  • other positioning systems such as X-Y translators can also be used.
  • substrate support 422 may move in a vertical direction. It should be appreciated that the rotation and movement in the vertical direction may be achieved through known drive mechanisms which include magnetic drives, linear drives, worm screws, lead screws, a differentially pumped rotary feed through drive, etc.
  • An inner chamber (also called a “process kit”) 420 provides an enclosure for the substrate 412 and the substrate support 422 .
  • Substrate support 422 can be configured to hold a substrate 412 thereon, and can be any known substrate support, including but not limited to a vacuum chuck, electrostatic chuck, or other known mechanisms. The shape can be selected to conveniently enclose the substrate and substrate support in all positions used; for example, it can be cylindrical.
  • the inner chamber 420 comprises a top surface 430 parallel to the substrate 412 , a bottom surface 432 below the substrate support 422 , and one or more side walls 434 . The top surface 430 need not be positioned close the substrate surface.
  • the substrate 412 is shown mounted on substrate support 422 .
  • the inner chamber 420 is typically made from a material such as quartz or ceramic that is mechanically and chemically stable at process temperatures.
  • the inner chamber 420 can be made of two different materials, with a center portion made of quartz or ceramic, and the edge portion made of metal.
  • the X-Y extent of the inner chamber 420 is large enough to accommodate the substrate 412 in any position that can be achieved using the substrate positioning system.
  • the substrate support 422 can include a substrate heater (e.g., resistive or inductive) and can be sized to be larger than the largest substrate to be processed. Substrate temperatures for most plasma applications are less than 500 C, although any suitable heater power and range of temperature control.
  • the substrate support 422 can also be configured to provide a gas purge flow 424 , for example from the edges of the support, using argon, helium, or any other gas that is not reactive under the process conditions.
  • the aperture 410 defines the area of a site-isolated region where exposure to a plasma or reactive species occurs.
  • Barrier 426 near the edges of the aperture provides control over plasma exposure, and serves to restrict plasma or reactive radical species access to regions outside the area immediately under the aperture 410 .
  • the position, shape, and height of barrier 426 can be chosen to provide maximal protection of areas of the substrate 412 for which plasma exposure is not desired.
  • Barrier 426 can be made from a high-temperature O-ring material such as KALREZ® fluoropolymer or a refractory material such as quartz or ceramic.
  • the barrier is circular; the barrier does not have to be any particular shape, but most generally is compatible with the shape of the aperture 410 .
  • the barrier 426 can be positioned with a small gap (typically less than 0.5 mm) to the substrate 412 , and the gas purge flow 424 can be adjusted so that any gas leakage under the barrier 426 is directed into the site-isolated region and out through the aperture 410 .
  • the aperture shape and size can be varied according to the needs of particular combinatorial experiments. Typical shapes are round, square, or rectangular with linear extents of about 10 to about 25 mm, although other shapes and sizes are possible, for example about 1 to about 65 mm. Further experimental design flexibility can be provided by using patterned apertures with multiple openings.
  • FIG. 5 illustrates the overall layout of some embodiments of a system enabling combinatorial processing using a plasma source.
  • An outer process chamber 500 is provided.
  • a plasma source 502 is mounted on a chamber lid 504 either directly as illustrated or through a short flange.
  • the plasma source 502 may be a remote plasma source or may be a direct plasma source.
  • the configuration illustrated in FIG. 5 corresponds to a direct plasma source.
  • the plasma 506 is formed between a showerhead 536 and an inner chamber 520 including an aperture 510 .
  • the showerhead serves to distribute the gases 508 evenly across the region of the inner chamber that includes the aperture.
  • the plasma may be ignited by applying power to either the showerhead or the substrate support.
  • the aperture is in close proximity to a substrate 512 .
  • a substrate positioning system 514 can position any region on the substrate 512 directly under the aperture 510 .
  • the substrate positioning system can provide two displaced axes of rotation 516 and 518 .
  • the two-axis rotation configuration illustrated can provide 360° of rotation for the upper rotation (providing an angular coordinate) and 60° of rotation for the lower axis (approximating a radial coordinate) to provide all possible substrate positions.
  • other positioning systems such as X-Y translators can also be used.
  • substrate support 522 may move in a vertical direction. It should be appreciated that the rotation and movement in the vertical direction may be achieved through known drive mechanisms which include magnetic drives, linear drives, worm screws, lead screws, a differentially pumped rotary feed through drive, etc.
  • An inner chamber (also called a “process kit”) 520 provides an enclosure for the substrate 512 and the substrate support 522 .
  • Substrate support 522 can be configured to hold a substrate 512 thereon, and can be any known substrate support, including but not limited to a vacuum chuck, electrostatic chuck, or other known mechanisms. The shape can be selected to conveniently enclose the substrate and substrate support in all positions used; for example, it can be cylindrical.
  • the inner chamber 520 comprises a top surface 530 parallel to the substrate 512 , a bottom surface 532 below the substrate support 522 , and one or more side walls 534 . The top surface 530 need not be positioned close the substrate surface.
  • the substrate 512 is shown mounted on substrate support 522 .
  • the inner chamber 520 is typically made from a material such as quartz or ceramic that is mechanically and chemically stable at process temperatures.
  • the inner chamber 520 can be made of two different materials, with a center portion made of quartz or ceramic, and the edge portion made of metal.
  • the X-Y extent of the inner chamber 520 is large enough to accommodate the substrate 512 in any position that can be achieved using the substrate positioning system.
  • the substrate support 522 can include a substrate heater (e.g., resistive or inductive) and can be sized to be larger than the largest substrate to be processed. Substrate temperatures for most plasma applications are less than 500 C, although any suitable heater power and range of temperature control.
  • the substrate support 522 can also be configured to provide a gas purge flow 524 , for example from the edges of the support, using argon, helium, or any other gas that is not reactive under the process conditions.
  • the aperture 510 defines the area of a site-isolated region where exposure to a plasma or reactive species occurs.
  • Barrier 526 near the edges of the aperture provides control over plasma exposure, and serves to restrict plasma or reactive radical species access to regions outside the area immediately under the aperture 510 .
  • the position, shape, and height of barrier 526 can be chosen to provide maximal protection of areas of the substrate 512 for which plasma exposure is not desired.
  • Barrier 526 can be made from a high-temperature O-ring material such as KALREZ® fluoropolymer or a refractory material such as quartz or ceramic.
  • the barrier is circular; the barrier does not have to be any particular shape, but most generally is compatible with the shape of the aperture 510 .
  • the barrier 526 can be positioned with a small gap (typically less than 0.5 mm) to the substrate 512 , and the gas purge flow 524 can be adjusted so that any gas leakage under the barrier 526 is directed into the site-isolated region and out through the aperture 510 .
  • the aperture shape and size can be varied according to the needs of particular combinatorial experiments. Typical shapes are round, square, or rectangular with linear extents of about 10 to about 25 mm, although other shapes and sizes are possible, for example about 1 to about 65 mm. Further experimental design flexibility can be provided by using patterned apertures with multiple openings.
  • a substrate is provided.
  • the methods comprise depositing material on a site-isolated region of the substrate using PECVD or PEALD under a first set of process parameters in step 604 .
  • Step 606 determines if material has been deposited on all of the site-isolated regions. If not, then the substrate is moved 608 to expose a new site-isolated region to the aperture as discussed previously.
  • Step 604 is repeated to deposit material on a second site-isolated region of the substrate using PECVD or PEALD under a second set of process parameters.
  • the process parameters can be varied in a combinatorial manner.
  • the process parameters comprise one or more of source gases for the plasma generator, plasma filtering parameters, exposure times, gas flow rates, frequencies, plasma generator powers, plasma generation methods, chamber pressures, substrate temperatures, distances between plasma source and substrate, substrate bias voltages, or combinations thereof.
  • This sequence is repeated until material has been deposited on all of the site-isolated regions on the substrate 610 .
  • the substrate is analyzed to evaluate the effect of the different process parameters on the material deposited in each of the site-isolated regions.
  • Process times for deposition can vary. Typical process times vary from a few seconds to a few minutes. In some embodiments, the process times are preferably set by turning the plasma generator on and off. In some embodiments, the plasma generator is left on, and a shutter can be opened to start exposure, and the shutter can be closed to stop exposure. The shutter can be located anywhere between the plasma source 402 / 502 and the aperture 410 / 510 .

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Apparatus and methods for depositing materials on a plurality of site-isolated regions on a substrate are provided. The deposition uses PECVD or PEALD. The apparatus include an inner chamber with an aperture and barrier that can be used to isolate the regions during the deposition and prevent the remaining portions of the substrate from being exposed to the deposition process. The process parameters for the deposition process are varied among the site-isolate regions in a combinatorial manner.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This is a Continuation application of U.S. patent application Ser. No. 13/716,829, filed Dec. 17, 2012, which is herein incorporated by reference for all purposes.
  • TECHNICAL FIELD
  • The present disclosure relates generally to methods and apparatuses for processing using a plasma source for surface treatment and layer formation.
  • BACKGROUND
  • Plasmas are widely used for a variety of treatment and layer deposition tasks in semiconductor fabrication and other thin film applications. These applications include subtractive processes such as wafer precleaning, contaminant removal, native oxide removal, photoresist removal, plasma etching, as well as treatment processes such as oxidation, nitridation, or hydridation of a layer both during and after formation, and deposition process such as plasma enhanced chemical vapor deposition (PECVD) or plasma enhanced atomic layer deposition (PEALD). “Remote” plasma sources are frequently used, where the plasma is located at some distance from the surface to be treated or substrate on which a layer is being formed. The distance allows some filtering of the charged particles in the plasma. For example, the density of electrons and ions can be adjusted or removed from the generated plasma. “Direct” plasma sources are also used where the substrate is exposed to the plasma.
  • Heretofore, plasma sources have been used to provide uniform exposure for entire substrates, and experimental studies of process variables have required dedicating an entire wafer to each process condition to be tested. What is needed is a system that allows systematic exploration of process variables in a combinatorial manner with many variations on a single substrate, especially for PECVD and PEALD applications. The most promising process parameters can then be extended to the processing of entire substrates.
  • SUMMARY
  • The following summary of the disclosure is included in order to provide a basic understanding of some aspects and features of the invention. This summary is not an extensive overview of the invention and as such it is not intended to particularly identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts of the invention in a simplified form as a prelude to the more detailed description that is presented below.
  • Methods and apparatus for depositing materials using PECVD or PEALD are disclosed. The apparatus includes an outer chamber, a plasma source, and an inner chamber enclosing a substrate support. The inner chamber has a top surface parallel to the surface of the substrate support, a bottom surface parallel to the top surface and disposed below the substrate support, and one or more side walls. An aperture is disposed in the top surface of the inner chamber and is operable to provide site-isolated exposure of plasma from the plasma source to a site-isolated region on a substrate. The aperture is disposed between the plasma source and the substrate and has an area less than that of the substrate. A barrier can be positioned near the edges of the aperture, extending down from the top surface of the inner chamber toward the substrate, and separated from the substrate by a small gap, typically less than about 0.5 mm. The barrier can define the boundaries of the site-isolated region on the substrate. A gas purge system can be provided from a plurality of flow outlets at the edge of the substrate support. The gas purge system can provide a gas flow into the site-isolated region through the small gap and out of the site-isolated region through the aperture. A substrate heater can be mounted in the substrate support. A transport system moves the substrate support and is capable of positioning the substrate such that the site-isolated region can be located anywhere on the substrate.
  • Methods of depositing materials on a plurality of site-isolated regions using PECVD or PEALD are provided. The methods comprise depositing material using PECVD or PEALD on a first site-isolated region of a surface under a first set of process parameters, depositing material using PECVD or PEALD on a second site-isolated region of the surface of the substrate under a second set of process parameters, and varying the first set and second set of process parameters in a combinatorial manner. The first set and second set of process parameters include one or more of source gases for the plasma generator, plasma filtering parameters, exposure time, gas flow rate, frequency, plasma generator power, plasma generation method, chamber pressure, substrate temperature, distance between plasma source and substrate, substrate bias voltage, or combinations thereof. The depositing can be controlled by an aperture in a plate disposed between the plasma source and the substrate. The aperture has an area less than that of the substrate. The depositing can be further controlled by placing a barrier near the edges of the aperture, wherein the barrier extends from the aperture plate to the substrate surface and is separated by a small gap from the substrate surface. The depositing can also be controlled by a gas purge system that provides a flow of gas into the site-isolated region through the small gap and out of the site-isolated region through the aperture.
  • The method can be extended by depositing material using PECVD or PEALD on a third site-isolated region of the substrate under a third set of process parameters, and more generally, by depositing material using PECVD or PEALD on a plurality of site-isolated regions of the substrate under a plurality of different sets of process parameters. The substrate can be analyzed to evaluate the effect of the different process parameters on the substrate. Plasma deposition process parameters can be varied in a combinatorial manner. Plasma deposition process parameters include one or more of source gases for the plasma generator, plasma filtering parameters, exposure time, gas flow rate, frequency, plasma generator power, plasma generation method, chamber pressure, substrate temperature, distance between plasma source and substrate, substrate bias voltage, or combinations thereof.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The drawings are not to scale and the relative dimensions of various elements in the drawings are depicted schematically and not necessarily to scale.
  • The techniques of the present invention can readily be understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
  • FIG. 1 illustrates a schematic diagram for implementing combinatorial processing and evaluation.
  • FIG. 2 presents a schematic diagram for illustrating various process sequences using combinatorial processing and evaluation.
  • FIG. 3 illustrates a processing system enabling combinatorial processing.
  • FIG. 4 illustrates an apparatus enabling combinatorial processing using PECVD or PEALD.
  • FIG. 5 illustrates an apparatus enabling combinatorial processing using PECVD or PEALD.
  • FIG. 6 presents a flow chart illustrating the steps of methods according to some embodiments.
  • DETAILED DESCRIPTION
  • A detailed description of one or more embodiments is provided below along with accompanying figures. The detailed description is provided in connection with such embodiments, but is not limited to any particular example. The scope is limited only by the claims and numerous alternatives, modifications, and equivalents are encompassed. Numerous specific details are set forth in the following description in order to provide a thorough understanding. These details are provided for the purpose of example and the described techniques may be practiced according to the claims without some or all of these specific details. For the purpose of clarity, technical material that is known in the technical fields related to the embodiments has not been described in detail to avoid unnecessarily obscuring the description.
  • Before various embodiments are described in detail, it is to be understood that unless otherwise indicated, this invention is not limited to specific layer compositions or surface treatments. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only and is not intended to limit the scope of the present invention.
  • It must be noted that as used herein and in the claims, the singular forms “a,” “and” and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a layer” includes two or more layers, and so forth.
  • Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limit of that range, and any other stated or intervening value in that stated range, is encompassed within the invention. The upper and lower limits of these smaller ranges may independently be included in the smaller ranges, and are also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included in the invention. The term “about” generally refers to ±10% of a stated value.
  • The term “site-isolated” as used herein refers to providing distinct processing conditions, such as controlled temperature, flow rates, chamber pressure, processing time, plasma composition, and plasma energies. Site isolation may provide complete isolation between regions or relative isolation between regions. Preferably, the relative isolation is sufficient to provide a control over processing conditions within ±10%, within ±5%, within ±2%, within ±1%, or within ±0.1% of the target conditions. Where one region is processed at a time, adjacent regions are generally protected from any exposure that would alter the substrate surface in a measurable way.
  • The term “site-isolated region” is used herein to refer to a localized area on a substrate which is, was, or is intended to be used for processing or formation of a selected material. The region can include one region and/or a series of regular or periodic regions predefined on the substrate. The region may have any convenient shape, e.g., circular, rectangular, elliptical, wedge-shaped, etc. In the semiconductor field, a region may be, for example, a test structure, single die, multiple dies, portion of a die, other defined portion of substrate, or an undefined area of a substrate, e.g., blanket substrate which is defined through the processing.
  • The term “substrate” as used herein may refer to any workpiece on which formation or treatment of material layers is desired. Substrates may include, without limitation, silicon, silica, sapphire, zinc oxide, SiC, AlN, GaN, Spinel, coated silicon, silicon on oxide, silicon carbide on oxide, glass, gallium nitride, indium nitride and aluminum nitride, and combinations (or alloys) thereof. The term “substrate” or “wafer” may be used interchangeably herein. Semiconductor wafer shapes and sizes can vary and include commonly used round wafers of 2″, 4″, 200 mm, or 300 mm in diameter.
  • The term “remote plasma source” as used herein refers to a plasma (e.g., an rf or microwave generated plasma) located at a distance from a deposition or treatment location sufficient to allow some filtering of the plasma components. For example, the density of ions and electrons can be adjusted by distance, and electrons and ions can also be filtered out using suitable electrode configurations, such as a grounded metal showerhead so that only atomic or molecular radicals reach the substrate.
  • Systems and methods for High Productivity Combinatorial (HPC) processing are described in U.S. Pat. No. 7,544,574 filed on Feb. 10, 2006, U.S. Pat. No. 7,824,935 filed on Jul. 2, 2008, U.S. Pat. No. 7,871,928 filed on May 4, 2009, U.S. Pat. No. 7,902,063 filed on Feb. 10, 2006, and U.S. Pat. No. 7,947,531 filed on Aug. 28, 2009 which are all herein incorporated by reference. Systems and methods for HPC processing are further described in U.S. patent application Ser. No. 11/352,077 filed on Feb. 10, 2006, claiming priority from Oct. 15, 2005, U.S. patent application Ser. No. 11/419,174 filed on May 18, 2006, claiming priority from Oct. 15, 2005, U.S. patent application Ser. No. 11/674,132 filed on Feb. 12, 2007, claiming priority from Oct. 15, 2005, and U.S. patent application Ser. No. 11/674,137 filed on Feb. 12, 2007, claiming priority from Oct. 15, 2005 which are all herein incorporated by reference.
  • HPC processing techniques have been successfully adapted to wet chemical processing such as etching and cleaning. HPC processing techniques have also been successfully adapted to deposition processes such as physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD).
  • The present invention is described in one or more embodiments in the following description with reference to the Figures, in which like numerals represent the same or similar elements. While the invention is described in exemplary terms which include a best mode for achieving the invention's objectives, it will be appreciated by those skilled in the art that it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims and their equivalents as supported by the following disclosure and drawings.
  • Embodiments of the present invention provide a system for systematic exploration of plasma treatment process variables in a combinatorial manner with the possibility of performing many variations on a single substrate. The combinatorial processing permits a single substrate to be systematically explored using different plasma processing conditions, and reduces or eliminates variables that interfere with research quality. The apparatuses and methods disclosed herein permit the systematic exploration of plasma treatments on a single substrate using combinatorial methods, and removes the run to run variability and inconsistencies between substrates that hamper research and optimization of process variables.
  • FIG. 1 illustrates a schematic diagram, 100, for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening. The schematic diagram, 100, illustrates that the relative number of combinatorial processes run with a group of substrates decreases as certain materials and/or processes are selected. Generally, combinatorial processing includes performing a large number of processes during a primary screen, selecting promising candidates from those processes, performing the selected processing during a secondary screen, selecting promising candidates from the secondary screen for a tertiary screen, and so on. In addition, feedback from later stages to earlier stages can be used to refine the success criteria and provide better screening results.
  • For example, thousands of materials are evaluated during a materials discovery stage, 102. Materials discovery stage, 102, is also known as a primary screening stage performed using primary screening techniques. Primary screening techniques may include dividing substrates into coupons and depositing materials using varied processes. The materials are then evaluated, and promising candidates are advanced to the secondary screen, or materials and process development stage, 104. Evaluation of the materials is performed using metrology tools such as electronic testers and imaging tools (i.e., microscopes).
  • The materials and process development stage, 104, may evaluate hundreds of materials (i.e., a magnitude smaller than the primary stage) and may focus on the processes used to deposit or develop those materials. Promising materials and processes are again selected, and advanced to the tertiary screen or process integration stage, 106, where tens of materials and/or processes and combinations are evaluated. The tertiary screen or process integration stage, 106, may focus on integrating the selected processes and materials with other processes and materials.
  • The most promising materials and processes from the tertiary screen are advanced to device qualification, 108. In device qualification, the materials and processes selected are evaluated for high volume manufacturing, which normally is conducted on full substrates within production tools, but need not be conducted in such a manner. The results are evaluated to determine the efficacy of the selected materials and processes. If successful, the use of the screened materials and processes can proceed to pilot manufacturing, 110.
  • The schematic diagram, 100, is an example of various techniques that may be used to evaluate and select materials and processes for the development of new materials and processes. The descriptions of primary, secondary, etc. screening and the various stages, 102-110, are arbitrary and the stages may overlap, occur out of sequence, be described and be performed in many other ways.
  • This application benefits from High Productivity Combinatorial (HPC) techniques described in U.S. patent application Ser. No. 11/674,137 filed on Feb. 12, 2007 which is hereby incorporated for reference in its entirety. Portions of the '137 application have been reproduced below to enhance the understanding of the present invention.
  • While the combinatorial processing varies certain materials, hardware details, or process sequences, the composition or thickness of the layers or structures or the actions, such as cleaning, surface preparation, deposition, surface treatment, etc. is substantially uniform through each discrete region. Furthermore, while different materials or processes may be used for corresponding layers or steps in the formation of a structure in different regions of the substrate during the combinatorial processing, the application of each layer or use of a given process is substantially consistent or uniform throughout the different regions in which it is intentionally applied. Thus, the processing is uniform within a region (inter-region uniformity) and between regions (intra-region uniformity), as desired. It should be noted that the process can be varied between regions, for example, where a thickness of a layer is varied or a material may be varied between the regions, etc., as desired by the design of the experiment.
  • The result is a series of regions on the substrate that contain structures or unit process sequences that have been uniformly applied within that region and, as applicable, across different regions. This process uniformity allows comparison of the properties within and across the different regions such that the variations in test results are due to the varied parameter (e.g., materials, unit processes, unit process parameters, hardware details, or process sequences) and not the lack of process uniformity. In the embodiments described herein, the positions of the discrete regions on the substrate can be defined as needed, but are preferably systematized for ease of tooling and design of experimentation. In addition, the number, variants and location of structures within each region are designed to enable valid statistical analysis of the test results within each region and across regions to be performed.
  • FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site-isolated processing and/or conventional processing in accordance with one embodiment of the invention. In one embodiment, the substrate is initially processed using conventional process N. In one exemplary embodiment, the substrate is then processed using site-isolated process N+1. During site-isolated processing, an HPC module may be used, such as the HPC module described in U.S. patent application Ser. No. 11/352,077 filed on Feb. 10, 2006. The substrate can then be processed using site-isolated process N+2, and thereafter processed using conventional process N+3. Testing is performed and the results are evaluated. The testing can include physical, chemical, acoustic, magnetic, electrical, optical, etc. tests. From this evaluation, a particular process from the various site-isolated processes (e.g. from steps N+1 and N+2) may be selected and fixed so that additional combinatorial process sequence integration may be performed using site-isolated processing for either process N or N+3. For example, a next process sequence can include processing the substrate using site-isolated process N, conventional processing for processes N+1, N+2, and N+3, with testing performed thereafter.
  • It should be appreciated that various other combinations of conventional and combinatorial processes can be included in the processing sequence with regard to FIG. 2. That is, the combinatorial process sequence integration can be applied to any desired segments and/or portions of an overall process flow. Characterization, including physical, chemical, acoustic, magnetic, electrical, optical, etc. testing, can be performed after each process operation, and/or series of process operations within the process flow as desired. The feedback provided by the testing is used to select certain materials, processes, process conditions, and process sequences and eliminate others. Furthermore, the above process flows can be applied to entire monolithic substrates, or portions of the monolithic substrates.
  • Under combinatorial processing operations the processing conditions at different regions can be controlled independently. Consequently, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, deposition order of process materials, process sequence steps, hardware details, etc., can be varied from region to region on the substrate. Thus, for example, when exploring materials, a processing material delivered to a first and second region can be the same or different. If the processing material delivered to the first region is the same as the processing material delivered to the second region, this processing material can be offered to the first and second regions on the substrate at different concentrations. In addition, the material can be deposited under different processing parameters. Parameters which can be varied include, but are not limited to, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, atmospheres in which the processes are conducted, the order in which materials are deposited, hardware details of the gas distribution assembly, etc. It should be appreciated that these process parameters are exemplary and not meant to be an exhaustive list as other process parameters commonly used with plasma exposure systems may be varied.
  • As mentioned above, within a region, the process conditions are substantially uniform, in contrast to gradient processing techniques which rely on the inherent non-uniformity of the material deposition. That is, the embodiments, described herein locally perform the processing in a conventional manner, e.g., substantially consistent and substantially uniform, while globally over the substrate, the materials, processes, and process sequences may vary. Thus, the testing will find optimums without interference from process variation differences between processes that are meant to be the same. It should be appreciated that a region may be adjacent to another region in one embodiment or the regions may be isolated and, therefore, non-overlapping. When the regions are adjacent, there may be a slight overlap wherein the materials or precise process interactions are not known, however, a portion of the regions, normally at least 50% or more of the area, is uniform and all testing occurs within that region. Further, the potential overlap is only allowed with material of processes that will not adversely affect the result of the tests. Both types of regions are referred to herein as regions or discrete regions.
  • Substrates may be a conventional round 200 mm, 300 mm, or any other larger or smaller substrate/wafer size. In other embodiments, substrates may be square, rectangular, or other shape. One skilled in the art will appreciate that substrate may be a blanket substrate, a coupon (e.g., partial wafer), or even a patterned substrate having predefined regions. In some embodiments, a substrate may have regions defined through the processing described herein.
  • Software is provided to control the process parameters for each wafer for the combinatorial processing. The process parameters comprise selection of one or more source gases for the plasma generator, plasma filtering parameters, exposure time, substrate temperature, power, frequency, plasma generation method, substrate bias, pressure, gas flow, or combinations thereof.
  • FIG. 3 is a simplified schematic diagram illustrating an integrated high productivity combinatorial (HPC) system in accordance with some embodiments of the invention. The HPC system includes a frame 300 supporting a plurality of processing modules. It will be appreciated that frame 300 may be a unitary frame in accordance with some embodiments. In some embodiments, the environment within frame 300 is controlled. A load lock 302 provides access into the plurality of modules of the HPC system. A robot 314 provides for the movement of substrates (and masks) between the modules and for the movement into and out of the load lock 302. Modules 304-312 may be any set of modules and preferably include one or more combinatorial modules. For example, module 304 may be an orientation/degassing module, module 306 may be a clean module, either plasma or non-plasma based, modules 308 and/or 310 may be combinatorial/conventional dual purpose modules. Module 312 may provide conventional clean or degas as necessary for the experiment design.
  • Any type of chamber or combination of chambers may be implemented and the description herein is merely illustrative of one possible combination and not meant to limit the potential chamber or processes that can be supported to combine combinatorial processing or combinatorial plus conventional processing of a substrate or wafer. In some embodiments, a centralized controller, i.e., computing device 316, may control the processes of the HPC system. Further details of one possible HPC system are described in U.S. application Ser. Nos. 11/672,478 and 11/672,473, the entire disclosures of which are herein incorporated by reference. In a HPC system, a plurality of methods may be employed to deposit material upon a substrate employing combinatorial processes.
  • Plasmas are widely used for a variety of treatment, etching, and layer deposition (i.e. PECVD or PEALD) tasks in semiconductor fabrication. These applications include treatment processes such as oxidation, nitridation, or hydridation of a layer both during and after formation, subtractive processes such as wafer precleaning, contaminant removal, native oxide removal, photoresist removal, and deposition applications such as thin films, spacers, isolation materials, conductors, and the like. “Remote” plasma sources are frequently used, where the plasma is located at some distance from the surface to be treated or substrate on which a layer is to be formed. The distance allows some adjusting of the charged particles in the plasma. For example, the density of ions and electrons can be adjusted by distance, the electrons and ions can be removed from the generated plasma using suitable electrode configurations such as a grounded metal showerhead, so that, for example, only atomic radicals and molecule radicals (but not ions) reach the substrate. However, “direct” plasma sources can also be used, where the substrate is exposed to the plasma.
  • The plasma generator can use any known means of coupling energy into atoms or molecules to ionize them and create a plasma. The energy source can be, for example, electromagnetic energy such as microwaves, radio frequency energy, or lasers.
  • Typically, systems using plasma sources were designed to treat the entire area of a substrate, such as a 300 mm wafer. Combinatorial processing is difficult and expensive when the entire area of a substrate can only receive a single process variation. Some embodiments overcome this limitation by providing a plasma source, an associated substrate positioning system, and a site isolation system that allows a selected region of a substrate to be processed while the remaining regions of the substrate are protected from exposure to the plasma and reactive radical species unless or until such exposure is intended.
  • Accordingly, an apparatus for combinatorial processing using plasma exposure (e.g. PECVD or PEALD) of a substrate is disclosed. The apparatus comprises an outer chamber containing: a plasma source, an aperture allowing exposure of a site-isolated region of the substrate to plasma from the plasma source, and a transport system comprising a substrate support and capable of positioning the substrate such that the site-isolated region can be located anywhere on the substrate. The aperture has an area less than that of the substrate, so that a plurality of site-isolated regions on a single substrate can be exposed to a variety of plasma processing conditions. A barrier or barriers and a gas purge system operate to provide exposure to plasma and reactive radical species within the site-isolated region, preventing exposure of regions of the substrate outside the area exposed to plasma and reactive radical species through the aperture. The plasma exposure process parameters can be varied in a combinatorial manner. The plasma exposure process parameters comprise one or more of source gases for the plasma generator, plasma filtering parameters, exposure time, gas flow rate, frequency, plasma generator power, plasma generation method, chamber pressure, substrate temperature, distance between plasma source and substrate, substrate bias voltage, or combinations thereof.
  • In some embodiments, the apparatus further comprises an inner chamber, contained within the outer chamber and enclosing the substrate and the substrate support. A “process kit” can be defined comprising the inner chamber, substrate, and substrate support. The inner chamber comprises a top surface parallel to and in close proximity to the substrate, a bottom surface below the substrate support, and one or more side walls. The dimensions of the inner chamber parallel to the substrate are such that any desired region on the substrate can be positioned under the aperture, (i.e., there is sufficient space available in the inner chamber to accommodate the substrate and substrate support in any configuration necessary to provide access to the substrate through the aperture).
  • The aperture is preferably located in the top surface of the inner chamber with the barrier positioned near the edges of the aperture. The barrier can extend down from the top surface of the inner chamber toward the substrate, to provide more restriction to the flow of plasma and/or reactive radical species toward the remaining regions of the substrate. The barrier can be separated from the substrate by a small gap. The gap between the barrier and the substrate can be set to a distance of about 0.5 mm or less to exclude plasma and reactive radical species from reaching areas of the substrate outside the aperture and barrier. In some embodiments, the substrate support can comprise a substrate heater mounted in the substrate support for providing an independent temperature regulation as a process parameter that can be varied in a combinatorial manner.
  • The apparatus can include a gas purge system that comprises a plurality of flow outlets located at the edge of the substrate support. The gas purge system provides a gas flow into the site-isolated region through the small gap between the barrier and the substrate and out of the site-isolated region through the aperture, preventing plasma and/or reactive radical species from leaking past the barrier and affecting the protected regions of the substrate. The barrier or barriers and gas purge system can work together with the aperture to control exposure of plasma and reactive radical species to the substrate surface.
  • FIG. 4 illustrates the overall layout of some embodiments of a system enabling combinatorial processing using a plasma source. A discussion of the system may be found in co-owned U.S. patent application Ser. No. 13/328,129 filed on Dec. 16, 2011 which is herein incorporated by reference for all purposes. Portions of the '129 application are included herein to enhance the understanding of the present disclosure. An outer process chamber 400 is provided. A plasma source 402 is mounted on a chamber lid 404 either directly as illustrated or through a short flange. The plasma source 402 may be a remote plasma source or may be a direct plasma source. The configuration illustrated in FIG. 4 corresponds to a remote plasma source. The plasma 406 is entrained into a central gas flow 408 which is directed toward an aperture 410. The aperture is in close proximity to a substrate 412. A substrate positioning system 414 can position any region on the substrate 412 directly under the aperture 410. As illustrated in FIG. 4, the substrate positioning system can provide two displaced axes of rotation 416 and 418. The two-axis rotation configuration illustrated can provide 360° of rotation for the upper rotation (providing an angular coordinate) and 60° of rotation for the lower axis (approximating a radial coordinate) to provide all possible substrate positions. Alternatively, other positioning systems such as X-Y translators can also be used. In addition, substrate support 422 may move in a vertical direction. It should be appreciated that the rotation and movement in the vertical direction may be achieved through known drive mechanisms which include magnetic drives, linear drives, worm screws, lead screws, a differentially pumped rotary feed through drive, etc.
  • An inner chamber (also called a “process kit”) 420 provides an enclosure for the substrate 412 and the substrate support 422. Substrate support 422 can be configured to hold a substrate 412 thereon, and can be any known substrate support, including but not limited to a vacuum chuck, electrostatic chuck, or other known mechanisms. The shape can be selected to conveniently enclose the substrate and substrate support in all positions used; for example, it can be cylindrical. The inner chamber 420 comprises a top surface 430 parallel to the substrate 412, a bottom surface 432 below the substrate support 422, and one or more side walls 434. The top surface 430 need not be positioned close the substrate surface. The substrate 412 is shown mounted on substrate support 422. The inner chamber 420 is typically made from a material such as quartz or ceramic that is mechanically and chemically stable at process temperatures. The inner chamber 420 can be made of two different materials, with a center portion made of quartz or ceramic, and the edge portion made of metal. The X-Y extent of the inner chamber 420 is large enough to accommodate the substrate 412 in any position that can be achieved using the substrate positioning system.
  • The substrate support 422 can include a substrate heater (e.g., resistive or inductive) and can be sized to be larger than the largest substrate to be processed. Substrate temperatures for most plasma applications are less than 500 C, although any suitable heater power and range of temperature control. The substrate support 422 can also be configured to provide a gas purge flow 424, for example from the edges of the support, using argon, helium, or any other gas that is not reactive under the process conditions.
  • The aperture 410 defines the area of a site-isolated region where exposure to a plasma or reactive species occurs. Barrier 426 near the edges of the aperture provides control over plasma exposure, and serves to restrict plasma or reactive radical species access to regions outside the area immediately under the aperture 410. The position, shape, and height of barrier 426 can be chosen to provide maximal protection of areas of the substrate 412 for which plasma exposure is not desired. Barrier 426 can be made from a high-temperature O-ring material such as KALREZ® fluoropolymer or a refractory material such as quartz or ceramic. In some embodiments, the barrier is circular; the barrier does not have to be any particular shape, but most generally is compatible with the shape of the aperture 410. The barrier 426 can be positioned with a small gap (typically less than 0.5 mm) to the substrate 412, and the gas purge flow 424 can be adjusted so that any gas leakage under the barrier 426 is directed into the site-isolated region and out through the aperture 410.
  • The aperture shape and size can be varied according to the needs of particular combinatorial experiments. Typical shapes are round, square, or rectangular with linear extents of about 10 to about 25 mm, although other shapes and sizes are possible, for example about 1 to about 65 mm. Further experimental design flexibility can be provided by using patterned apertures with multiple openings.
  • FIG. 5 illustrates the overall layout of some embodiments of a system enabling combinatorial processing using a plasma source. An outer process chamber 500 is provided. A plasma source 502 is mounted on a chamber lid 504 either directly as illustrated or through a short flange. The plasma source 502 may be a remote plasma source or may be a direct plasma source. The configuration illustrated in FIG. 5 corresponds to a direct plasma source. The plasma 506 is formed between a showerhead 536 and an inner chamber 520 including an aperture 510. The showerhead serves to distribute the gases 508 evenly across the region of the inner chamber that includes the aperture. The plasma may be ignited by applying power to either the showerhead or the substrate support. The aperture is in close proximity to a substrate 512. A substrate positioning system 514 can position any region on the substrate 512 directly under the aperture 510. As illustrated in FIG. 5, the substrate positioning system can provide two displaced axes of rotation 516 and 518. The two-axis rotation configuration illustrated can provide 360° of rotation for the upper rotation (providing an angular coordinate) and 60° of rotation for the lower axis (approximating a radial coordinate) to provide all possible substrate positions. Alternatively, other positioning systems such as X-Y translators can also be used. In addition, substrate support 522 may move in a vertical direction. It should be appreciated that the rotation and movement in the vertical direction may be achieved through known drive mechanisms which include magnetic drives, linear drives, worm screws, lead screws, a differentially pumped rotary feed through drive, etc.
  • An inner chamber (also called a “process kit”) 520 provides an enclosure for the substrate 512 and the substrate support 522. Substrate support 522 can be configured to hold a substrate 512 thereon, and can be any known substrate support, including but not limited to a vacuum chuck, electrostatic chuck, or other known mechanisms. The shape can be selected to conveniently enclose the substrate and substrate support in all positions used; for example, it can be cylindrical. The inner chamber 520 comprises a top surface 530 parallel to the substrate 512, a bottom surface 532 below the substrate support 522, and one or more side walls 534. The top surface 530 need not be positioned close the substrate surface. The substrate 512 is shown mounted on substrate support 522. The inner chamber 520 is typically made from a material such as quartz or ceramic that is mechanically and chemically stable at process temperatures. The inner chamber 520 can be made of two different materials, with a center portion made of quartz or ceramic, and the edge portion made of metal. The X-Y extent of the inner chamber 520 is large enough to accommodate the substrate 512 in any position that can be achieved using the substrate positioning system.
  • The substrate support 522 can include a substrate heater (e.g., resistive or inductive) and can be sized to be larger than the largest substrate to be processed. Substrate temperatures for most plasma applications are less than 500 C, although any suitable heater power and range of temperature control. The substrate support 522 can also be configured to provide a gas purge flow 524, for example from the edges of the support, using argon, helium, or any other gas that is not reactive under the process conditions.
  • The aperture 510 defines the area of a site-isolated region where exposure to a plasma or reactive species occurs. Barrier 526 near the edges of the aperture provides control over plasma exposure, and serves to restrict plasma or reactive radical species access to regions outside the area immediately under the aperture 510. The position, shape, and height of barrier 526 can be chosen to provide maximal protection of areas of the substrate 512 for which plasma exposure is not desired. Barrier 526 can be made from a high-temperature O-ring material such as KALREZ® fluoropolymer or a refractory material such as quartz or ceramic. In some embodiments, the barrier is circular; the barrier does not have to be any particular shape, but most generally is compatible with the shape of the aperture 510. The barrier 526 can be positioned with a small gap (typically less than 0.5 mm) to the substrate 512, and the gas purge flow 524 can be adjusted so that any gas leakage under the barrier 526 is directed into the site-isolated region and out through the aperture 510.
  • The aperture shape and size can be varied according to the needs of particular combinatorial experiments. Typical shapes are round, square, or rectangular with linear extents of about 10 to about 25 mm, although other shapes and sizes are possible, for example about 1 to about 65 mm. Further experimental design flexibility can be provided by using patterned apertures with multiple openings.
  • In some embodiments, methods of combinatorially depositing materials by PECVD are provided. The methods are illustrated in FIG. 6. In step 602 a substrate is provided. The methods comprise depositing material on a site-isolated region of the substrate using PECVD or PEALD under a first set of process parameters in step 604. Step 606 determines if material has been deposited on all of the site-isolated regions. If not, then the substrate is moved 608 to expose a new site-isolated region to the aperture as discussed previously. Step 604 is repeated to deposit material on a second site-isolated region of the substrate using PECVD or PEALD under a second set of process parameters. During each deposition, the remaining area (the unexposed area) of the substrate is protected from exposure to the plasma or reactive species. The process parameters can be varied in a combinatorial manner. Typically, the process parameters comprise one or more of source gases for the plasma generator, plasma filtering parameters, exposure times, gas flow rates, frequencies, plasma generator powers, plasma generation methods, chamber pressures, substrate temperatures, distances between plasma source and substrate, substrate bias voltages, or combinations thereof. This sequence is repeated until material has been deposited on all of the site-isolated regions on the substrate 610. After material has been deposited on all of the site-isolated regions of the substrate under different PECVD or PEALD processing parameters, the substrate is analyzed to evaluate the effect of the different process parameters on the material deposited in each of the site-isolated regions.
  • Process times for deposition can vary. Typical process times vary from a few seconds to a few minutes. In some embodiments, the process times are preferably set by turning the plasma generator on and off. In some embodiments, the plasma generator is left on, and a shutter can be opened to start exposure, and the shutter can be closed to stop exposure. The shutter can be located anywhere between the plasma source 402/502 and the aperture 410/510.
  • Although the foregoing examples have been described in some detail for purposes of clarity of understanding, the invention is not limited to the details provided. There are many alternative ways of implementing the invention. The disclosed examples are illustrative and not restrictive.

Claims (20)

What is claimed:
1. An apparatus for deposition of material on a substrate, the apparatus comprising:
a first chamber;
a second chamber;
a plasma source;
a substrate support;
a substrate positioning system;
wherein the second chamber, the plasma source, the substrate support, and the substrate positioning system are disposed within the first chamber;
wherein the second chamber encloses the substrate support;
wherein the second chamber comprises a top surface being parallel to a top surface of the substrate support;
wherein the second chamber comprises a bottom surface being parallel to the top surface of the substrate support,
the substrate support being positioned between the top surface and the bottom surface of the second chamber;
wherein the second chamber comprises one or more sidewalls connecting the top surface of the second chamber to the bottom surface of the second chamber;
wherein the top surface of the second chamber comprises an aperture;
wherein an area of the aperture is less than an area of the substrate support;
wherein the aperture is operable to allow site-isolated deposition of a material using plasma enhanced chemical vapor deposition (PECVD) or plasma enhanced atomic layer deposition (PEALD) in a plurality of site-isolated regions on the substrate; and
wherein the substrate positioning system is operable to align any area of the substrate support with respect to the aperture such that any area of the substrate support corresponds to one of the plurality of site-isolated regions on the substrate.
2. The apparatus of claim 1, further comprising a plasma source operable to vary plasma process parameters during the PECVD and the PEALD in a combinatorial manner among the plurality of site-isolated regions.
3. The apparatus of claim 2, wherein the plasma process parameters comprise at least one of source gases for a plasma generator, plasma filtering parameters, exposure time, gas flow rate, frequency, plasma generator power, plasma generation method, chamber pressure, substrate temperature, distance between plasma source and substrate, substrate bias voltage, or combinations thereof.
4. The apparatus of claim 2, wherein the plasma source is operable to ignite plasma within the first chamber and outside of the second chamber.
5. The apparatus of claim 2, further comprising a showerhead disposed within the first chamber and outside of the second chamber.
6. The apparatus of claim 2, wherein the plasma source is a remote plasma source.
7. The apparatus of claim 1, further comprising a barrier positioned at edges of the aperture, wherein the barrier extends down from the top surface of the second chamber toward the substrate support, and wherein, during the PECVD and the PEALD, the barrier is operable to restrict plasma or reactive radical species access to site-isolated regions of the plurality of site-isolated regions on the substrate not aligned with the aperture.
8. The apparatus of claim 7, wherein, during the PECVD and the PEALD, the barrier is separated from the substrate by a gap, wherein the gap is less than 0.5 mm.
9. The apparatus of claim 8, wherein the gap control a flow of process gasses into one of the plurality of site-isolated regions defined by the barrier.
10. The apparatus of claim 7, wherein the barrier defines a boundary of each the plurality of site-isolated regions on the substrate.
11. The apparatus of claim 7, wherein the barrier is formed from one of a fluoropolymer, quartz, or ceramic.
12. The apparatus of claim 1, further comprising a gas purge system comprising a plurality of flow outlets at an edge of the substrate support.
13. The apparatus of claim 12, wherein the gas purge system is operable to provide a gas flow into each of the plurality of site-isolated regions through a gap between the substrate and a portion of the second chamber, and wherein the gas purge system is operable to provide a gas flow out of each of the plurality of site-isolated regions through the aperture.
14. The apparatus of claim 1, further comprising a shutter disposed between the first chamber and the aperture.
15. The apparatus of claim 1, wherein the substrate positioning system is operable to rotate the substrate support about two parallel non-collinear axes.
16. The apparatus of claim 1, wherein the substrate positioning system comprises linear X-Y translators.
17. The apparatus of claim 1, wherein the substrate positioning system is operable to move the substrate support in a direction perpendicular to the top surface of the substrate support.
18. The apparatus of claim 1, wherein the second chamber is formed from quartz or ceramic.
19. The apparatus of claim 1, further comprising a substrate heater mounted in the substrate support.
20. The apparatus of claim 19, wherein the substrate heater is operable to vary a temperature of the substrate in a combinatorial manner during the PECVD and the PEALD of different ones of the plurality of site-isolated regions.
US14/660,772 2012-12-17 2015-03-17 Methods and Apparatus for Combinatorial PECVD or PEALD Abandoned US20150184298A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/660,772 US20150184298A1 (en) 2012-12-17 2015-03-17 Methods and Apparatus for Combinatorial PECVD or PEALD

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/716,829 US9023438B2 (en) 2012-12-17 2012-12-17 Methods and apparatus for combinatorial PECVD or PEALD
US14/660,772 US20150184298A1 (en) 2012-12-17 2015-03-17 Methods and Apparatus for Combinatorial PECVD or PEALD

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/716,829 Continuation US9023438B2 (en) 2012-12-17 2012-12-17 Methods and apparatus for combinatorial PECVD or PEALD

Publications (1)

Publication Number Publication Date
US20150184298A1 true US20150184298A1 (en) 2015-07-02

Family

ID=50931218

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/716,829 Active 2033-06-20 US9023438B2 (en) 2012-12-17 2012-12-17 Methods and apparatus for combinatorial PECVD or PEALD
US14/660,772 Abandoned US20150184298A1 (en) 2012-12-17 2015-03-17 Methods and Apparatus for Combinatorial PECVD or PEALD

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/716,829 Active 2033-06-20 US9023438B2 (en) 2012-12-17 2012-12-17 Methods and apparatus for combinatorial PECVD or PEALD

Country Status (1)

Country Link
US (2) US9023438B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023180621A1 (en) * 2022-03-21 2023-09-28 Picosun Oy Method, insert and apparatus for process control and monitoring of thin film deposition

Families Citing this family (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
DE112016004854B4 (en) * 2015-10-22 2023-06-29 Ngk Insulators, Ltd. Process for manufacturing an optical waveguide substrate
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
CN108396311A (en) * 2018-05-18 2018-08-14 宁波英飞迈材料科技有限公司 High-throughput PECVD device and method
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (en) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116770222A (en) * 2022-03-09 2023-09-19 上海集成电路材料研究院有限公司 High-flux film deposition equipment, etching equipment and method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050051517A1 (en) * 2003-08-12 2005-03-10 Oehrlein Gottlieb S. Method and system for nanoscale plasma processing of objects
US20050150760A1 (en) * 2004-01-14 2005-07-14 Ting He High throughput physical vapor deposition system for material combinatorial studies
US20090275210A1 (en) * 2008-05-02 2009-11-05 Sunil Shanker Combinatorial plasma enhanced deposition techniques
US20130153536A1 (en) * 2011-12-16 2013-06-20 Intermolecular, Inc. Combinatorial processing using a remote plasma source

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050022735A1 (en) 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US8771483B2 (en) * 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8334015B2 (en) 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US8726838B2 (en) * 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050051517A1 (en) * 2003-08-12 2005-03-10 Oehrlein Gottlieb S. Method and system for nanoscale plasma processing of objects
US20050150760A1 (en) * 2004-01-14 2005-07-14 Ting He High throughput physical vapor deposition system for material combinatorial studies
US20090275210A1 (en) * 2008-05-02 2009-11-05 Sunil Shanker Combinatorial plasma enhanced deposition techniques
US20130153536A1 (en) * 2011-12-16 2013-06-20 Intermolecular, Inc. Combinatorial processing using a remote plasma source

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023180621A1 (en) * 2022-03-21 2023-09-28 Picosun Oy Method, insert and apparatus for process control and monitoring of thin film deposition

Also Published As

Publication number Publication date
US20140170335A1 (en) 2014-06-19
US9023438B2 (en) 2015-05-05

Similar Documents

Publication Publication Date Title
US9023438B2 (en) Methods and apparatus for combinatorial PECVD or PEALD
US8821987B2 (en) Combinatorial processing using a remote plasma source
US8821985B2 (en) Method and apparatus for high-K gate performance improvement and combinatorial processing
US20140273404A1 (en) Advanced Targeted Microwave Degas System
KR101924488B1 (en) Confined process volume pecvd chamber
KR102614522B1 (en) Single wafer processing environments with spatial separation
TWI753913B (en) Method for processing target body
US8822313B2 (en) Surface treatment methods and systems for substrate processing
KR20160129730A (en) Inter-electrode variation methods for compensating deposition non-uniformity
US20130168231A1 (en) Method For Sputter Deposition And RF Plasma Sputter Etch Combinatorial Processing
US20130153536A1 (en) Combinatorial processing using a remote plasma source
US20140162384A1 (en) PVD-ALD-CVD hybrid HPC for work function material screening
US9087864B2 (en) Multipurpose combinatorial vapor phase deposition chamber
US8920618B2 (en) Combinatorial processing using high deposition rate sputtering
US8974649B2 (en) Combinatorial RF bias method for PVD
US8709270B2 (en) Masking method and apparatus
US20130153413A1 (en) Sputter gun shutter
US20140174911A1 (en) Methods and Systems for Reducing Particles During Physical Vapor Deposition
US11220747B2 (en) Complementary pattern station designs
US9023739B2 (en) Site-isolated rapid thermal processing methods and apparatus
US20140179095A1 (en) Methods and Systems for Controlling Gate Dielectric Interfaces of MOSFETs
US20140262749A1 (en) Methods of Plasma Surface Treatment in a PVD Chamber
US20140183161A1 (en) Methods and Systems for Site-Isolated Combinatorial Substrate Processing Using a Mask
US20140174907A1 (en) High Deposition Rate Chamber with Co-Sputtering Capabilities
CN112930582A (en) Spatial wafer processing with improved temperature uniformity

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION