US20140264717A1 - Method of Fabricating a FinFET Device - Google Patents

Method of Fabricating a FinFET Device Download PDF

Info

Publication number
US20140264717A1
US20140264717A1 US13/871,644 US201313871644A US2014264717A1 US 20140264717 A1 US20140264717 A1 US 20140264717A1 US 201313871644 A US201313871644 A US 201313871644A US 2014264717 A1 US2014264717 A1 US 2014264717A1
Authority
US
United States
Prior art keywords
fin
spacer
layer
fins
dummy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/871,644
Other versions
US8846490B1 (en
Inventor
Ming-Feng Shieh
Chen-Yu Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US13/871,644 priority Critical patent/US8846490B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, CHEN-YU, SHIEH, MING-FENG
Priority to US14/477,212 priority patent/US9129839B2/en
Publication of US20140264717A1 publication Critical patent/US20140264717A1/en
Application granted granted Critical
Publication of US8846490B1 publication Critical patent/US8846490B1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability

Definitions

  • an overlay error margin is also reduced when performing a fin cut process while fabricating a fin field effect transistor (FinFET) device.
  • the reduced overlay error margin becomes increasing difficult to manage. Accordingly, what is needed is a method for performing the fin cut process within the overlay error margin.
  • FIG. 1 is a flow chart of a method of fabricating a device for implementing one or more embodiments of the present invention.
  • FIGS. 2-12B are top and cross sectional views of an example device being fabricated according to the method of FIG. 1 .
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • FIG. 1 a flow chart of a method 100 for fabricating a device is illustrated. It is understood that additional steps can be provided before, during, and after the method 100 , and some steps described can be replaced, eliminated, or moved around for additional embodiments of the method.
  • the method 100 will be further described below, and more specific embodiments of forming an example device 200 , as shown in FIGS. 2-12B .
  • the method 100 is an example, and is not intended to limit the present disclosure beyond what is explicitly recited in the claims.
  • the method 100 begins at step 102 by providing a substrate.
  • the device 200 includes a semiconductor layer 201 , a pad oxide layer 203 a , a silicon nitride (SiN) layer 203 b , a first amorphous carbon (APF) layer 204 , a first capping layer 206 , a second APF layer 207 a , and a second capping layer 207 b .
  • the layers 201 , 203 a , and 203 b will be collectively referred to as base substrate 202 .
  • the semiconductor layer 201 is a silicon wafer.
  • the semiconductor layer 201 includes another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; or an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP.
  • the layer 201 may include a plurality of layers. The plurality of layers may include a conducting layer, a dielectric layer, or combination thereof.
  • the dielectric layer includes silicon oxide, silicon nitride, or silicon oxynitride (SiON). In another embodiment, the dielectric layer may include other suitable high-k or low-k dielectric material. In one example, the plurality of layers includes a pad silicon oxide layer, a silicon nitride layer, an amorphous carbon (APF) layer, and a silicon oxynitride layer.
  • APF amorphous carbon
  • the capping layers 206 , 207 b may be formed of various materials, such as SiON. Also, the capping layer 206 may include a different material than layer 207 b , and the first amorphous silicon layer 204 may include a different material than layer 207 a . Different materials support different etch selectivities, which can be used in one or more embodiments discussed below.
  • the method 100 proceeds to step 104 by creating a mandrel pattern over the device.
  • the device 200 includes a dummy mandrel 209 , also referred to as a sacrificial mandrel.
  • the dummy mandrel 209 is a hard mask.
  • the hard mask may include materials such as silicon oxide, silicon nitride, or silicon oxynitride. Other suitable materials are possible.
  • One way of forming the hard mask includes using a deposition process, such as a CVD or a PVD process, and a patterning process, such as photolithography.
  • the dummy mandrel pattern 209 is used as a hard mask to etch the second capping layer 207 b and the second APF layer 207 a to form a mandrel pattern 208 , as shown in the FIG. 3 .
  • Various types of etchant processes may be used, including wet etch or dry etch.
  • Each of the mandrel patterns 208 has a first dimension D1 and a first pitch 2 P.
  • the method 100 proceeds to step 106 by depositing a first spacer layer over the mandrel patterns.
  • a first spacer layer 212 is deposited over the mandrel patterns 208 (individually designated 208 a - d ) formed over the first capping layer 206 .
  • the first spacer layer 212 may include a dielectric material, such as silicon oxide, silicon nitride, or silicon oxynitride. Forming the first spacer layer 212 includes using a deposition process, such as a CVD or a PVD process.
  • the method 100 proceeds to step 108 by removing portions of the first spacer layer. In one embodiment, this is done by performing an anisotropic etch process so that the first spacer layer only remains on sidewalls of the hard mask pattern. Referring now to FIGS. 5A (top view) and 5 B (cross sectional view), portions of the first spacer layer 212 disposed over top of the hard mask patterns 208 a - d are removed, portions of the first spacer layer 212 disposed over the surface of the capping layer 206 are also removed, and the first spacer layer 212 only remains on sidewalls of the hard mask patterns 208 a - d . In the present embodiment, removing portions of the first spacer layer 212 includes using a plasma etching process.
  • the method 100 proceeds to step 110 , where a plurality of spacer fins are formed.
  • the mandrel 209 is removed. This can be done by one or more conventional processes, such as wet etch, and dry etch.
  • the material used in layers 207 a and 207 b can be specified such that a selective dry etch would remove the mandrels 209 and portions of the first spacer 212 (leaving the material on the sidewalls), but not the layer 206 .
  • a selective dry etch would remove the mandrels 209 and portions of the first spacer 212 (leaving the material on the sidewalls), but not the layer 206 .
  • the patterned spacers 212 are referred to as spacer fins, and have a dimension D2 that is less than D1 ( FIG. 3 ).
  • the method 100 proceeds to step 112 by performing a first fin cut process.
  • a first resist pattern 224 a is formed to protect the spacer fins on the left side of the figure
  • a first resist pattern 224 b is formed to protect the spacer fins in the middle of the figure
  • a first resist pattern 224 c is formed to protect the spacer fins on the right side of the figure.
  • the protected spacer fins are designated 212 a
  • the remaining un-protected fins are designated 212 b .
  • the unprotected fins 212 b are cut or removed in the first fin cut process, such as by using an etch process.
  • the method 100 proceeds to step 114 by depositing a second spacer layer over the substrate and the spacer fins 212 a .
  • a second spacer layer 226 is deposited over the spacer fins 212 a and the first capping layer 206 .
  • the second spacer layer 226 includes a second thickness used to compensate the intentionally decreased width of the spacer fins 212 a .
  • the spacer layer 226 includes a hard mask material, such as silicon oxide, silicon nitride, or silicon oxynitride. Forming the spacer layer 226 includes using a deposition process, such as a CVD or a PVD process.
  • the method 100 proceeds to step 116 by performing an etch back process to remove the second spacer layer disposed over top of the spacer fin and over the substrate.
  • the second spacer layer 226 is removed, except from the sidewalls of the spacer fins 212 a .
  • the second spacer layer 226 disposed over top of the spacer fins 212 a and over the surface of layer 206 (not occupying by the spacer fins 218 a ) are removed using an etch back process and/or a cleaning process.
  • the remaining spacers 212 a with spacer layer 226 collectively referred to as second spacer fins 227 , have a dimension D3 that is larger than D2 ( FIG. 5B ).
  • the method 100 proceeds to step 118 by forming a fin stack in the substrate using the second spacer fins as a mask.
  • fin stacks 228 a - f are formed using the second spacer fins 227 ( FIG. 8B ) as a hard mask.
  • Forming the fin stacks 228 a - f includes using an etching and a cleaning process.
  • the fin stacks 228 a - f include portions of the semiconductor layer 201 , pad oxide layer 203 a , SiN layer 203 b , the first APF layer 204 , and the first capping layer 206 .
  • the semiconductor layer 201 is partially etched, so that it extends between and forms part of the fin stacks 228 a - f . It is understood that different layers can be used, as a choice of design.
  • the method 100 proceeds to step 120 by performing a second fin cut process to form a plurality of fin structures and a plurality of dummy fins.
  • the first fin cut process is considered a fine cut process
  • the second fin cut process is considered a coarse cut process.
  • Performing the second fin cut process also includes using a lithography process and/or cleaning process.
  • FIGS. 10A (top view) and 10 B (cross sectional view) a second resist pattern 230 is formed to protect the fin stacks 228 c and 228 d .
  • Portions of the remaining, unprotected fin stacks i.e., fin stacks 228 a , 228 b , 228 e , and 228 f , are removed or “cut” during the second fin cut process.
  • the remaining portions of protected, or un-cut fin stacks 228 c and 228 d remain, and the unprotected and cut fin stacks 228 a , 228 b , 228 e , and 228 f now serve as dummy fins.
  • the second resist pattern 230 has a relatively large overlay error margin, as compared to the first fin cut process.
  • an additional material-removing process can be used to remove material from one or more of the fin stacks 228 a - 228 f ( FIG. 10B ).
  • the first APF layer 204 , and the first capping layer 206 are removed from the fin stacks 228 c and 228 d , thereby forming fin structures 234 a and 234 b , respectively. This can be done using an etch process and/or an ion-trim process.
  • the dummy fins 228 a - b and 228 e - f can also have material removed, thereby forming dummy structures 234 a and 234 b . As shown in FIGS. 11A and 11B , dummy structure 234 a is adjacent to fin structure 232 a , and dummy structure 234 b is adjacent to fin structure 232 b.
  • the dummy fins 234 a and 234 b reduce a strain built over the substrate by displacing more fin-like structures in a non-active or non-circuit area.
  • the dummy fins 234 a and 234 b also improve CD (critical dimension) uniformity of fin structures by reducing CD variation of an edge fin compared to a center fin.
  • the method 100 proceeds to step 122 by forming an isolation structure embedding the fin structures disposed over the substrate.
  • an isolation structure 236 is formed over the base substrate 202 with the fin structures 232 a and 232 b embedded into the isolation structure 236 and the dummy fins 234 a and 234 b buried into the isolation structure 236 .
  • the isolation structure 236 includes silicon oxide, silicon nitride, silicon oxynitride, or combination thereof. Forming the isolation structure 236 may include using a deposition process, such as a CVD or PVD process, and further include a recess etching process, or a CMP process.
  • the film deposition process includes depositing a physical vapor deposition (PVD) process such as evaporation and DC magnetron sputtering, a plating process such as electrode-less plating or electroplating, a chemical vapor deposition (CVD) process such as atmospheric pressure CVD (APCVD), a low pressure CVD (LPCVD), a plasma enhanced CVD (PECVD), or a high density plasma CVD (HDP CVD), an ion beam deposition, spin-on coating, a metal-organic decomposition (MOD), an atomic layer deposition (ALD) process and/or other suitable methods.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • APCVD atmospheric pressure CVD
  • LPCVD low pressure CVD
  • PECVD plasma enhanced CVD
  • HDP CVD high density plasma CVD
  • MOD metal-organic decomposition
  • ALD atomic layer deposition
  • the lithography process may include coating a resist film over a wafer substrate, exposing the resist film deposited over the wafer substrate by an optical lithography tool or an electron beam writer, and developing the exposed resist film to form a resist pattern for an ion trim process or an etching process.
  • a resist is also referred to as a photo resist.
  • a resist may include a positive resist or a negative resist.
  • a resist film may include a single layer resist film or a multiple layer resist film.
  • One way of coating the resist film over the wafer substrate includes performing a dehydration process before applying the resist film over the wafer substrate, which can enhance an adhesion of the resist film to the wafer substrate.
  • the dehydration process may include baking the substrate at a high temperature for a duration of time, or applying a chemical such as hexamethyldisilizane (HMDS) to the substrate.
  • HMDS hexamethyldisilizane
  • a different way may also include a soft bake (SB) process to drive a solvent out of a resist film and increase mechanical strength of a resist film.
  • Another alternative way may include applying an antireflective coating, such as a bottom antireflective coating (BARC) or a top antireflective coating (TARC).
  • BARC bottom antireflective coating
  • TARC top antireflective coating
  • Exposing the resist film deposited over the wafer substrate includes using an optical exposing tool or a charged particle exposing tool.
  • the optical lithography tool may include an I-line, a deep ultraviolet (DUV), an extreme ultraviolet (EUV) tool, or an ArF tool.
  • the charged particle exposing tool includes an electron beam or an ion beam tool.
  • the using the optical exposing tool includes using a mask.
  • the mask may be a binary mask (BIM), a super binary mask (SBIM), or a phase shift mask (PSM), which includes an alternative phase shift mask (alt. PSM) or an attenuated phase shift mask (att. PSM).
  • Developing the exposed resist film may include a post exposure bake (PEB), a post develop bake (PDB) process, or a combination thereof.
  • the etching process may include a dry (plasma) etching, a wet etching, and/or other etching methods.
  • a dry etching process may implement an oxygen-containing gas, a fluorine-containing gas (e.g., CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 ), a chlorine-containing gas (e.g., Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3 ), a bromine-containing gas (e.g., HBr and/or CHBR 3 ), an iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof.
  • a fluorine-containing gas e.g., CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6
  • a chlorine-containing gas e.g., Cl 2 , CHCl 3 , CCl 4
  • a method for fabricating a semiconductor device includes receiving a substrate having a first dummy pattern and depositing a first spacer layer over the first dummy pattern. The first spacer layer is removed, except from sidewalls of the first dummy pattern, to form first spacer fins. The method further includes performing a first fin cut process to remove at least one of the first spacer fins. After the first fin cut process, a second spacer layer is deposited over the remaining first spacer fins. The method further includes removing the second spacer layer, except from sidewalls of the remaining first spacer fins. After removing the second spacer layer, fin stacks are etched, using the remaining first spacer fins. A second fin cut process is performed to modify some, but not all, of the fin stacks, thereby forming fin structures and dummy fins.
  • a method of forming a semiconductor device includes receiving a substrate having a plurality of layers and forming a dummy mandrel layer over the substrate, and a hard mask layer over the dummy mandrel layer.
  • the method also includes forming hard mask patterns using the hard mask layer and depositing a first spacer layer over the hard mask patterns.
  • the method also includes forming spacer fins and performing a first fin cut process to remove at least one of the spacer fins.
  • the method includes depositing a second spacer layer over the spacer fins and performing an etching process to form a fin stack using the spacer fins.
  • a second fin cut process is used to cut some, but not all, of the fin stacks.
  • the hard mask patterns includes a first width D1; the spacer fins including a second width D2, and the spacer fins with the second spacer have a third width D3, such that D2 ⁇ D3 ⁇ D1.
  • a method of forming a fin structure of a semiconductor device includes providing a substrate, creating a mandrel pattern over the substrate, depositing a first spacer layer over the mandrel pattern, and removing portions of the first spacer layer to form a first plurality of spacer fins.
  • the method also includes performing a first fin cut process to remove a subset of the first plurality of spacer fins, depositing a second spacer layer over the un-removed first plurality of spacer fins, and removing portions of the second spacer layer to form a second plurality of spacer fins.
  • the method further includes forming a plurality of fin structures, and performing a second fin cut process to remove a subset of the plurality of fin structures.
  • the method includes receiving a substrate having an etch stop layer deposited over the substrate, a first spacer layer deposited over the etch stop layer, and a hard mask layer deposited over the first spacer layer, forming a plurality of hard mask patterns using the hard mask layer, wherein the hard mask patterns pattern includes a first dimension adjusted by a predetermined value, depositing a first spacer layer over the hard mask patterns, wherein the first spacer layer includes a first thickness adjusted by the predetermined value, forming a plurality of spacer fins in the first spacer layer by using the hard mask patterns, wherein the spacer fins include a second dimension, a first space, and a second space, performing a first fin cut process to remove at least one spacer fin, adjusting the second dimension to a target dimension, executing an etching process to form a fin stack using the spacer fin, and forming a fin structure surrounded by a plurality of dummy fins over the substrate by performing a second fin cut process.
  • the method further includes forming an isolation structure over the substrate, wherein the isolation structure embeds the fin structure.
  • Forming the isolation structure further includes burying the dummy fins.
  • the first dimension is a function of the first space.
  • the first thickness is a function of the second dimension.
  • the first space is equal to the second space.
  • Adjusting the second dimension includes depositing a second spacer layer over the spacer fins, wherein the second spacer layer includes a second thickness.
  • Adjusting the second dimension further includes depositing the third pacer layer over sidewall of the spacer fins.
  • a method in another embodiment, includes receiving a substrate having a plurality of layers, depositing an etch stop layer over the substrate, a first spacer layer over the etch stop layer, and a hard mask layer over the first spacer layer, forming a plurality of hard mask patterns using the hard mask layer, wherein the hard mask patterns includes a first dimension adjusted by a predetermined value, depositing a first spacer layer over the hard mask patterns, wherein the first spacer layer includes a first thickness adjusted by the predetermined value, forming a plurality of spacer fins including a space and a width by using the hard mask patterns, wherein the space is a function of the first dimension and the width is a function of the first thickness, performing a first fin cut process to remove at least one spacer fin, adjusting the width to a target dimension by depositing a second spacer layer over the spacer fins, wherein the second spacer layer includes a second thickness determined by the predetermined value, performing an etching process to form a fin stack using the spacer fins,
  • the plurality of layers includes silicon oxide, silicon nitride, silicon oxynitride layer, or combination thereof.
  • the etch stop layer includes silicon oxide, silicon nitride, silicon oxynitride layer, or combination thereof.
  • the hard mask layer includes silicon oxide, silicon nitride, silicon oxynitride layer, or combination thereof.
  • the first spacer layer includes silicon oxide, silicon nitride, silicon oxynitride, or combination thereof.
  • the first spacer layer includes silicon oxide, silicon nitride, silicon oxynitride, or combination thereof.
  • the second spacer layer includes silicon oxide, silicon nitride, silicon oxynitride, or combination thereof.
  • a method in another embodiment, includes receiving a substrate having a plurality of layers, depositing an etch stop layer over the substrate, a first spacer layer over the etch stop layer, and a hard mask layer over the first spacer layer, forming a plurality of hard mask patterns using the hard mask layer, wherein the hard mask patterns includes a first dimension increased by a predetermined value, depositing a first spacer layer over the hard mask patterns, wherein the first spacer layer includes a first thickness decreased by the predetermined value, transferring the hard mask patterns into the first space layer to form a plurality of spacer fins including a space and a width, wherein the space is increased by the predetermined value and the width is decreased by the predetermined value, performing a first fin cut process to remove at least one spacer fin by using a first resist pattern, adjusting the width to a target dimension by depositing a second spacer layer over the spacer fins, wherein the second spacer layer includes a second thickness determined by the predetermined value, performing a second fin cut process by using
  • a semiconductor device includes a substrate, a first fin structure, and a second fin structure.
  • the device also includes a first dummy fin structure adjacent to the first fin structure, and a second dummy fin structure adjacent to the second fin structure.
  • the device further includes an isolation layer over the substrate and the first and second dummy fin structures, and surrounding the first and second fin structures.
  • the first and second fin structures are spaced at a distance D1, and the first dummy fin structure is spaced from the first fin structure by a distance D2, such that D1 ⁇ D2.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method of forming a fin structure of a semiconductor device includes providing a substrate, creating a mandrel pattern over the substrate, depositing a first spacer layer over the mandrel pattern, and removing portions of the first spacer layer to form first spacer fins. The method also includes performing a first fin cut process to remove a subset of the first spacer fins, depositing a second spacer layer over the un-removed first spacer fins, and removing portions of the second spacer layer to form second spacer fins. The method further includes forming fin structures, and performing a second fin cut process to remove a subset of the fin structures.

Description

  • The present patent claims the benefit of U.S. Ser. No. 61/777,083 filed Mar. 12, 2013, the disclosure of which is hereby incorporated by reference.
  • BACKGROUND
  • The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs and, for these advances to be realized, similar developments in IC processing and manufacturing are needed.
  • For example, as the critical dimension (CD) of device is scaled down, an overlay error margin is also reduced when performing a fin cut process while fabricating a fin field effect transistor (FinFET) device. The reduced overlay error margin becomes increasing difficult to manage. Accordingly, what is needed is a method for performing the fin cut process within the overlay error margin.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is best understood from the following detailed description when read with accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purpose only. In fact, the dimension of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a flow chart of a method of fabricating a device for implementing one or more embodiments of the present invention.
  • FIGS. 2-12B are top and cross sectional views of an example device being fabricated according to the method of FIG. 1.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Referring now to FIG. 1, a flow chart of a method 100 for fabricating a device is illustrated. It is understood that additional steps can be provided before, during, and after the method 100, and some steps described can be replaced, eliminated, or moved around for additional embodiments of the method. The method 100 will be further described below, and more specific embodiments of forming an example device 200, as shown in FIGS. 2-12B. The method 100 is an example, and is not intended to limit the present disclosure beyond what is explicitly recited in the claims.
  • The method 100 begins at step 102 by providing a substrate. Referring to FIG. 2, the device 200 includes a semiconductor layer 201, a pad oxide layer 203 a, a silicon nitride (SiN) layer 203 b, a first amorphous carbon (APF) layer 204, a first capping layer 206, a second APF layer 207 a, and a second capping layer 207 b. The layers 201, 203 a, and 203 b will be collectively referred to as base substrate 202.
  • In the present embodiment, the semiconductor layer 201 is a silicon wafer. Alternatively or additionally, the semiconductor layer 201 includes another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; or an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP. In some embodiments, the layer 201 may include a plurality of layers. The plurality of layers may include a conducting layer, a dielectric layer, or combination thereof. In one embodiment, the dielectric layer includes silicon oxide, silicon nitride, or silicon oxynitride (SiON). In another embodiment, the dielectric layer may include other suitable high-k or low-k dielectric material. In one example, the plurality of layers includes a pad silicon oxide layer, a silicon nitride layer, an amorphous carbon (APF) layer, and a silicon oxynitride layer.
  • The capping layers 206, 207 b may be formed of various materials, such as SiON. Also, the capping layer 206 may include a different material than layer 207 b, and the first amorphous silicon layer 204 may include a different material than layer 207 a. Different materials support different etch selectivities, which can be used in one or more embodiments discussed below.
  • The method 100 proceeds to step 104 by creating a mandrel pattern over the device. Referring to FIG. 2, the device 200 includes a dummy mandrel 209, also referred to as a sacrificial mandrel. In the present embodiment, the dummy mandrel 209 is a hard mask. The hard mask may include materials such as silicon oxide, silicon nitride, or silicon oxynitride. Other suitable materials are possible. One way of forming the hard mask includes using a deposition process, such as a CVD or a PVD process, and a patterning process, such as photolithography.
  • In the present embodiments, the dummy mandrel pattern 209 is used as a hard mask to etch the second capping layer 207 b and the second APF layer 207 a to form a mandrel pattern 208, as shown in the FIG. 3. Various types of etchant processes may be used, including wet etch or dry etch. Each of the mandrel patterns 208 has a first dimension D1 and a first pitch 2P.
  • The method 100 proceeds to step 106 by depositing a first spacer layer over the mandrel patterns. Referring now to FIGS. 4A (top view) and 4B (cross section view), a first spacer layer 212 is deposited over the mandrel patterns 208 (individually designated 208 a-d) formed over the first capping layer 206. The first spacer layer 212 may include a dielectric material, such as silicon oxide, silicon nitride, or silicon oxynitride. Forming the first spacer layer 212 includes using a deposition process, such as a CVD or a PVD process.
  • The method 100 proceeds to step 108 by removing portions of the first spacer layer. In one embodiment, this is done by performing an anisotropic etch process so that the first spacer layer only remains on sidewalls of the hard mask pattern. Referring now to FIGS. 5A (top view) and 5B (cross sectional view), portions of the first spacer layer 212 disposed over top of the hard mask patterns 208 a-d are removed, portions of the first spacer layer 212 disposed over the surface of the capping layer 206 are also removed, and the first spacer layer 212 only remains on sidewalls of the hard mask patterns 208 a-d. In the present embodiment, removing portions of the first spacer layer 212 includes using a plasma etching process.
  • The method 100 proceeds to step 110, where a plurality of spacer fins are formed. As shown in FIGS. 5A and 5B, the mandrel 209 is removed. This can be done by one or more conventional processes, such as wet etch, and dry etch. Also or in the alternative, the material used in layers 207 a and 207 b can be specified such that a selective dry etch would remove the mandrels 209 and portions of the first spacer 212 (leaving the material on the sidewalls), but not the layer 206. As a result, only patterned portions of the spacer layer 212 remain. The patterned spacers 212 are referred to as spacer fins, and have a dimension D2 that is less than D1 (FIG. 3).
  • The method 100 proceeds to step 112 by performing a first fin cut process. Referring now to FIGS. 6A (top view) and 6B (cross sectional view), a first resist pattern 224 a is formed to protect the spacer fins on the left side of the figure; a first resist pattern 224 b is formed to protect the spacer fins in the middle of the figure; and a first resist pattern 224 c is formed to protect the spacer fins on the right side of the figure. The protected spacer fins are designated 212 a, and the remaining un-protected fins are designated 212 b. As shown in FIG. 7B, in one embodiment, the unprotected fins 212 b are cut or removed in the first fin cut process, such as by using an etch process.
  • The method 100 proceeds to step 114 by depositing a second spacer layer over the substrate and the spacer fins 212 a. Referring now to FIG. 7A (top view) and 7B (cross sectional view), a second spacer layer 226 is deposited over the spacer fins 212 a and the first capping layer 206. In the present embodiments, the second spacer layer 226 includes a second thickness used to compensate the intentionally decreased width of the spacer fins 212 a. In some embodiments, the spacer layer 226 includes a hard mask material, such as silicon oxide, silicon nitride, or silicon oxynitride. Forming the spacer layer 226 includes using a deposition process, such as a CVD or a PVD process.
  • The method 100 proceeds to step 116 by performing an etch back process to remove the second spacer layer disposed over top of the spacer fin and over the substrate. Referring now to FIGS. 8A (top view) and 8B (cross sectional view), the second spacer layer 226 is removed, except from the sidewalls of the spacer fins 212 a. In the present embodiments, the second spacer layer 226 disposed over top of the spacer fins 212 a and over the surface of layer 206 (not occupying by the spacer fins 218 a) are removed using an etch back process and/or a cleaning process. The remaining spacers 212 a with spacer layer 226, collectively referred to as second spacer fins 227, have a dimension D3 that is larger than D2 (FIG. 5B).
  • The method 100 proceeds to step 118 by forming a fin stack in the substrate using the second spacer fins as a mask. Referring now to FIGS. 9A (top view) and 9B (cross sectional view), fin stacks 228 a-f are formed using the second spacer fins 227 (FIG. 8B) as a hard mask. Forming the fin stacks 228 a-f includes using an etching and a cleaning process. In the present embodiments, the fin stacks 228 a-f include portions of the semiconductor layer 201, pad oxide layer 203 a, SiN layer 203 b, the first APF layer 204, and the first capping layer 206. Also in the present embodiment and as shown in the figures, the semiconductor layer 201 is partially etched, so that it extends between and forms part of the fin stacks 228 a-f. It is understood that different layers can be used, as a choice of design.
  • The method 100 proceeds to step 120 by performing a second fin cut process to form a plurality of fin structures and a plurality of dummy fins. In the present embodiments, the first fin cut process is considered a fine cut process, and the second fin cut process is considered a coarse cut process. Performing the second fin cut process also includes using a lithography process and/or cleaning process. Referring now to FIGS. 10A (top view) and 10B (cross sectional view), a second resist pattern 230 is formed to protect the fin stacks 228 c and 228 d. Portions of the remaining, unprotected fin stacks, i.e., fin stacks 228 a, 228 b, 228 e, and 228 f, are removed or “cut” during the second fin cut process. The remaining portions of protected, or un-cut fin stacks 228 c and 228 d remain, and the unprotected and cut fin stacks 228 a, 228 b, 228 e, and 228 f now serve as dummy fins.
  • In the present embodiments, because a spacer fin was removed in the first fin cut process (step 112), the second resist pattern 230 has a relatively large overlay error margin, as compared to the first fin cut process.
  • Referring to FIGS. 11A (top view) and 11B (cross-sectional view), in some embodiments, an additional material-removing process can be used to remove material from one or more of the fin stacks 228 a-228 f (FIG. 10B). For example, and as shown in the figures, the first APF layer 204, and the first capping layer 206 are removed from the fin stacks 228 c and 228 d, thereby forming fin structures 234 a and 234 b, respectively. This can be done using an etch process and/or an ion-trim process. The dummy fins 228 a-b and 228 e-f can also have material removed, thereby forming dummy structures 234 a and 234 b. As shown in FIGS. 11A and 11B, dummy structure 234 a is adjacent to fin structure 232 a, and dummy structure 234 b is adjacent to fin structure 232 b.
  • In the present embodiment, the dummy fins 234 a and 234 b reduce a strain built over the substrate by displacing more fin-like structures in a non-active or non-circuit area. The dummy fins 234 a and 234 b also improve CD (critical dimension) uniformity of fin structures by reducing CD variation of an edge fin compared to a center fin.
  • The method 100 proceeds to step 122 by forming an isolation structure embedding the fin structures disposed over the substrate. Referring now to FIGS. 12A (top view) and 12B (cross sectional view), an isolation structure 236 is formed over the base substrate 202 with the fin structures 232 a and 232 b embedded into the isolation structure 236 and the dummy fins 234 a and 234 b buried into the isolation structure 236. In some embodiments, the isolation structure 236 includes silicon oxide, silicon nitride, silicon oxynitride, or combination thereof. Forming the isolation structure 236 may include using a deposition process, such as a CVD or PVD process, and further include a recess etching process, or a CMP process.
  • In the foregoing discussion, by fabricating a device, various processes, such as a film deposition process, a lithography process, an etching process, an ion implantation process, a CMP process, and a cleaning process, are performed. In the present embodiments, the film deposition process includes depositing a physical vapor deposition (PVD) process such as evaporation and DC magnetron sputtering, a plating process such as electrode-less plating or electroplating, a chemical vapor deposition (CVD) process such as atmospheric pressure CVD (APCVD), a low pressure CVD (LPCVD), a plasma enhanced CVD (PECVD), or a high density plasma CVD (HDP CVD), an ion beam deposition, spin-on coating, a metal-organic decomposition (MOD), an atomic layer deposition (ALD) process and/or other suitable methods.
  • In some embodiments, the lithography process may include coating a resist film over a wafer substrate, exposing the resist film deposited over the wafer substrate by an optical lithography tool or an electron beam writer, and developing the exposed resist film to form a resist pattern for an ion trim process or an etching process. In the present embodiment, a resist is also referred to as a photo resist. A resist may include a positive resist or a negative resist. A resist film may include a single layer resist film or a multiple layer resist film.
  • One way of coating the resist film over the wafer substrate includes performing a dehydration process before applying the resist film over the wafer substrate, which can enhance an adhesion of the resist film to the wafer substrate. The dehydration process may include baking the substrate at a high temperature for a duration of time, or applying a chemical such as hexamethyldisilizane (HMDS) to the substrate. A different way may also include a soft bake (SB) process to drive a solvent out of a resist film and increase mechanical strength of a resist film. Another alternative way may include applying an antireflective coating, such as a bottom antireflective coating (BARC) or a top antireflective coating (TARC).
  • Exposing the resist film deposited over the wafer substrate includes using an optical exposing tool or a charged particle exposing tool. The optical lithography tool may include an I-line, a deep ultraviolet (DUV), an extreme ultraviolet (EUV) tool, or an ArF tool. The charged particle exposing tool includes an electron beam or an ion beam tool. The using the optical exposing tool includes using a mask. The mask may be a binary mask (BIM), a super binary mask (SBIM), or a phase shift mask (PSM), which includes an alternative phase shift mask (alt. PSM) or an attenuated phase shift mask (att. PSM). Developing the exposed resist film may include a post exposure bake (PEB), a post develop bake (PDB) process, or a combination thereof.
  • The etching process may include a dry (plasma) etching, a wet etching, and/or other etching methods. For example, a dry etching process may implement an oxygen-containing gas, a fluorine-containing gas (e.g., CF4, SF6, CH2F2, CHF3, and/or C2F6), a chlorine-containing gas (e.g., Cl2, CHCl3, CCl4, and/or BCl3), a bromine-containing gas (e.g., HBr and/or CHBR3), an iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof.
  • The present disclosure provides multiple embodiments for fabricating a semiconductor device. In one embodiment, a method for fabricating a semiconductor device includes receiving a substrate having a first dummy pattern and depositing a first spacer layer over the first dummy pattern. The first spacer layer is removed, except from sidewalls of the first dummy pattern, to form first spacer fins. The method further includes performing a first fin cut process to remove at least one of the first spacer fins. After the first fin cut process, a second spacer layer is deposited over the remaining first spacer fins. The method further includes removing the second spacer layer, except from sidewalls of the remaining first spacer fins. After removing the second spacer layer, fin stacks are etched, using the remaining first spacer fins. A second fin cut process is performed to modify some, but not all, of the fin stacks, thereby forming fin structures and dummy fins.
  • In another embodiment, a method of forming a semiconductor device includes receiving a substrate having a plurality of layers and forming a dummy mandrel layer over the substrate, and a hard mask layer over the dummy mandrel layer. The method also includes forming hard mask patterns using the hard mask layer and depositing a first spacer layer over the hard mask patterns. The method also includes forming spacer fins and performing a first fin cut process to remove at least one of the spacer fins. The method includes depositing a second spacer layer over the spacer fins and performing an etching process to form a fin stack using the spacer fins. A second fin cut process is used to cut some, but not all, of the fin stacks. The hard mask patterns includes a first width D1; the spacer fins including a second width D2, and the spacer fins with the second spacer have a third width D3, such that D2<D3<D1.
  • In yet another embodiment, a method of forming a fin structure of a semiconductor device includes providing a substrate, creating a mandrel pattern over the substrate, depositing a first spacer layer over the mandrel pattern, and removing portions of the first spacer layer to form a first plurality of spacer fins. The method also includes performing a first fin cut process to remove a subset of the first plurality of spacer fins, depositing a second spacer layer over the un-removed first plurality of spacer fins, and removing portions of the second spacer layer to form a second plurality of spacer fins. The method further includes forming a plurality of fin structures, and performing a second fin cut process to remove a subset of the plurality of fin structures.
  • In another embodiment, the method includes receiving a substrate having an etch stop layer deposited over the substrate, a first spacer layer deposited over the etch stop layer, and a hard mask layer deposited over the first spacer layer, forming a plurality of hard mask patterns using the hard mask layer, wherein the hard mask patterns pattern includes a first dimension adjusted by a predetermined value, depositing a first spacer layer over the hard mask patterns, wherein the first spacer layer includes a first thickness adjusted by the predetermined value, forming a plurality of spacer fins in the first spacer layer by using the hard mask patterns, wherein the spacer fins include a second dimension, a first space, and a second space, performing a first fin cut process to remove at least one spacer fin, adjusting the second dimension to a target dimension, executing an etching process to form a fin stack using the spacer fin, and forming a fin structure surrounded by a plurality of dummy fins over the substrate by performing a second fin cut process. The method further includes forming an isolation structure over the substrate, wherein the isolation structure embeds the fin structure. Forming the isolation structure further includes burying the dummy fins. The first dimension is a function of the first space. The first thickness is a function of the second dimension. The first space is equal to the second space. Adjusting the second dimension includes depositing a second spacer layer over the spacer fins, wherein the second spacer layer includes a second thickness. Adjusting the second dimension further includes depositing the third pacer layer over sidewall of the spacer fins. The second thickness is controlled by a predetermined value. Adjusting the second dimension further includes removing the second spacer layer disposed over top of the spacer fins.
  • In another embodiment, a method includes receiving a substrate having a plurality of layers, depositing an etch stop layer over the substrate, a first spacer layer over the etch stop layer, and a hard mask layer over the first spacer layer, forming a plurality of hard mask patterns using the hard mask layer, wherein the hard mask patterns includes a first dimension adjusted by a predetermined value, depositing a first spacer layer over the hard mask patterns, wherein the first spacer layer includes a first thickness adjusted by the predetermined value, forming a plurality of spacer fins including a space and a width by using the hard mask patterns, wherein the space is a function of the first dimension and the width is a function of the first thickness, performing a first fin cut process to remove at least one spacer fin, adjusting the width to a target dimension by depositing a second spacer layer over the spacer fins, wherein the second spacer layer includes a second thickness determined by the predetermined value, performing an etching process to form a fin stack using the spacer fins, and forming a fin structure surrounded by a plurality of dummy fins in the substrate by performing a second fin cut process. The plurality of layers includes silicon oxide, silicon nitride, silicon oxynitride layer, or combination thereof. The etch stop layer includes silicon oxide, silicon nitride, silicon oxynitride layer, or combination thereof. The hard mask layer includes silicon oxide, silicon nitride, silicon oxynitride layer, or combination thereof. The first spacer layer includes silicon oxide, silicon nitride, silicon oxynitride, or combination thereof. The first spacer layer includes silicon oxide, silicon nitride, silicon oxynitride, or combination thereof. The second spacer layer includes silicon oxide, silicon nitride, silicon oxynitride, or combination thereof.
  • In another embodiment, a method includes receiving a substrate having a plurality of layers, depositing an etch stop layer over the substrate, a first spacer layer over the etch stop layer, and a hard mask layer over the first spacer layer, forming a plurality of hard mask patterns using the hard mask layer, wherein the hard mask patterns includes a first dimension increased by a predetermined value, depositing a first spacer layer over the hard mask patterns, wherein the first spacer layer includes a first thickness decreased by the predetermined value, transferring the hard mask patterns into the first space layer to form a plurality of spacer fins including a space and a width, wherein the space is increased by the predetermined value and the width is decreased by the predetermined value, performing a first fin cut process to remove at least one spacer fin by using a first resist pattern, adjusting the width to a target dimension by depositing a second spacer layer over the spacer fins, wherein the second spacer layer includes a second thickness determined by the predetermined value, performing a second fin cut process by using a second resist pattern, and forming the fin structure surrounded by a plurality of dummy fins. The method further includes performing an anisotropic etching process to remove the second spacer layer disposed over top of the spacer fins. Forming the fin structures includes using an etching process to transfer the spacer fins into the substrate.
  • The present disclosure also provides multiple embodiments of a semiconductor device. In one embodiment, a semiconductor device includes a substrate, a first fin structure, and a second fin structure. The device also includes a first dummy fin structure adjacent to the first fin structure, and a second dummy fin structure adjacent to the second fin structure. The device further includes an isolation layer over the substrate and the first and second dummy fin structures, and surrounding the first and second fin structures. The first and second fin structures are spaced at a distance D1, and the first dummy fin structure is spaced from the first fin structure by a distance D2, such that D1<D2.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A method of fabricating a semiconductor device, the method comprising:
receiving a substrate having a first dummy pattern;
depositing a first spacer layer over the first dummy pattern;
removing the first spacer layer, except from sidewalls of the first dummy pattern to form first spacer fins;
performing a first fin cut process to remove at least one of the first spacer fins;
after the first fin cut process, depositing a second spacer layer over the remaining first spacer fins;
removing the second spacer layer, except from sidewalls of the remaining first spacer fins;
after removing the second spacer layer, etching fin stacks using the remaining first spacer fins; and
performing a second fin cut process to modify some, but not all, of the fin stacks, thereby forming fin structures and dummy fins.
2. The method of claim 1, further comprising forming an isolation structure over the substrate, wherein the isolation structure embeds the fin structures.
3. The method of claim 2, wherein forming the isolation structure buries the dummy fins.
4. The method of claim 1, wherein a location of a removed first spacer fin is positioned between a modified fin stack and an un-modified fin stack.
5. The method of claim 1, wherein the step of receiving a substrate having a first dummy pattern includes receiving a substrate having a dummy mandrel pattern.
6. The method of claim 1, wherein the substrate includes layers of silicon, amorphous carbon (APF), and silicon oxynitride.
7. The method of claim 5, wherein patterns in the dummy mandrel pattern are larger than fins in the first plurality of spacer fins.
8. The method of claim 1, wherein the second fin cut process results in a sequence of a modified fin stack, a space resulting from a removed spacer fin from the first plurality of spacer fins, and an unmodified fin stack.
9. The method of claim 7, wherein the fin structures include layers of silicon, amorphous carbon (APF), and a capping layer.
10. The method of claim 9, wherein the capping layer is silicon oxynitride.
11. A method of forming a semiconductor device, the method comprising:
receiving a substrate having a plurality of layers;
forming a dummy mandrel layer over the substrate, and a hard mask layer over the dummy mandrel layer;
forming hard mask patterns using the hard mask layer, wherein the hard mask patterns includes a first width D1;
depositing a first spacer layer over the hard mask patterns;
forming spacer fins including a second width D2 by using the hard mask patterns, wherein the second width D2 is less than the first width D1;
performing a first fin cut process to remove at least one of the spacer fins;
depositing a second spacer layer over the spacer fins, wherein a width of the spacer fins with the second spacer layer is a third width D3 such that D2<D3<D1;
performing an etching process to form fin stacks using the spacer fins; and
performing a second fin cut process to cut some, but not all, of the fin stacks.
12. The method of claim 11, wherein the plurality of layers include silicon oxide, silicon nitride, silicon oxynitride layer, or combination thereof.
13. The method of claim 11, wherein the fin stacks include silicon.
14. The method of claim 11, wherein the hard mask layer includes silicon oxide, silicon nitride, silicon oxynitride layer, or combinations thereof.
15. The method of claim 11, wherein the dummy mandrel layer includes silicon oxide, silicon nitride, silicon oxynitride, or combinations thereof.
16. The method of claim 11, wherein the first spacer layer includes silicon oxide, silicon nitride, silicon oxynitride, or combinations thereof.
17. The method of claim 11, wherein the dummy mandrel includes polysilicon, amorphous carbon, or photo resist, and wherein the second spacer layer includes silicon oxide, silicon nitride, or silicon oxynitride.
18. A semiconductor device, comprising:
a substrate;
a first fin structure and a second fin structure spaced at a distance D1;
a first dummy fin structure adjacent to the first fin structure, and a second dummy fin structure adjacent to the second fin structure; and
an isolation layer over the substrate and the first and second dummy fin structures, and surrounding the first and second fin structures;
wherein a distance D2 between the first fin structure and the first dummy fin structure is greater than the distance D1.
19. The device of claim 18, wherein the substrate includes silicon, and wherein the first and second dummy fin structures include silicon.
20. The device of claim 18, wherein the first and second fin structures include amorphous carbon and silicon oxynitride.
US13/871,644 2013-03-12 2013-04-26 Method of fabricating a FinFET device Active US8846490B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/871,644 US8846490B1 (en) 2013-03-12 2013-04-26 Method of fabricating a FinFET device
US14/477,212 US9129839B2 (en) 2013-03-12 2014-09-04 Method of fabricating a FinFET device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361777083P 2013-03-12 2013-03-12
US13/871,644 US8846490B1 (en) 2013-03-12 2013-04-26 Method of fabricating a FinFET device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/477,212 Division US9129839B2 (en) 2013-03-12 2014-09-04 Method of fabricating a FinFET device

Publications (2)

Publication Number Publication Date
US20140264717A1 true US20140264717A1 (en) 2014-09-18
US8846490B1 US8846490B1 (en) 2014-09-30

Family

ID=51523809

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/871,644 Active US8846490B1 (en) 2013-03-12 2013-04-26 Method of fabricating a FinFET device
US14/477,212 Expired - Fee Related US9129839B2 (en) 2013-03-12 2014-09-04 Method of fabricating a FinFET device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/477,212 Expired - Fee Related US9129839B2 (en) 2013-03-12 2014-09-04 Method of fabricating a FinFET device

Country Status (1)

Country Link
US (2) US8846490B1 (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140256144A1 (en) * 2013-03-11 2014-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin formation method and mask set
US20150093878A1 (en) * 2013-10-02 2015-04-02 Global Foundries Inc. Finfet fabrication method
US9123659B1 (en) * 2014-08-06 2015-09-01 United Microelectronics Corporation Method for manufacturing finFET device
US9136162B2 (en) * 2013-12-05 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Trench formation using horn shaped spacer
US20150348913A1 (en) * 2013-11-04 2015-12-03 Globalfoundries Inc. Planar metrology pad adjacent a set of fins in a fin field effect transistor device
US20160027895A1 (en) * 2014-07-25 2016-01-28 Globalfoundries Inc. Methods of forming fins for a finfet device by forming and replacing sacrificial fin structures with alternative materials
US9368365B1 (en) * 2015-05-12 2016-06-14 United Microelectronics Corp. Method for forming a semiconductor structure
KR20160095454A (en) * 2015-02-03 2016-08-11 삼성전자주식회사 Semiconductor device and method for fabricating the same
US20160308027A1 (en) * 2015-04-16 2016-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Process of manufacturing fin-fet device
US20160308058A1 (en) * 2015-04-20 2016-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet and method for manufacturing the same
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
CN106711220A (en) * 2015-11-16 2017-05-24 台湾积体电路制造股份有限公司 Fin field effect transistor and method for fabricating the same
CN107039435A (en) * 2016-01-15 2017-08-11 台湾积体电路制造股份有限公司 Fin formula field effect transistor structure and its manufacture method
US9748335B1 (en) * 2016-02-29 2017-08-29 Globalfoundries Inc. Method, apparatus and system for improved nanowire/nanosheet spacers
US20170271163A1 (en) * 2016-03-17 2017-09-21 Globalfoundries Inc. Block patterning method enabling merged space in sram with heterogeneous mandrel
CN107785429A (en) * 2016-08-30 2018-03-09 台湾积体电路制造股份有限公司 The method that fin is formed on substrate
US10090398B2 (en) 2015-03-31 2018-10-02 United Microelectronics Corp. Manufacturing method of patterned structure of semiconductor
US20190096766A1 (en) * 2013-09-12 2019-03-28 Taiwan Semiconductor Manufacturing Company Limited Multi-depth etching in semiconductor arrangement
US20200027963A1 (en) * 2015-09-04 2020-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Manufacturing Method Thereof
CN111785772A (en) * 2019-04-04 2020-10-16 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11024509B2 (en) * 2019-01-18 2021-06-01 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
CN113451123A (en) * 2020-03-24 2021-09-28 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
DE102016101851B4 (en) 2015-09-04 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9121890B2 (en) * 2013-10-30 2015-09-01 Globalfoundries Inc. Planar metrology pad adjacent a set of fins of a fin field effect transistor device
US9318488B2 (en) * 2014-01-06 2016-04-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9385235B2 (en) * 2014-05-30 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9318574B2 (en) 2014-06-18 2016-04-19 International Business Machines Corporation Method and structure for enabling high aspect ratio sacrificial gates
US9847333B2 (en) * 2015-03-09 2017-12-19 Globalfoundries Inc. Reducing risk of punch-through in FinFET semiconductor structure
US9324570B1 (en) 2015-03-13 2016-04-26 United Microelectronics Corp. Method of manufacturing semiconductor device
CN106158648B (en) * 2015-04-13 2019-12-27 中芯国际集成电路制造(上海)有限公司 Method for preparing Fin FET device
TWI648857B (en) * 2015-05-07 2019-01-21 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
US9716041B2 (en) 2015-06-26 2017-07-25 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9659785B2 (en) 2015-09-01 2017-05-23 International Business Machines Corporation Fin cut for taper device
KR102323943B1 (en) 2015-10-21 2021-11-08 삼성전자주식회사 Method of manufacturing semiconductor device
US9722052B2 (en) 2015-10-27 2017-08-01 International Business Machines Corporation Fin cut without residual fin defects
US9768072B1 (en) 2016-06-30 2017-09-19 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with reduced dimensional variations
US10217867B2 (en) 2016-09-07 2019-02-26 International Business Machines Corporation Uniform fin dimensions using fin cut hardmask
US9761460B1 (en) * 2016-12-01 2017-09-12 United Microelectronics Corp. Method of fabricating semiconductor structure
US10431660B2 (en) 2018-02-07 2019-10-01 International Business Machines Corporation Self-limiting fin spike removal
US10535529B2 (en) 2018-06-05 2020-01-14 International Business Machines Corporation Semiconductor fin length variability control
US10872969B2 (en) 2018-09-28 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with combined fin-edge-cut and fin-major-cut and method of manufacturing the same
US10797059B2 (en) 2018-12-27 2020-10-06 United Microelectronics Corp. Method of designing a layout of a static random access memory pattern
US11271091B2 (en) 2019-06-18 2022-03-08 Samsung Electronics Co., Ltd. Fin structure for vertical field effect transistor having two-dimensional shape in plan view

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829951B2 (en) * 2008-11-06 2010-11-09 Qualcomm Incorporated Method of fabricating a fin field effect transistor (FinFET) device

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100792386B1 (en) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US7989355B2 (en) 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US8110466B2 (en) 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
US8603893B1 (en) * 2012-05-17 2013-12-10 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits on bulk semiconductor substrates
US8697515B2 (en) * 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8652891B1 (en) * 2012-07-25 2014-02-18 The Institute of Microelectronics Chinese Academy of Science Semiconductor device and method of manufacturing the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829951B2 (en) * 2008-11-06 2010-11-09 Qualcomm Incorporated Method of fabricating a fin field effect transistor (FinFET) device

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9748107B2 (en) 2013-03-11 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing semiconductor fins using alternating masks
US20140256144A1 (en) * 2013-03-11 2014-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin formation method and mask set
US9184101B2 (en) * 2013-03-11 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing semiconductor fins using alternating masks
US20190096766A1 (en) * 2013-09-12 2019-03-28 Taiwan Semiconductor Manufacturing Company Limited Multi-depth etching in semiconductor arrangement
US11469144B2 (en) 2013-09-12 2022-10-11 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with fin features having different heights
US10840143B2 (en) * 2013-09-12 2020-11-17 Taiwan Semiconductor Manufacturing Company Limited Methods for forming a semiconductor arrangement of fins having multiple heights and an alignment mark
US9123772B2 (en) * 2013-10-02 2015-09-01 GlobalFoundries, Inc. FinFET fabrication method
US20150333062A1 (en) * 2013-10-02 2015-11-19 Globalfoundries Inc. Finfet fabrication method
US20150093878A1 (en) * 2013-10-02 2015-04-02 Global Foundries Inc. Finfet fabrication method
US20150348913A1 (en) * 2013-11-04 2015-12-03 Globalfoundries Inc. Planar metrology pad adjacent a set of fins in a fin field effect transistor device
US9136162B2 (en) * 2013-12-05 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Trench formation using horn shaped spacer
US9514979B2 (en) 2013-12-05 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Trench formation using horn shaped spacer
US9947535B2 (en) 2013-12-05 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Trench formation using horn shaped spacer
US9590040B2 (en) * 2014-07-25 2017-03-07 Globalfoundries Inc. Methods of forming fins for a FinFET device by forming and replacing sacrificial fin structures with alternative materials
US20160027895A1 (en) * 2014-07-25 2016-01-28 Globalfoundries Inc. Methods of forming fins for a finfet device by forming and replacing sacrificial fin structures with alternative materials
US9123659B1 (en) * 2014-08-06 2015-09-01 United Microelectronics Corporation Method for manufacturing finFET device
KR20160095454A (en) * 2015-02-03 2016-08-11 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102347185B1 (en) 2015-02-03 2022-01-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
TWI638385B (en) * 2015-03-31 2018-10-11 聯華電子股份有限公司 Patterned sttructure of a semiconductor device and a manufacturing method thereof
US10090398B2 (en) 2015-03-31 2018-10-02 United Microelectronics Corp. Manufacturing method of patterned structure of semiconductor
US9704974B2 (en) * 2015-04-16 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Process of manufacturing Fin-FET device
CN106057671A (en) * 2015-04-16 2016-10-26 台湾积体电路制造股份有限公司 Process of manufacturing Fin-FET device
US20160308027A1 (en) * 2015-04-16 2016-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Process of manufacturing fin-fet device
US20160308058A1 (en) * 2015-04-20 2016-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet and method for manufacturing the same
US9799771B2 (en) * 2015-04-20 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET and method for manufacturing the same
US9368365B1 (en) * 2015-05-12 2016-06-14 United Microelectronics Corp. Method for forming a semiconductor structure
DE102016101851B4 (en) 2015-09-04 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US10964801B2 (en) * 2015-09-04 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20200027963A1 (en) * 2015-09-04 2020-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Manufacturing Method Thereof
CN106711220A (en) * 2015-11-16 2017-05-24 台湾积体电路制造股份有限公司 Fin field effect transistor and method for fabricating the same
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
CN107039435A (en) * 2016-01-15 2017-08-11 台湾积体电路制造股份有限公司 Fin formula field effect transistor structure and its manufacture method
US9748335B1 (en) * 2016-02-29 2017-08-29 Globalfoundries Inc. Method, apparatus and system for improved nanowire/nanosheet spacers
US10249710B2 (en) 2016-02-29 2019-04-02 Globalfoundries Inc. Methods, apparatus, and system for improved nanowire/nanosheet spacers
US9859125B2 (en) * 2016-03-17 2018-01-02 Globalfoundries Inc. Block patterning method enabling merged space in SRAM with heterogeneous mandrel
US20170271163A1 (en) * 2016-03-17 2017-09-21 Globalfoundries Inc. Block patterning method enabling merged space in sram with heterogeneous mandrel
TWI728009B (en) * 2016-08-30 2021-05-21 台灣積體電路製造股份有限公司 Method of forming fins on substrate
CN107785429A (en) * 2016-08-30 2018-03-09 台湾积体电路制造股份有限公司 The method that fin is formed on substrate
US11024509B2 (en) * 2019-01-18 2021-06-01 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
CN111785772A (en) * 2019-04-04 2020-10-16 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN113451123A (en) * 2020-03-24 2021-09-28 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof

Also Published As

Publication number Publication date
US9129839B2 (en) 2015-09-08
US20140367785A1 (en) 2014-12-18
US8846490B1 (en) 2014-09-30

Similar Documents

Publication Publication Date Title
US9129839B2 (en) Method of fabricating a FinFET device
US8932957B2 (en) Method of fabricating a FinFET device
US10049919B2 (en) Semiconductor device including a target integrated circuit pattern
US11145519B2 (en) Mechanisms for forming patterns using multiple lithography processes
US9875906B2 (en) Mechanisms for forming patterns using multiple lithography processes
US9589800B2 (en) Method for integrated circuit patterning
US8835323B1 (en) Method for integrated circuit patterning
US9214356B2 (en) Mechanisms for forming patterns
KR20170042056A (en) Method of forming patterns for semiconductor device
CN113948371A (en) Semiconductor patterning and resulting structures
US11652003B2 (en) Gate formation process
US10872969B2 (en) Semiconductor device with combined fin-edge-cut and fin-major-cut and method of manufacturing the same
CN112951718A (en) Semiconductor structure and forming method thereof
CN107785252B (en) Double patterning method
US8716139B2 (en) Method of patterning a semiconductor device
CN115775726A (en) Method for forming semiconductor structure
CN115775728A (en) Method of fabricating a semiconductor device and patterning a semiconductor structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHIEH, MING-FENG;CHEN, CHEN-YU;REEL/FRAME:030299/0161

Effective date: 20130422

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8