US20140264281A1 - Channel-Last Methods for Making FETS - Google Patents

Channel-Last Methods for Making FETS Download PDF

Info

Publication number
US20140264281A1
US20140264281A1 US14/137,183 US201314137183A US2014264281A1 US 20140264281 A1 US20140264281 A1 US 20140264281A1 US 201314137183 A US201314137183 A US 201314137183A US 2014264281 A1 US2014264281 A1 US 2014264281A1
Authority
US
United States
Prior art keywords
layer
fet
forming
semiconductor
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/137,183
Inventor
Sandip Niyogi
Sean Barstow
Chi-I Lang
Ratsamee Limdulpaiboon
Dipankar Pramanik
J. Watanabe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Priority to US14/137,183 priority Critical patent/US20140264281A1/en
Assigned to INTERMOLECULAR, INC. reassignment INTERMOLECULAR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BARSTOW, SEAN, PRAMANIK, DIPANKAR, NIYOGI, SANDIP, LANG, CHI-I, WATANABE, J.
Assigned to INTERMOLECULAR, INC. reassignment INTERMOLECULAR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIMDULPAIBOON, RATSAMEE
Publication of US20140264281A1 publication Critical patent/US20140264281A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14698Post-treatment for the devices, e.g. annealing, impurity-gettering, shor-circuit elimination, recrystallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1606Graphene

Definitions

  • One or more embodiments of the present invention relate to field effect transistors and methods of making field effect transistors.
  • a high-speed field effect transistor FET
  • FET field effect transistor
  • the Si wafer does not provide any device function beyond being a substrate support. All semiconductor elements can be deposited as doped Ge together with suitable contact electrodes, interface layers and the like.
  • a typical gate stack for a Ge transistor built on top of a Si wafer is shown in FIG. 1 .
  • a buffer layer 104 is necessary between the Si substrate material 102 and the active Ge channel 106 , because there is a 4% lattice mismatch between Ge and Si.
  • One way to build the buffer layer is to make a graded layer of SiGe having mostly Si at the substrate and mostly Ge on the other side of the layer.
  • a typical buffer layer thickness is about 2 nm.
  • a high- ⁇ gate dielectric layer 110 is formed above the channel, and a gate metal layer 112 is formed on the gate dielectric layer.
  • the high- ⁇ gate dielectric may be an oxide such as an oxide of Y, La, Dy, Gd, Zr, Hf, Al, Ge, or Si.
  • An interfacial layer 108 is also typically used between the Ge channel and the gate dielectric to prevent atomic migration between the channel and the gate dielectric.
  • Typical materials for the interfacial layer are various non-stoichiometric oxides of Ge, i.e., GeO x , where 1 ⁇ x ⁇ 4.
  • a field effect transistor comprising a substrate, a first layer disposed above the substrate, the first layer being operable as a gate electrode, a second layer disposed above the first layer, the second layer comprising a dielectric material, a third layer disposed above the second layer, the third layer comprising a semiconductor, and a fourth layer disposed above the third layer, wherein the fourth layer comprises one or more conductive materials and is operable as source and drain electrodes.
  • the dielectric material comprises a high- ⁇ dielectric.
  • the source and drain electrodes comprise one or more metals. The source and drain electrodes are each in ohmic contact with an area of the top surface of the third layer, and substantially all of the current through the transistor flows through the ohmic contacts.
  • the third layer is substantially strain-free and free of threading defects. In some embodiments, the third layer has a thickness of less than 10 nm. In some embodiments, the third layer has a thickness of between about 1 nm and about 1.5 nm. In some embodiments, the third layer comprises Ge. In some embodiments, the third layer comprises a III-V semiconductor. In some embodiments, the third layer comprises graphene.
  • the FET further comprises a fifth layer disposed between the second layer and the third layer, wherein the fifth layer is operable as an interface layer.
  • the FET further comprises a sixth layer disposed between the third layer and the source and drain electrodes, wherein the sixth layer is operable as a passivation layer.
  • the separation between the source and drain electrodes is approximately the same as the width of the gate electrode.
  • the first layer is a conformal layer on a trench in the substrate surface.
  • the FET further comprises a second layer disposed above the first layer, the second layer comprising a dielectric material, and a third layer disposed above the second layer, the third layer comprising a semiconductor, and source and drain electrodes disposed above the third layer.
  • the dielectric material comprises a high- ⁇ dielectric.
  • the source and drain electrodes comprise one or more metals. The source and drain electrodes are each in ohmic contact with an area of the top surface of the third layer, and substantially all of the current through the transistor flows through the ohmic contacts.
  • methods of forming a field-effect transistor comprising forming a first layer above a substrate, the first layer being operable as a gate electrode; forming a second layer above the first layer, the second layer comprising a dielectric material; forming a third layer above the second layer, the third layer comprising a semiconductor; and forming a fourth layer above the third layer, the fourth layer comprising one or more conductive materials and operable as source and drain electrodes.
  • the second layer comprises a high- ⁇ dielectric.
  • the third layer is substantially strain-free and free of threading defects.
  • the third layer has a thickness of less than 10 nm.
  • the third layer has a thickness of between about 1 nm and about 1.5 nm. In some embodiments, the third layer comprises Ge. In some embodiments, the third layer comprises a III-V semiconductor. In some embodiments, the third layer comprises graphene.
  • the methods further comprise forming a fifth layer between the second layer and the third layer, wherein the fifth layer is operable as an interface layer. In some embodiments, the methods further comprise forming a sixth layer between the third layer and the source and drain electrodes, wherein the sixth layer is operable as a passivation layer.
  • the methods further comprise forming a trench in the substrate surface, and thereafter forming the first layer, for example, as a conformal layer.
  • the methods can further comprise forming a second layer disposed above the first layer, the second layer comprising a dielectric material, and being conformal with the first layer, and a third layer disposed above the second layer, the third layer comprising a semiconductor.
  • the methods further comprise forming source and drain electrodes disposed above the third layer. The source and drain electrodes are each in ohmic contact with an area of the top surface of the third layer, and substantially all of the current through the transistor flows through the ohmic contacts.
  • the methods further comprise defining a plurality of discrete site-isolated regions (SIRs) on a substrate, forming a first layer on one or more of the discrete SIRs, the first layer being operable as a gate electrode; forming a second layer above the first layer, the second layer comprising a dielectric material; forming a third layer above the second layer, the third layer comprising a semiconductor; and forming a fourth layer above the third layer, the fourth layer being operable as source and drain electrodes, wherein the process parameters for the formation of the first layer, second layer, third layer and fourth layer are varied in a combinatorial manner between different discrete SIRs.
  • SIRs discrete site-isolated regions
  • the methods further comprise forming a fifth layer between the second layer and the third layer, wherein the fifth layer is operable as an interface layer. In some embodiments, the methods further comprise forming a a sixth layer between the third layer and the source and drain electrodes, wherein the sixth layer is operable as a passivation layer.
  • the methods can further comprise varying the process parameters for the formation of the fifth layer and sixth layer in a combinatorial manner between different discrete SIRs.
  • the process parameters comprise process material amounts, reactant species, precursor species, processing temperatures, processing times, processing pressures, substrate bias, substrate temperature, sputtering target composition, magnetron power, atmospheres in which the processes are conducted, plasma composition, plasma energy, order in which materials are deposited.
  • the methods can further comprise measuring a lattice parameter or an electrical property of the any of the layers.
  • FIG. 1 illustrates a gate stack typical of FETs known in the art.
  • FIG. 2 illustrates an a FET according to some embodiments of the invention.
  • FIG. 3 illustrates a process flow for preparing an FET according to some embodiments of the invention.
  • FIG. 4 is a schematic diagram for implementing combinatorial processing and evaluation.
  • FIG. 5 is a schematic diagram for illustrating various process sequences using combinatorial processing and evaluation.
  • substrate will be understood to generally be made of silicon, glasses, such as float glass, low-iron glass, borosilicate glass, flexible glass, and specialty glass for high temperature processing, or polymers such as polyimide, or other high temperature polymers, etc.
  • the present inventors have surprisingly discovered that many of the problems that arise in manufacturing transistor gate stacks can be solved by inverting the order of deposition and building the devices upside down relative to conventional fabrication methods.
  • the prior art approach starts with the channel semiconductor 106 , typically requiring a first buffer layer 104 to allow the growth of reasonably low-defect semiconductor channel structures of high-mobility semiconductors such as Ge on Si substrate 102 .
  • the gate stack is completed by depositing (in order) an interface layer 108 , a dielectric layer 110 (typically comprising a high- ⁇ dielectric, and a gate electrode 112 (which may itself comprise two or more layers).
  • Embodiments of the present invention reverse this deposition order by depositing the gate electrode first and depositing the semiconductor channel last.
  • This “channel-last” fabrication sequence provides fundamentally different device fabrication challenges, allows the process to be optimized in a more controlled fashion, and reduces the required size (length and thickness) for the semiconductor channel.
  • the semiconductor channel is formed between the substrate and the gate dielectric; thus the substrate-channel interface is a major source of crystalline structural defects due to the lattice mismatch which typically exists where the substrate material is different from the channel material.
  • the channel-last fabrication sequence there is no substrate-channel interface, and that particular source of lattice defects is eliminated.
  • the dielectric of the gate oxide can be very thin, it does not force the channel lattice to conform to its structure to the same degree as the relatively massive substrate material, and it is possible to form channels on top of the gate oxide that have very few threading defects and minimal lattice strain.
  • This “channel last” deposition scheme can be extended to semiconductor devices other than FETs, where it is desired to control for semiconductor lattice defects that would exist under conventional manufacturing methods by deposition of semiconductor materials onto substrates having mismatched lattice constants
  • FIG. 2 shows an exemplary embodiment of an FET made by a channel-last fabrication sequence.
  • FIG. 3 shows an exemplary process sequence.
  • the gate electrode 206 (a first layer) can be formed first 302 , either directly on the substrate 202 or optionally on a pre-deposited buffer layer (not shown).
  • a buffer layer for a silicon substrate can comprise SiO 2 ;
  • a buffer layer for glass can comprise chromium or an alloy thereof.
  • the gate electrode can be deposited as a single layer or multiple layers, typically comprising one or more metals.
  • a dielectric 204 (a second layer) can then be formed as shown in step 304 . Any suitable dielectric material can be used.
  • the dielectric material can be, for example, high- ⁇ dielectric materials such as oxides of Ge, Al, Hf, Zr, Y, La, Dy, or any combination thereof.
  • High- ⁇ dielectrics that can be used are not particularly limiting, and can include one or more of silicon oxynitride, silicon nitride, tantalum oxide, titanium oxide, zirconium oxide, hafnium oxide, aluminum oxide, lanthanum oxide, yttrium oxide, yttrium aluminate, lanthanum aluminate, lanthanum silicate, yttrium silicate, hafnium silicate, zirconium silicate, and doped alloys, undoped alloys, mixtures, and/or multilayers thereof.
  • a typical high- ⁇ dielectric material is HfO 2 .
  • High- ⁇ dielectric materials typically have ⁇ greater than about 10.
  • Embodiments of the present invention are not limited to dielectric materials having high dielectric constants.
  • the second layer is formed from a material having a dielectric constant between 4 and 60. Accordingly, other dielectric materials such as oxides of silicon and aluminum can also be used.
  • the dielectric thickness is typically small, but may vary according to the material selected, the desired transistor performance characteristics, and the lateral feature dimensions. As shown in FIG. 2 , the thickness of the second layer is typically less than that of the gate metal and much less than the width of the gate metal. Also as shown in FIG. 2 , the dielectric need not be patterned to match the dimensions of the gate electrode, but can extend generally into adjacent regions to passivate the substrate or other structures and/or to planarize the surface in preparation for semiconductor deposition.
  • An optional interface layer (a fifth layer, not shown) can be deposited on the dielectric 204 prior to deposition of the semiconductor.
  • An interface layer operates to promote the growth of the channel material (acts as a template layer for the semiconductor material) without significantly affecting the dielectric properties of the gate oxide.
  • the interface layer can operate to promote the growth of semiconductor materials that are free of threading defects, strain-free, exhibit a particular crystalline structure, and the like.
  • the interface layer serves as a diffusion barrier to prevent atomic migration between the channel semiconductor and the gate dielectric.
  • the interface layer comprises less than 2 nm of SiO 2 .
  • the gate dielectric is SiO 2 or HfO 2
  • the interface layer comprises about 0.5 nm of GeO 2 or GeS 2 which is operable as a template layer for a Ge channel.
  • a thin layer of semiconductor material 208 (a third layer) can then be formed as shown in step 306 on the gate dielectric 204 to function as a channel.
  • the semiconductor material 208 can be a single-crystalline semiconductor layer of just sufficient thickness to provide the channel functionality. Thickness can vary again according to material selection, feature size, and desired performance. but typically the channel can be very thin, for example, less than 10 nm, and in some embodiments, between about 1.0 nm and about 1.5 nm.
  • Various semiconductors can be used, for example Ge, SiGe, graphene, or III-V semiconductors such as GaAs, which are all examples of semiconductors used instead of Si when higher carrier mobility is required, although the invention is not limited to any particular semiconductor.
  • the semiconductor can also be lightly doped using conventional dopants. Such dopants are generally present in very small amounts (0.01% or less) that do not contribute to lattice defects.
  • the channel can be formed by epitaxial (lateral) growth from a seed crystal.
  • Ge can be grown epitaxially from a seed crystal of Si or GeO 2 , for example, as described by Cammilleri, V. D., et al. 2008 Appl. Phys. Lett. 93, 043110.
  • Such a seed crystal can be formed at the edge of the gate oxide or interface layer to form a preferential nucleation site.
  • a seed crystal can be formed using lithography to create the Si seed region by patterning and etching away the oxide.
  • a Ge single crystal can then be grown epitaxially from the seed location across the dielectric material in a chemical vapor deposition (CVD) environment using a suitable Ge-containing precursor gas (e.g., GeH 4 ), for example, as described by Hawley, C. J., et al. 2013 Cryst. Growth Des. 13 (2), pp 491-496.
  • a suitable Ge-containing precursor gas e.g., GeH 4
  • the same seed crystal can be used to grow both the gate oxide and the channel using similar epitaxial growth methods with different precursor gases.
  • a thin layer 210 (a sixth layer) can advantageously be formed as shown in step 308 on the surface of the channel semiconductor layer 208 and is operable as a passivation layer.
  • Layer 210 can be operable to passivate the semiconductor surface to prevent oxidation, and can also be operable to provide ohmic contacts to the source and drain.
  • the source 212 and drain 214 are formed as a fourth layer as shown in step 310 .
  • the source and drain comprise one or more conductive materials, for example one or more metals, and function as electrodes.
  • the fourth layer is formed on the semiconductor layer 208 (or on the passivation layer 210 ). The spacing between the source and drain defines the length of the channel, which is typically set to be approximately equal to the width of the gate electrode as illustrated in FIG. 2 .
  • the formation of the source and drain contacts and the gate dielectric are the most “sensitive” processes in that these processes are critical to device performance and sensitive to small process variations.
  • the channel-last process substantially reduces these sensitivities and shifts the process-optimization emphasis to the formation of the high-mobility channel in a way that readily lends itself to improved optimization, because there are fewer external constraints on the channel design.
  • the channel can be separately optimized as to material, freedom from defects, dopant levels, thickness, and channel length, independent of other device parameters.
  • the details of the process can be optimized using High Productivity Combinatorial (HPC) methods as described below.
  • HPC High Productivity Combinatorial
  • HPC processing techniques have been successfully adapted to wet chemical processing such as etching, texturing, polishing, cleaning, etc.
  • HPC processing techniques have also been successfully adapted to deposition processes such as sputtering, atomic layer deposition (ALD), and chemical vapor deposition (CVD).
  • FIG. 4 illustrates a schematic diagram, 400 , for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening.
  • the schematic diagram, 400 illustrates that the relative number of combinatorial processes run with a group of substrates decreases as certain materials and/or processes are selected.
  • combinatorial processing includes performing a large number of processes during a primary screen, selecting promising candidates from those processes, performing the selected processing during a secondary screen, selecting promising candidates from the secondary screen for a tertiary screen, and so on.
  • feedback from later stages to earlier stages can be used to refine the success criteria and provide better screening results.
  • Materials discovery stage, 402 is also known as a primary screening stage performed using primary screening techniques.
  • Primary screening techniques may include dividing substrates into coupons and depositing materials using varied processes.
  • the materials are then evaluated, and promising candidates are advanced to the secondary screen, or materials and process development stage, 404 . Evaluation of the materials is performed using metrology tools such as electronic testers and imaging tools (i.e., microscopes).
  • the materials and process development stage, 404 may evaluate hundreds of materials (i.e., a magnitude smaller than the primary stage) and may focus on the processes used to deposit or develop those materials. Promising materials and processes are again selected, and advanced to the tertiary screen or process integration stage, 406 , where tens of materials and/or processes and combinations are evaluated.
  • the tertiary screen or process integration stage, 406 may focus on integrating the selected processes and materials with other processes and materials.
  • the most promising materials and processes from the tertiary screen are advanced to device qualification, 408 .
  • device qualification the materials and processes selected are evaluated for high volume manufacturing, which normally is conducted on full substrates within production tools, but need not be conducted in such a manner. The results are evaluated to determine the efficacy of the selected materials and processes. If successful, the use of the screened materials and processes can proceed to pilot manufacturing, 410 .
  • the schematic diagram, 400 is an example of various techniques that may be used to evaluate and select materials and processes for the development of new materials and processes.
  • the descriptions of primary, secondary, etc. screening and the various stages, 402 - 410 are arbitrary and the stages may overlap, occur out of sequence, be described and be performed in many other ways.
  • the embodiments described herein enable the application of combinatorial techniques to process sequence integration in order to arrive at a globally optimal sequence of device manufacturing operations by considering interaction effects between the unit manufacturing operations, the process conditions used to effect such unit manufacturing operations, hardware details used during the processing, as well as materials characteristics of components utilized within the unit manufacturing operations. Rather than only considering a series of local optimums, i.e., where the best conditions and materials for each manufacturing unit operation is considered in isolation, the embodiments described below consider interactions effects introduced due to the multitude of processing operations that are performed and the order in which such multitude of processing operations are performed when fabricating a device. A global optimum sequence order is therefore derived and as part of this derivation, the unit processes, unit process parameters and materials used in the unit process operations of the optimum sequence order are also considered.
  • the embodiments described further analyze a portion or sub-set of the overall process sequence used to manufacture a device. Once the subset of the process sequence is identified for analysis, combinatorial process sequence integration testing is performed to optimize the materials, unit processes, hardware details, and process sequence used to build that portion of the device or structure.
  • structures are formed on the processed substrate that are equivalent to the structures formed during actual production of the device. For example, such structures may include, but would not be limited to, contact layers, buffer layers, semiconductor layers, or any other series of layers or unit processes that create an intermediate structure found on devices.
  • the composition or thickness of the layers or structures or the action of the unit process is substantially uniform through each discrete region.
  • different materials or unit processes may be used for corresponding layers or steps in the formation of a structure in different regions of the substrate during the combinatorial processing
  • the application of each layer or use of a given unit process is substantially consistent or uniform throughout the different regions in which it is intentionally applied.
  • the processing is uniform within a region (inter-region uniformity) and between regions (intra-region uniformity), as desired.
  • the process can be varied between regions, for example, where a thickness of a layer is varied or a material may be varied between the regions, etc., as desired by the design of the experiment.
  • the result is a series of regions on the substrate that contain structures or unit process sequences that have been uniformly applied within that region and, as applicable, across different regions.
  • This process uniformity allows comparison of the properties within and across the different regions such that the variations in test results are due to the varied parameter (e.g., materials, unit processes, unit process parameters, hardware details, or process sequences) and not the lack of process uniformity.
  • the positions of the discrete regions on the substrate can be defined as needed, but are preferably systematized for ease of tooling and design of experimentation.
  • the number, variants and location of structures within each region are designed to enable valid statistical analysis of the test results within each region and across regions to be performed.
  • FIG. 5 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site isolated processing and/or conventional processing in accordance with one embodiment of the invention.
  • the substrate is initially processed using conventional process N.
  • the substrate is then processed using site isolated process N+1.
  • an HPC module may be used, such as the HPC module described in U.S. patent application Ser. No. 11/352,077 filed on Feb. 10, 2006.
  • the substrate can then be processed using site isolated process N+2, and thereafter processed using conventional process N+3. Testing is performed and the results are evaluated.
  • the testing can include physical, chemical, acoustic, magnetic, electrical, optical, etc. tests. From this evaluation, a particular process from the various site isolated processes (e.g.
  • steps N+1 and N+2) may be selected and fixed so that additional combinatorial process sequence integration may be performed using site isolated processing for either process N or N+3.
  • a next process sequence can include processing the substrate using site isolated process N, conventional processing for processes N+1, N+2, and N+3, with testing performed thereafter.
  • the combinatorial process sequence integration can be applied to any desired segments and/or portions of an overall process flow.
  • the combinatorial processing may employ uniform processing of site isolated regions or may employ gradient techniques. Characterization, including physical, chemical, acoustic, magnetic, electrical, optical, etc. testing, can be performed after each process operation, and/or series of process operations within the process flow as desired. The feedback provided by the testing is used to select certain materials, processes, process conditions, and process sequences and eliminate others.
  • the above flows can be applied to entire monolithic substrates, or portions of monolithic substrates such as coupons.
  • a processing material delivered to a first and second region can be the same or different. If the processing material delivered to the first region is the same as the processing material delivered to the second region, this processing material can be offered to the first and second regions on the substrate at different concentrations. In addition, the material can be deposited under different processing parameters.
  • Parameters which can be varied include, but are not limited to, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, atmospheres in which the processes are conducted, an order in which materials are deposited, hardware details of the gas distribution assembly, etc. It should be appreciated that these process parameters are exemplary and not meant to be an exhaustive list as other process parameters commonly used in device manufacturing may be varied.
  • the process conditions are substantially uniform. That is, the embodiments, described herein locally perform the processing in a conventional manner, e.g., substantially consistent and substantially uniform, while globally over the substrate, the materials, processes, and process sequences may vary. Thus, the testing will find optimums without interference from process variation differences between processes that are meant to be the same. However, in some embodiments, the processing may result in a gradient within the regions. It should be appreciated that a region may be adjacent to another region in one embodiment or the regions may be isolated and, therefore, non-overlapping.
  • regions When the regions are adjacent, there may be a slight overlap wherein the materials or precise process interactions are not known, however, a portion of the regions, normally at least 50% or more of the area, is uniform and all testing occurs within that region. Further, the potential overlap is only allowed with material of processes that will not adversely affect the result of the tests. Both types of regions are referred to herein as regions or discrete regions.
  • the method for deposition of each feature can be varied in a combinatorial manner by varying process conditions among site isolated regions on a substrate.
  • Such variations can include CVD process conditions such as process material amounts, precursor gases, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing reagent compositions, the rates at which the reactions are quenched, deposition order of process materials, process sequence steps, hardware details, etc. for the formation of the gate oxide and the semiconductor channel, as well as for the interface and passivation layers.
  • Sizes and shapes can be varied, for example, the channel length and width and thickness for a given fab feature size.
  • Gate metals can be deposited using any convenient deposition method.
  • PVD process parameters can be varied to include, but are not limited to, process material amounts, reactant species, processing temperatures, processing times, processing pressures, substrate bias, substrate temperature, magnetron power, atmospheres in which the processes are conducted, order in which materials are deposited, etc.
  • PVD process parameters can be varied to test which process parameters provide desired template layer composition, layer thickness, layer uniformity, crystallinity, crystal orientation, grain size, electrical properties (such as resistivity and dielectric constant), reproducibility, variability with respect to SIR location within a wafer, etc.
  • the methods further comprise defining a plurality of discrete site-isolated regions (SIRs) on a substrate 202 , then, as shown in FIGS. 2 and 3 forming a first layer 206 as shown in step 302 on one or more of the discrete SIRs, the first layer being operable as a gate electrode; forming a second layer 204 as shown in step 304 above the first layer 206 , the second layer comprising a dielectric material; forming a third layer 208 as shown in step 306 above the second layer 204 , the third layer comprising a semiconductor; and forming a fourth layer 212 / 214 as shown in step 310 above the third layer 208 , the fourth layer comprising one or more conductive materials and functioning as source and drain electrodes.
  • SIRs discrete site-isolated regions
  • the process parameters for the formation of the first layer, second layer, third layer and fourth layer are varied in a combinatorial manner between different discrete SIRs.
  • the methods further comprise forming a fifth layer (not shown) between the second layer and the third layer, wherein the fifth layer is operable as an interface layer.
  • the methods further comprise forming a a sixth layer 210 as shown in step 310 between the third layer 208 and the fourth layer (source and drain electrodes), wherein the sixth layer is operable as a passivation layer.
  • the methods can further comprise varying the process parameters for the formation of the fifth layer and sixth layer in a combinatorial manner between different discrete SIRs.
  • the formation of the source and drain contacts and the gate dielectric are the most “sensitive” processes in that these processes are critical to device performance and sensitive to small process variations.
  • the channel-last process substantially reduces these sensitivities and shifts the process-optimization emphasis to the formation of the high-mobility channel in a way that readily lends itself to improved optimization, because there are fewer external constraints on the channel design.
  • HPC investigation of process parameters can provide control over desired semiconductor lattice structures, channel mobility, contact resistance with the source and drain electrodes, the composition of the dielectric, interface and passivation layers, the choice of seed materials, process parameters, and the like.
  • the process parameters comprise process material amounts, reactant species, precursor species, processing temperatures, processing times, processing pressures, substrate bias, substrate temperature, sputtering target composition, magnetron power, atmospheres in which the processes are conducted, plasma composition, plasma energy, order in which materials are deposited.
  • the methods can further comprise measuring a lattice parameter or an electrical property of the any of the layers.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Semiconductor devices and methods of making thereof are disclosed. A field effect transistor (FET) is provided comprising a substrate, a first layer disposed above the substrate, the first layer being operable as a gate electrode, a second layer disposed above the first layer, the second layer comprising a dielectric material, a third layer disposed above the second layer, the third layer comprising a semiconductor, and a fourth layer comprising one or more conductive materials and operable as source and drain electrodes disposed above the third layer. In some embodiments, the dielectric material comprises a high-κ dielectric. In some embodiments, the source and drain electrodes comprise one or more metals. The source and drain electrodes are each in ohmic contact with an area of the top surface of the third layer, and substantially all of the current through the transistor flows through the ohmic contacts.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims priority from U.S. Provisional Patent Application No. 61/779,740, filed Mar. 13, 2013 which is incorporated herein by reference in its entirety for all purposes.
  • FIELD OF THE INVENTION
  • One or more embodiments of the present invention relate to field effect transistors and methods of making field effect transistors.
  • BACKGROUND
  • As feature sizes for semiconductor devices continue to get smaller and smaller, manufacturers are increasingly building devices entirely on top of substrate materials so that all device components are explicitly fabricated and controlled for size and functional characteristics. The semiconductor material used for the device components may be different from that of the substrate. For example, a high-speed field effect transistor (FET) can be made using a doped Ge semiconductor deposited on a Si wafer. The Si wafer does not provide any device function beyond being a substrate support. All semiconductor elements can be deposited as doped Ge together with suitable contact electrodes, interface layers and the like.
  • A typical gate stack for a Ge transistor built on top of a Si wafer is shown in FIG. 1. A buffer layer 104 is necessary between the Si substrate material 102 and the active Ge channel 106, because there is a 4% lattice mismatch between Ge and Si. One way to build the buffer layer is to make a graded layer of SiGe having mostly Si at the substrate and mostly Ge on the other side of the layer. A typical buffer layer thickness is about 2 nm. A high-κ gate dielectric layer 110 is formed above the channel, and a gate metal layer 112 is formed on the gate dielectric layer. The high-κ gate dielectric may be an oxide such as an oxide of Y, La, Dy, Gd, Zr, Hf, Al, Ge, or Si. An interfacial layer 108 is also typically used between the Ge channel and the gate dielectric to prevent atomic migration between the channel and the gate dielectric. Typical materials for the interfacial layer are various non-stoichiometric oxides of Ge, i.e., GeOx, where 1<x<4.
  • Many problems arise in fabricating working devices using the gate stack of FIG. 1. Defects for the SiGe buffer layer can propagate into each layer preventing the formation of defect-free layers. The GeOx, layer tends to be unstable. It is difficult to devise a process where device parameters can be independently controlled during fabrication.
  • SUMMARY OF THE INVENTION
  • Semiconductor devices and methods of making thereof are disclosed and address the problems discussed above. In some embodiments, a field effect transistor (FET) is provided comprising a substrate, a first layer disposed above the substrate, the first layer being operable as a gate electrode, a second layer disposed above the first layer, the second layer comprising a dielectric material, a third layer disposed above the second layer, the third layer comprising a semiconductor, and a fourth layer disposed above the third layer, wherein the fourth layer comprises one or more conductive materials and is operable as source and drain electrodes. In some embodiments, the dielectric material comprises a high-κ dielectric. In some embodiments, the source and drain electrodes comprise one or more metals. The source and drain electrodes are each in ohmic contact with an area of the top surface of the third layer, and substantially all of the current through the transistor flows through the ohmic contacts.
  • In some embodiments, the third layer is substantially strain-free and free of threading defects. In some embodiments, the third layer has a thickness of less than 10 nm. In some embodiments, the third layer has a thickness of between about 1 nm and about 1.5 nm. In some embodiments, the third layer comprises Ge. In some embodiments, the third layer comprises a III-V semiconductor. In some embodiments, the third layer comprises graphene.
  • In some embodiments, the FET further comprises a fifth layer disposed between the second layer and the third layer, wherein the fifth layer is operable as an interface layer. In some embodiments, the FET further comprises a sixth layer disposed between the third layer and the source and drain electrodes, wherein the sixth layer is operable as a passivation layer. In some embodiments, the separation between the source and drain electrodes is approximately the same as the width of the gate electrode.
  • In some embodiments, the first layer is a conformal layer on a trench in the substrate surface. The FET further comprises a second layer disposed above the first layer, the second layer comprising a dielectric material, and a third layer disposed above the second layer, the third layer comprising a semiconductor, and source and drain electrodes disposed above the third layer. In some embodiments, the dielectric material comprises a high-κ dielectric. In some embodiments, the source and drain electrodes comprise one or more metals. The source and drain electrodes are each in ohmic contact with an area of the top surface of the third layer, and substantially all of the current through the transistor flows through the ohmic contacts.
  • In some embodiments, methods of forming a field-effect transistor (FET) are provided, the methods comprising forming a first layer above a substrate, the first layer being operable as a gate electrode; forming a second layer above the first layer, the second layer comprising a dielectric material; forming a third layer above the second layer, the third layer comprising a semiconductor; and forming a fourth layer above the third layer, the fourth layer comprising one or more conductive materials and operable as source and drain electrodes. In some embodiments, the second layer comprises a high-κ dielectric. In some embodiments, the third layer is substantially strain-free and free of threading defects. In some embodiments, the third layer has a thickness of less than 10 nm. In some embodiments, the third layer has a thickness of between about 1 nm and about 1.5 nm. In some embodiments, the third layer comprises Ge. In some embodiments, the third layer comprises a III-V semiconductor. In some embodiments, the third layer comprises graphene.
  • In some embodiments, the methods further comprise forming a fifth layer between the second layer and the third layer, wherein the fifth layer is operable as an interface layer. In some embodiments, the methods further comprise forming a sixth layer between the third layer and the source and drain electrodes, wherein the sixth layer is operable as a passivation layer.
  • In some embodiments, the methods further comprise forming a trench in the substrate surface, and thereafter forming the first layer, for example, as a conformal layer. The methods can further comprise forming a second layer disposed above the first layer, the second layer comprising a dielectric material, and being conformal with the first layer, and a third layer disposed above the second layer, the third layer comprising a semiconductor. The methods further comprise forming source and drain electrodes disposed above the third layer. The source and drain electrodes are each in ohmic contact with an area of the top surface of the third layer, and substantially all of the current through the transistor flows through the ohmic contacts.
  • In some embodiments, the methods further comprise defining a plurality of discrete site-isolated regions (SIRs) on a substrate, forming a first layer on one or more of the discrete SIRs, the first layer being operable as a gate electrode; forming a second layer above the first layer, the second layer comprising a dielectric material; forming a third layer above the second layer, the third layer comprising a semiconductor; and forming a fourth layer above the third layer, the fourth layer being operable as source and drain electrodes, wherein the process parameters for the formation of the first layer, second layer, third layer and fourth layer are varied in a combinatorial manner between different discrete SIRs. In some embodiments, the methods further comprise forming a fifth layer between the second layer and the third layer, wherein the fifth layer is operable as an interface layer. In some embodiments, the methods further comprise forming a a sixth layer between the third layer and the source and drain electrodes, wherein the sixth layer is operable as a passivation layer. The methods can further comprise varying the process parameters for the formation of the fifth layer and sixth layer in a combinatorial manner between different discrete SIRs. In some embodiments, the process parameters comprise process material amounts, reactant species, precursor species, processing temperatures, processing times, processing pressures, substrate bias, substrate temperature, sputtering target composition, magnetron power, atmospheres in which the processes are conducted, plasma composition, plasma energy, order in which materials are deposited. The methods can further comprise measuring a lattice parameter or an electrical property of the any of the layers.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a gate stack typical of FETs known in the art.
  • FIG. 2 illustrates an a FET according to some embodiments of the invention.
  • FIG. 3 illustrates a process flow for preparing an FET according to some embodiments of the invention.
  • FIG. 4 is a schematic diagram for implementing combinatorial processing and evaluation.
  • FIG. 5 is a schematic diagram for illustrating various process sequences using combinatorial processing and evaluation.
  • DETAILED DESCRIPTION
  • Before the present invention is described in detail, it is to be understood that unless otherwise indicated this invention is not limited to specific semiconductor devices or to specific semiconductor materials. Exemplary embodiments will be described for the gate stack of an FET, but other devices can also be fabricated using the methods disclosed. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only and is not intended to limit the scope of the present invention.
  • It must be noted that as used herein and in the claims, the singular forms “a,” “and” and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a layer” includes two or more layers, and so forth.
  • Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limit of that range, and any other stated or intervening value in that stated range, is encompassed within the invention. The upper and lower limits of these smaller ranges may independently be included in the smaller ranges, and are also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included in the invention. Where the modifier “about” or “approximately” is used, the stated quantity can vary by up to 10%. Where the modifier “substantially equal to” is used, the two quantities may vary from each other by no more than 5%.
  • DEFINITIONS
  • While applicable to planar transistors, embodiments of the present invention also include FinFETs and related transistor designs. As used herein, the term “FinFET” refers to a fin-shaped field effect transistor, typically having feature sizes of less than 28 nm, which includes a semiconductor “fin” that extends the semiconductor region between the source and drain above the semiconductor substrate. Fins have a high aspect ratio wherein the height of the fin is 2 to 6 times the width (e.g., fin width=8 nm and fin height=32 nm for 16 nm node bulk-FinFETs), although the aspect ratio can vary depending on processes from a process optimization purpose. FinFETs can also include “Tri-gate” FETs, “Pi-gate” FETs and “Omega-gate” FETs.
  • As used herein, “substrate” will be understood to generally be made of silicon, glasses, such as float glass, low-iron glass, borosilicate glass, flexible glass, and specialty glass for high temperature processing, or polymers such as polyimide, or other high temperature polymers, etc.
  • The present inventors have surprisingly discovered that many of the problems that arise in manufacturing transistor gate stacks can be solved by inverting the order of deposition and building the devices upside down relative to conventional fabrication methods. As illustrated in FIG. 1, the prior art approach starts with the channel semiconductor 106, typically requiring a first buffer layer 104 to allow the growth of reasonably low-defect semiconductor channel structures of high-mobility semiconductors such as Ge on Si substrate 102. After the semiconductor channel is formed, the gate stack is completed by depositing (in order) an interface layer 108, a dielectric layer 110 (typically comprising a high-κ dielectric, and a gate electrode 112 (which may itself comprise two or more layers).
  • Embodiments of the present invention reverse this deposition order by depositing the gate electrode first and depositing the semiconductor channel last. This “channel-last” fabrication sequence provides fundamentally different device fabrication challenges, allows the process to be optimized in a more controlled fashion, and reduces the required size (length and thickness) for the semiconductor channel. In the prior art approach, the semiconductor channel is formed between the substrate and the gate dielectric; thus the substrate-channel interface is a major source of crystalline structural defects due to the lattice mismatch which typically exists where the substrate material is different from the channel material. In contrast, by using the channel-last fabrication sequence, there is no substrate-channel interface, and that particular source of lattice defects is eliminated. Because the dielectric of the gate oxide can be very thin, it does not force the channel lattice to conform to its structure to the same degree as the relatively massive substrate material, and it is possible to form channels on top of the gate oxide that have very few threading defects and minimal lattice strain.
  • This “channel last” deposition scheme can be extended to semiconductor devices other than FETs, where it is desired to control for semiconductor lattice defects that would exist under conventional manufacturing methods by deposition of semiconductor materials onto substrates having mismatched lattice constants
  • FIG. 2 shows an exemplary embodiment of an FET made by a channel-last fabrication sequence. FIG. 3 shows an exemplary process sequence. In some embodiments, the gate electrode 206 (a first layer) can be formed first 302, either directly on the substrate 202 or optionally on a pre-deposited buffer layer (not shown). For example, a buffer layer for a silicon substrate can comprise SiO2; a buffer layer for glass can comprise chromium or an alloy thereof. The gate electrode can be deposited as a single layer or multiple layers, typically comprising one or more metals. A dielectric 204 (a second layer) can then be formed as shown in step 304. Any suitable dielectric material can be used. In some embodiments, the dielectric material can be, for example, high-κ dielectric materials such as oxides of Ge, Al, Hf, Zr, Y, La, Dy, or any combination thereof. High-κ dielectrics that can be used are not particularly limiting, and can include one or more of silicon oxynitride, silicon nitride, tantalum oxide, titanium oxide, zirconium oxide, hafnium oxide, aluminum oxide, lanthanum oxide, yttrium oxide, yttrium aluminate, lanthanum aluminate, lanthanum silicate, yttrium silicate, hafnium silicate, zirconium silicate, and doped alloys, undoped alloys, mixtures, and/or multilayers thereof. A typical high-κ dielectric material is HfO2. High-κ dielectric materials typically have κ greater than about 10.
  • Embodiments of the present invention are not limited to dielectric materials having high dielectric constants. Typically, the second layer is formed from a material having a dielectric constant between 4 and 60. Accordingly, other dielectric materials such as oxides of silicon and aluminum can also be used. The dielectric thickness is typically small, but may vary according to the material selected, the desired transistor performance characteristics, and the lateral feature dimensions. As shown in FIG. 2, the thickness of the second layer is typically less than that of the gate metal and much less than the width of the gate metal. Also as shown in FIG. 2, the dielectric need not be patterned to match the dimensions of the gate electrode, but can extend generally into adjacent regions to passivate the substrate or other structures and/or to planarize the surface in preparation for semiconductor deposition.
  • An optional interface layer (a fifth layer, not shown) can be deposited on the dielectric 204 prior to deposition of the semiconductor. An interface layer operates to promote the growth of the channel material (acts as a template layer for the semiconductor material) without significantly affecting the dielectric properties of the gate oxide. For example, the interface layer can operate to promote the growth of semiconductor materials that are free of threading defects, strain-free, exhibit a particular crystalline structure, and the like. In some embodiments, the interface layer serves as a diffusion barrier to prevent atomic migration between the channel semiconductor and the gate dielectric. In one exemplary embodiment, the interface layer comprises less than 2 nm of SiO2. In another exemplary embodiment, the gate dielectric is SiO2 or HfO2, and the interface layer comprises about 0.5 nm of GeO2 or GeS2 which is operable as a template layer for a Ge channel.
  • A thin layer of semiconductor material 208 (a third layer) can then be formed as shown in step 306 on the gate dielectric 204 to function as a channel. The semiconductor material 208 can be a single-crystalline semiconductor layer of just sufficient thickness to provide the channel functionality. Thickness can vary again according to material selection, feature size, and desired performance. but typically the channel can be very thin, for example, less than 10 nm, and in some embodiments, between about 1.0 nm and about 1.5 nm. Various semiconductors can be used, for example Ge, SiGe, graphene, or III-V semiconductors such as GaAs, which are all examples of semiconductors used instead of Si when higher carrier mobility is required, although the invention is not limited to any particular semiconductor. The semiconductor can also be lightly doped using conventional dopants. Such dopants are generally present in very small amounts (0.01% or less) that do not contribute to lattice defects.
  • In some embodiments, the channel can be formed by epitaxial (lateral) growth from a seed crystal. Ge can be grown epitaxially from a seed crystal of Si or GeO2, for example, as described by Cammilleri, V. D., et al. 2008 Appl. Phys. Lett. 93, 043110. Such a seed crystal can be formed at the edge of the gate oxide or interface layer to form a preferential nucleation site. For example, a seed crystal can be formed using lithography to create the Si seed region by patterning and etching away the oxide. A Ge single crystal can then be grown epitaxially from the seed location across the dielectric material in a chemical vapor deposition (CVD) environment using a suitable Ge-containing precursor gas (e.g., GeH4), for example, as described by Hawley, C. J., et al. 2013 Cryst. Growth Des. 13 (2), pp 491-496. In some embodiments, the same seed crystal can be used to grow both the gate oxide and the channel using similar epitaxial growth methods with different precursor gases.
  • In some embodiments, a thin layer 210 (a sixth layer) can advantageously be formed as shown in step 308 on the surface of the channel semiconductor layer 208 and is operable as a passivation layer. Layer 210 can be operable to passivate the semiconductor surface to prevent oxidation, and can also be operable to provide ohmic contacts to the source and drain. Lastly, the source 212 and drain 214 are formed as a fourth layer as shown in step 310. In some embodiments, the source and drain comprise one or more conductive materials, for example one or more metals, and function as electrodes. The fourth layer is formed on the semiconductor layer 208 (or on the passivation layer 210). The spacing between the source and drain defines the length of the channel, which is typically set to be approximately equal to the width of the gate electrode as illustrated in FIG. 2.
  • In the prior art methods, the formation of the source and drain contacts and the gate dielectric are the most “sensitive” processes in that these processes are critical to device performance and sensitive to small process variations. The channel-last process substantially reduces these sensitivities and shifts the process-optimization emphasis to the formation of the high-mobility channel in a way that readily lends itself to improved optimization, because there are fewer external constraints on the channel design. The channel can be separately optimized as to material, freedom from defects, dopant levels, thickness, and channel length, independent of other device parameters.
  • In some embodiments, the details of the process can be optimized using High Productivity Combinatorial (HPC) methods as described below. As part of the discovery, optimization and qualification of each unit process, it is desirable to be able to i) test different materials, ii) test different processing conditions within each unit process module, iii) test different sequencing and integration of processing modules within an integrated processing tool, iv) test different sequencing of processing tools in executing different process sequence integration flows, and combinations thereof in the manufacture of devices such as TFPV devices. In particular, there is a need to be able to test i) more than one material, ii) more than one processing condition, iii) more than one sequence of processing conditions, iv) more than one process sequence integration flow, and combinations thereof, collectively known as “combinatorial process sequence integration”, on a single substrate without the need of consuming the equivalent number of monolithic substrates per material(s), processing condition(s), sequence(s) of processing conditions, sequence(s) of processes, and combinations thereof. This can greatly improve both the speed and reduce the costs associated with the discovery, implementation, optimization, and qualification of material(s), process(es), and process integration sequence(s) required for manufacturing.
  • Systems and methods for HPC processing are described in U.S. Pat. No. 7,544,574 filed on Feb. 10, 2006, U.S. Pat. No. 7,824,935 filed on Jul. 2, 2008, U.S. Pat. No. 7,871,928 filed on May 4, 2009, U.S. Pat. No. 7,902,063 filed on Feb. 10, 2006, and U.S. Pat. No. 7,947,531 filed on Aug. 28, 2009 which are all herein incorporated by reference. Systems and methods for HPC processing are further described in U.S. patent application Ser. No. 11/352,077 filed on Feb. 10, 2006, claiming priority from Oct. 15, 2005, U.S. patent application Ser. No. 11/419,174 filed on May 18, 2006, claiming priority from Oct. 15, 2005, U.S. patent application Ser. No. 11/674,132 filed on Feb. 12, 2007, claiming priority from Oct. 15, 2005, and U.S. patent application Ser. No. 11/674,137 filed on Feb. 12, 2007, claiming priority from Oct. 15, 2005 which are all herein incorporated by reference.
  • HPC processing techniques have been successfully adapted to wet chemical processing such as etching, texturing, polishing, cleaning, etc. HPC processing techniques have also been successfully adapted to deposition processes such as sputtering, atomic layer deposition (ALD), and chemical vapor deposition (CVD).
  • FIG. 4 illustrates a schematic diagram, 400, for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening. The schematic diagram, 400, illustrates that the relative number of combinatorial processes run with a group of substrates decreases as certain materials and/or processes are selected. Generally, combinatorial processing includes performing a large number of processes during a primary screen, selecting promising candidates from those processes, performing the selected processing during a secondary screen, selecting promising candidates from the secondary screen for a tertiary screen, and so on. In addition, feedback from later stages to earlier stages can be used to refine the success criteria and provide better screening results.
  • For example, thousands of materials are evaluated during a materials discovery stage, 402. Materials discovery stage, 402, is also known as a primary screening stage performed using primary screening techniques. Primary screening techniques may include dividing substrates into coupons and depositing materials using varied processes. The materials are then evaluated, and promising candidates are advanced to the secondary screen, or materials and process development stage, 404. Evaluation of the materials is performed using metrology tools such as electronic testers and imaging tools (i.e., microscopes).
  • The materials and process development stage, 404, may evaluate hundreds of materials (i.e., a magnitude smaller than the primary stage) and may focus on the processes used to deposit or develop those materials. Promising materials and processes are again selected, and advanced to the tertiary screen or process integration stage, 406, where tens of materials and/or processes and combinations are evaluated. The tertiary screen or process integration stage, 406, may focus on integrating the selected processes and materials with other processes and materials.
  • The most promising materials and processes from the tertiary screen are advanced to device qualification, 408. In device qualification, the materials and processes selected are evaluated for high volume manufacturing, which normally is conducted on full substrates within production tools, but need not be conducted in such a manner. The results are evaluated to determine the efficacy of the selected materials and processes. If successful, the use of the screened materials and processes can proceed to pilot manufacturing, 410.
  • The schematic diagram, 400, is an example of various techniques that may be used to evaluate and select materials and processes for the development of new materials and processes. The descriptions of primary, secondary, etc. screening and the various stages, 402-410, are arbitrary and the stages may overlap, occur out of sequence, be described and be performed in many other ways.
  • This application benefits from High Productivity Combinatorial (HPC) techniques described in U.S. patent application Ser. No. 11/674,137 filed on Feb. 12, 2007 which is hereby incorporated for reference in its entirety. Portions of the '137 application have been reproduced below to enhance the understanding of the present invention. The '137 application is generally directed to the fabrication of thin-film photovoltaic (TFPV) devices, but the skilled artisan will recognize that the same HPC methods can be applied to the instant methods for forming transistors and other semiconductor devices. The embodiments described herein enable the application of combinatorial techniques to process sequence integration in order to arrive at a globally optimal sequence of device manufacturing operations by considering interaction effects between the unit manufacturing operations, the process conditions used to effect such unit manufacturing operations, hardware details used during the processing, as well as materials characteristics of components utilized within the unit manufacturing operations. Rather than only considering a series of local optimums, i.e., where the best conditions and materials for each manufacturing unit operation is considered in isolation, the embodiments described below consider interactions effects introduced due to the multitude of processing operations that are performed and the order in which such multitude of processing operations are performed when fabricating a device. A global optimum sequence order is therefore derived and as part of this derivation, the unit processes, unit process parameters and materials used in the unit process operations of the optimum sequence order are also considered.
  • The embodiments described further analyze a portion or sub-set of the overall process sequence used to manufacture a device. Once the subset of the process sequence is identified for analysis, combinatorial process sequence integration testing is performed to optimize the materials, unit processes, hardware details, and process sequence used to build that portion of the device or structure. During the processing of some embodiments described herein, structures are formed on the processed substrate that are equivalent to the structures formed during actual production of the device. For example, such structures may include, but would not be limited to, contact layers, buffer layers, semiconductor layers, or any other series of layers or unit processes that create an intermediate structure found on devices. While the combinatorial processing varies certain materials, unit processes, hardware details, or process sequences, the composition or thickness of the layers or structures or the action of the unit process, such as cleaning, surface preparation, deposition, surface treatment, etc. is substantially uniform through each discrete region. Furthermore, while different materials or unit processes may be used for corresponding layers or steps in the formation of a structure in different regions of the substrate during the combinatorial processing, the application of each layer or use of a given unit process is substantially consistent or uniform throughout the different regions in which it is intentionally applied. Thus, the processing is uniform within a region (inter-region uniformity) and between regions (intra-region uniformity), as desired. It should be noted that the process can be varied between regions, for example, where a thickness of a layer is varied or a material may be varied between the regions, etc., as desired by the design of the experiment.
  • The result is a series of regions on the substrate that contain structures or unit process sequences that have been uniformly applied within that region and, as applicable, across different regions. This process uniformity allows comparison of the properties within and across the different regions such that the variations in test results are due to the varied parameter (e.g., materials, unit processes, unit process parameters, hardware details, or process sequences) and not the lack of process uniformity. In the embodiments described herein, the positions of the discrete regions on the substrate can be defined as needed, but are preferably systematized for ease of tooling and design of experimentation. In addition, the number, variants and location of structures within each region are designed to enable valid statistical analysis of the test results within each region and across regions to be performed.
  • FIG. 5 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site isolated processing and/or conventional processing in accordance with one embodiment of the invention. In one embodiment, the substrate is initially processed using conventional process N. In one exemplary embodiment, the substrate is then processed using site isolated process N+1. During site isolated processing, an HPC module may be used, such as the HPC module described in U.S. patent application Ser. No. 11/352,077 filed on Feb. 10, 2006. The substrate can then be processed using site isolated process N+2, and thereafter processed using conventional process N+3. Testing is performed and the results are evaluated. The testing can include physical, chemical, acoustic, magnetic, electrical, optical, etc. tests. From this evaluation, a particular process from the various site isolated processes (e.g. from steps N+1 and N+2) may be selected and fixed so that additional combinatorial process sequence integration may be performed using site isolated processing for either process N or N+3. For example, a next process sequence can include processing the substrate using site isolated process N, conventional processing for processes N+1, N+2, and N+3, with testing performed thereafter.
  • It should be appreciated that various other combinations of conventional and combinatorial processes can be included in the processing sequence with regard to FIG. 5. That is, the combinatorial process sequence integration can be applied to any desired segments and/or portions of an overall process flow. The combinatorial processing may employ uniform processing of site isolated regions or may employ gradient techniques. Characterization, including physical, chemical, acoustic, magnetic, electrical, optical, etc. testing, can be performed after each process operation, and/or series of process operations within the process flow as desired. The feedback provided by the testing is used to select certain materials, processes, process conditions, and process sequences and eliminate others. Furthermore, the above flows can be applied to entire monolithic substrates, or portions of monolithic substrates such as coupons.
  • Under combinatorial processing operations the processing conditions at different regions can be controlled independently. Consequently, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, deposition order of process materials, process sequence steps, hardware details, etc., can be varied from region to region on the substrate. Thus, for example, when exploring materials, a processing material delivered to a first and second region can be the same or different. If the processing material delivered to the first region is the same as the processing material delivered to the second region, this processing material can be offered to the first and second regions on the substrate at different concentrations. In addition, the material can be deposited under different processing parameters. Parameters which can be varied include, but are not limited to, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, atmospheres in which the processes are conducted, an order in which materials are deposited, hardware details of the gas distribution assembly, etc. It should be appreciated that these process parameters are exemplary and not meant to be an exhaustive list as other process parameters commonly used in device manufacturing may be varied.
  • As mentioned above, within a region, the process conditions are substantially uniform. That is, the embodiments, described herein locally perform the processing in a conventional manner, e.g., substantially consistent and substantially uniform, while globally over the substrate, the materials, processes, and process sequences may vary. Thus, the testing will find optimums without interference from process variation differences between processes that are meant to be the same. However, in some embodiments, the processing may result in a gradient within the regions. It should be appreciated that a region may be adjacent to another region in one embodiment or the regions may be isolated and, therefore, non-overlapping. When the regions are adjacent, there may be a slight overlap wherein the materials or precise process interactions are not known, however, a portion of the regions, normally at least 50% or more of the area, is uniform and all testing occurs within that region. Further, the potential overlap is only allowed with material of processes that will not adversely affect the result of the tests. Both types of regions are referred to herein as regions or discrete regions.
  • In applying HPC methodologies, the method for deposition of each feature (e.g., layer) can be varied in a combinatorial manner by varying process conditions among site isolated regions on a substrate. Such variations can include CVD process conditions such as process material amounts, precursor gases, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing reagent compositions, the rates at which the reactions are quenched, deposition order of process materials, process sequence steps, hardware details, etc. for the formation of the gate oxide and the semiconductor channel, as well as for the interface and passivation layers. Sizes and shapes can be varied, for example, the channel length and width and thickness for a given fab feature size. Gate metals can be deposited using any convenient deposition method. For example, gate metals can be deposited using physical vapor deposition (PVD), and the PVD process conditions can be varied combinatorially. PVD process parameters that can be varied to include, but are not limited to, process material amounts, reactant species, processing temperatures, processing times, processing pressures, substrate bias, substrate temperature, magnetron power, atmospheres in which the processes are conducted, order in which materials are deposited, etc. In particular, PVD process parameters can be varied to test which process parameters provide desired template layer composition, layer thickness, layer uniformity, crystallinity, crystal orientation, grain size, electrical properties (such as resistivity and dielectric constant), reproducibility, variability with respect to SIR location within a wafer, etc.
  • In some embodiments, the methods further comprise defining a plurality of discrete site-isolated regions (SIRs) on a substrate 202, then, as shown in FIGS. 2 and 3 forming a first layer 206 as shown in step 302 on one or more of the discrete SIRs, the first layer being operable as a gate electrode; forming a second layer 204 as shown in step 304 above the first layer 206, the second layer comprising a dielectric material; forming a third layer 208 as shown in step 306 above the second layer 204, the third layer comprising a semiconductor; and forming a fourth layer 212/214 as shown in step 310 above the third layer 208, the fourth layer comprising one or more conductive materials and functioning as source and drain electrodes. The process parameters for the formation of the first layer, second layer, third layer and fourth layer are varied in a combinatorial manner between different discrete SIRs. In some embodiments, the methods further comprise forming a fifth layer (not shown) between the second layer and the third layer, wherein the fifth layer is operable as an interface layer. In some embodiments, the methods further comprise forming a a sixth layer 210 as shown in step 310 between the third layer 208 and the fourth layer (source and drain electrodes), wherein the sixth layer is operable as a passivation layer. The methods can further comprise varying the process parameters for the formation of the fifth layer and sixth layer in a combinatorial manner between different discrete SIRs.
  • In particular, the formation of the source and drain contacts and the gate dielectric are the most “sensitive” processes in that these processes are critical to device performance and sensitive to small process variations. The channel-last process substantially reduces these sensitivities and shifts the process-optimization emphasis to the formation of the high-mobility channel in a way that readily lends itself to improved optimization, because there are fewer external constraints on the channel design. HPC investigation of process parameters can provide control over desired semiconductor lattice structures, channel mobility, contact resistance with the source and drain electrodes, the composition of the dielectric, interface and passivation layers, the choice of seed materials, process parameters, and the like.
  • In some embodiments, the process parameters comprise process material amounts, reactant species, precursor species, processing temperatures, processing times, processing pressures, substrate bias, substrate temperature, sputtering target composition, magnetron power, atmospheres in which the processes are conducted, plasma composition, plasma energy, order in which materials are deposited. The methods can further comprise measuring a lattice parameter or an electrical property of the any of the layers.
  • It will be understood that the descriptions of one or more embodiments of the present invention do not limit the various alternative, modified and equivalent embodiments which may be included within the spirit and scope of the present invention as defined by the appended claims. Furthermore, in the detailed description above, numerous specific details are set forth to provide an understanding of various embodiments of the present invention. However, one or more embodiments of the present invention may be practiced without these specific details. In other instances, well known methods, procedures, and components have not been described in detail so as not to unnecessarily obscure aspects of the present embodiments.

Claims (20)

What is claimed is:
1. A field effect transistor (FET) comprising
a substrate,
a first layer disposed above the substrate, the first layer being operable as a gate electrode,
a second layer disposed above the first layer, the second layer comprising a dielectric material,
a third layer disposed above the second layer, the third layer comprising a semiconductor, and
a fourth layer disposed above the third layer, the fourth layer comprising one or more conductive materials and operable as source and drain electrodes.
2. The FET of claim 1, further comprising a fifth layer disposed between the second layer and the third layer, wherein the fifth layer is operable as an interface layer.
3. The FET of claim 1, further comprising a sixth layer disposed between the third layer and the source and drain electrodes, wherein the sixth layer is operable as a passivation layer.
4. The FET of claim 1, wherein the second layer comprises a high-κ dielectric.
5. The FET of claim 1, wherein the third layer comprises Ge.
6. The FET of claim 1, wherein the third layer comprises a III-V semiconductor.
7. The FET of claim 1, wherein the third layer comprises graphene.
8. The FET of claim 1, wherein the third layer is substantially strain-free and free of threading defects.
9. The FET of claim 1, wherein the third layer has a thickness of less than 10 nm.
10. The FET of claim 1, wherein the third layer has a thickness of between about 1 nm and about 1.5 nm.
11. The FET of claim 1, wherein a separation between the source and drain electrodes is approximately the same as the width of the gate electrode.
12. The FET of claim 1, wherein the source, drain, and gate electrodes each comprise one or more metals.
13. The FET of claim 1, wherein the first layer is a conformal layer on a trench formed in the substrate surface.
14. A method of forming a field-effect transistor (FET), the method comprising
forming a first layer above a substrate, the first layer being operable as a gate electrode;
after forming the first layer, forming a second layer above the first layer, the second layer comprising a dielectric material;
after forming the second layer, forming a third layer above the second layer, the third layer comprising a semiconductor; and
after forming the third layer, forming a fourth layer above the third layer, the fourth layer comprising one or more conductive materials to function as source and drain electrodes.
15. The method of claim 14, further comprising forming a fifth layer on the second layer, wherein the fifth layer is formed after forming the second layer and before forming the third layer, wherein the fifth layer is operable as an interface layer.
16. The method of claim 14, further comprising forming a sixth layer on the third layer, wherein the sixth layer is formed after forming the third layer and before forming the fourth layer, wherein the sixth layer is operable as a passivation layer.
17. The method of claim 14, wherein the second layer comprises a high-κ dielectric.
18. The method of claim 14, wherein the third layer comprises Ge.
19. The method of claim 14, wherein the third layer comprises a III-V semiconductor or graphene.
20. The method of claim 14, wherein the third layer is substantially strain-free and free of threading defects.
US14/137,183 2013-03-13 2013-12-20 Channel-Last Methods for Making FETS Abandoned US20140264281A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/137,183 US20140264281A1 (en) 2013-03-13 2013-12-20 Channel-Last Methods for Making FETS

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361779740P 2013-03-13 2013-03-13
US14/137,183 US20140264281A1 (en) 2013-03-13 2013-12-20 Channel-Last Methods for Making FETS

Publications (1)

Publication Number Publication Date
US20140264281A1 true US20140264281A1 (en) 2014-09-18

Family

ID=51523560

Family Applications (7)

Application Number Title Priority Date Filing Date
US14/019,961 Abandoned US20140273525A1 (en) 2013-03-13 2013-09-06 Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US14/031,975 Expired - Fee Related US8987143B2 (en) 2013-03-13 2013-09-19 Hydrogen plasma cleaning of germanium oxide surfaces
US14/091,854 Abandoned US20140273404A1 (en) 2013-03-13 2013-11-27 Advanced Targeted Microwave Degas System
US14/135,431 Expired - Fee Related US9076641B2 (en) 2013-03-13 2013-12-19 Ultra-low resistivity contacts
US14/137,183 Abandoned US20140264281A1 (en) 2013-03-13 2013-12-20 Channel-Last Methods for Making FETS
US14/137,866 Abandoned US20140264507A1 (en) 2013-03-13 2013-12-20 Fluorine Passivation in CMOS Image Sensors
US14/721,248 Abandoned US20150255332A1 (en) 2013-03-13 2015-05-26 Ultra-Low Resistivity Contacts

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US14/019,961 Abandoned US20140273525A1 (en) 2013-03-13 2013-09-06 Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US14/031,975 Expired - Fee Related US8987143B2 (en) 2013-03-13 2013-09-19 Hydrogen plasma cleaning of germanium oxide surfaces
US14/091,854 Abandoned US20140273404A1 (en) 2013-03-13 2013-11-27 Advanced Targeted Microwave Degas System
US14/135,431 Expired - Fee Related US9076641B2 (en) 2013-03-13 2013-12-19 Ultra-low resistivity contacts

Family Applications After (2)

Application Number Title Priority Date Filing Date
US14/137,866 Abandoned US20140264507A1 (en) 2013-03-13 2013-12-20 Fluorine Passivation in CMOS Image Sensors
US14/721,248 Abandoned US20150255332A1 (en) 2013-03-13 2015-05-26 Ultra-Low Resistivity Contacts

Country Status (2)

Country Link
US (7) US20140273525A1 (en)
WO (2) WO2014160460A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9287359B1 (en) 2014-09-15 2016-03-15 Wisconsin Alumni Research Foundation Oriented bottom-up growth of armchair graphene nanoribbons on germanium
US9324804B2 (en) * 2014-03-21 2016-04-26 Wisconsin Alumni Research Foundation Graphene-on-semiconductor substrates for analog electronics
CN105742157A (en) * 2014-12-30 2016-07-06 Asm Ip控股有限公司 Germanium Oxide Pre-Clean Module And Process
US9595449B1 (en) * 2015-12-21 2017-03-14 International Business Machines Corporation Silicon-germanium semiconductor devices and method of making
US9761669B1 (en) 2016-07-18 2017-09-12 Wisconsin Alumni Research Foundation Seed-mediated growth of patterned graphene nanoribbon arrays
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10755990B2 (en) * 2017-06-07 2020-08-25 Xidian University Method for characterizing ohmic contact electrode performance of semiconductor device
US11618681B2 (en) 2021-06-28 2023-04-04 Wisconsin Alumni Research Foundation Graphene nanoribbons grown from aromatic molecular seeds

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140034632A1 (en) * 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10767259B2 (en) 2013-07-19 2020-09-08 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
US20150024152A1 (en) 2013-07-19 2015-01-22 Agilent Technologies, Inc. Metal components with inert vapor phase coating on internal surfaces
US20150093887A1 (en) * 2013-10-02 2015-04-02 GlobalFoundries, Inc. Methods for removing a native oxide layer from germanium susbtrates in the fabrication of integrated circuitsi
US20150093889A1 (en) * 2013-10-02 2015-04-02 Intermolecular Methods for removing a native oxide layer from germanium susbtrates in the fabrication of integrated circuits
US9224594B2 (en) * 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US20150270134A1 (en) * 2014-03-19 2015-09-24 Qualcomm Incorporated Methods of forming a metal-insulator-semiconductor (mis) structure and a dual contact device
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102233603B1 (en) * 2014-12-11 2021-03-31 에바텍 아크티엔게젤샤프트 Chamber for degassing substrates
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
WO2016114850A1 (en) * 2015-01-14 2016-07-21 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10644187B2 (en) * 2015-07-24 2020-05-05 Artilux, Inc. Multi-wafer based light absorption apparatus and applications thereof
EP3326203B1 (en) 2015-07-24 2024-03-06 Artilux, Inc. Multi-wafer based light absorption apparatus and applications thereof
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9627615B1 (en) * 2016-01-26 2017-04-18 Arm Ltd. Fabrication of correlated electron material devices
WO2017151958A1 (en) * 2016-03-02 2017-09-08 Tokyo Electron Limited Isotropic silicon and silicon-germanium etching with tunable selectivity
CN108780766B (en) 2016-03-08 2022-03-04 瑞士艾发科技 Chamber for degassing a substrate
EP3452015A4 (en) * 2016-05-05 2019-12-11 Veloce BioPharma LLC Compositions and methods for treatment of inflammation or infection of the eye
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11222959B1 (en) * 2016-05-20 2022-01-11 Hrl Laboratories, Llc Metal oxide semiconductor field effect transistor and method of manufacturing same
US10269714B2 (en) 2016-09-06 2019-04-23 International Business Machines Corporation Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
KR102398688B1 (en) 2017-05-26 2022-05-16 주식회사 디비하이텍 Image sensor and method of manufacturing the same
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) * 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102018075B1 (en) * 2017-11-30 2019-09-04 무진전자 주식회사 Dry clean apparatus and method for removing polysilicon seletively
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10290719B1 (en) 2017-12-27 2019-05-14 International Business Machines Corporation Indium gallium arsenide metal oxide semiconductor field effect transistor having a low contact resistance to metal electrode
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11088028B2 (en) * 2018-11-30 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112986357A (en) * 2019-12-13 2021-06-18 成都今是科技有限公司 Microelectrode of gene sequencing chip, preparation method thereof and gene sequencing chip
WO2022098517A1 (en) * 2020-11-03 2022-05-12 Tokyo Electron Limited Method for filling recessed features in semiconductor devices with a low-resistivity metal

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3676756A (en) * 1969-09-18 1972-07-11 Innotech Corp Insulated gate field effect device having glass gate insulator
US20040130951A1 (en) * 2002-06-21 2004-07-08 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US20100025675A1 (en) * 2008-07-31 2010-02-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20110180803A1 (en) * 2010-01-26 2011-07-28 Samsung Electronics Co., Ltd. Thin film transistors and methods of manufacturing the same
US20140263945A1 (en) * 2013-03-14 2014-09-18 Nutech Ventures Floating-gate transistor photodetector

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1417085A (en) * 1973-05-17 1975-12-10 Standard Telephones Cables Ltd Plasma etching
US4361461A (en) 1981-03-13 1982-11-30 Bell Telephone Laboratories, Incorporated Hydrogen etching of semiconductors and oxides
US4675073A (en) * 1986-03-07 1987-06-23 Texas Instruments Incorporated Tin etch process
US5116679A (en) * 1988-07-29 1992-05-26 Alcan International Limited Process for producing fibres composed of or coated with carbides or nitrides
KR0144932B1 (en) * 1995-01-26 1998-07-01 김광호 Capacitor of semiconductor device and manufacturing method thereof
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6714300B1 (en) * 1998-09-28 2004-03-30 Therma-Wave, Inc. Optical inspection equipment for semiconductor wafers with precleaning
US7012292B1 (en) * 1998-11-25 2006-03-14 Advanced Technology Materials, Inc Oxidative top electrode deposition process, and microelectronic device structure
US7053002B2 (en) * 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
JP2002016248A (en) * 2000-06-30 2002-01-18 Mitsubishi Electric Corp Manufacturing method of semiconductor device
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6900498B2 (en) * 2001-05-08 2005-05-31 Advanced Technology Materials, Inc. Barrier structures for integration of high K oxides with Cu and Al electrodes
CN100468638C (en) * 2001-12-18 2009-03-11 松下电器产业株式会社 Method for mfg. semiconductor elements
DE10221503A1 (en) * 2002-05-14 2003-11-27 Infineon Technologies Ag Metal object intended for at least partial coating with a substance
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
JP2004186567A (en) * 2002-12-05 2004-07-02 Toshiba Corp Semiconductor device and manufacturing method of semiconductor device
US6756291B1 (en) * 2003-01-24 2004-06-29 Taiwan Semiconductor Manufacturing Co., Ltd Method for hardening gate oxides using gate etch process
CN100401478C (en) * 2003-02-12 2008-07-09 松下电器产业株式会社 Method for fabricating semiconductor device
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
JP4315701B2 (en) * 2003-02-25 2009-08-19 シャープ株式会社 Nitride III-V compound semiconductor electrode and method for producing the same
KR100541678B1 (en) * 2003-06-30 2006-01-11 주식회사 하이닉스반도체 method for manufacturing metal line
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP3729826B2 (en) * 2004-01-09 2005-12-21 松下電器産業株式会社 Method for manufacturing solid-state imaging device
EP1722970A4 (en) * 2004-02-25 2012-10-03 Agc Flat Glass Na Inc Heat stabilized sub-stoichiometric dielectrics
JP2005268312A (en) 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc Resist removing method and semiconductor device manufactured using same
US6946368B1 (en) * 2004-03-23 2005-09-20 Applied Materials, Inc. Reduction of native oxide at germanium interface using hydrogen-based plasma
US7279413B2 (en) * 2004-06-16 2007-10-09 International Business Machines Corporation High-temperature stable gate structure with metallic electrode
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US7465674B2 (en) * 2005-05-31 2008-12-16 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20100200863A1 (en) * 2005-07-08 2010-08-12 Nec Corporation Electrode structure, semiconductor device, and methods for manufacturing those
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US7892985B1 (en) * 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
WO2007095194A2 (en) * 2006-02-10 2007-08-23 Intermolecular, Inc. Method and apparatus for combinatorially varying materials, unit process and process sequence
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
JPWO2008081566A1 (en) * 2006-12-28 2010-04-30 日本電気株式会社 Electrode structure, semiconductor element, and manufacturing method thereof
FR2913146B1 (en) * 2007-02-23 2009-05-01 Saint Gobain DISCONTINUOUS ELECTRODE, ORGANIC ELECTROLUMINESCENCE DEVICE INCORPORATING THE SAME, AND THEIR MANUFACTURING
US8344375B2 (en) * 2007-03-05 2013-01-01 Intermolecular, Inc. Nonvolatile memory elements with metal deficient resistive switching metal oxides
US8144498B2 (en) * 2007-05-09 2012-03-27 Intermolecular, Inc. Resistive-switching nonvolatile memory elements
KR100864932B1 (en) 2007-07-23 2008-10-22 주식회사 동부하이텍 Method for cleaning of a semiconductor substrate
TW200929526A (en) * 2007-12-24 2009-07-01 Powerchip Semiconductor Corp Non-volatile memory and fabricating method thereof
FR2925981B1 (en) * 2007-12-27 2010-02-19 Saint Gobain CARRIER SUBSTRATE OF AN ELECTRODE, ORGANIC ELECTROLUMINESCENT DEVICE INCORPORATING IT.
US8343813B2 (en) * 2009-04-10 2013-01-01 Intermolecular, Inc. Resistive-switching memory elements having improved switching characteristics
US8124992B2 (en) * 2008-08-27 2012-02-28 Showa Denko K.K. Light-emitting device, manufacturing method thereof, and lamp
US8441060B2 (en) * 2008-10-01 2013-05-14 Panasonic Corporation Nonvolatile memory element and nonvolatile memory device incorporating nonvolatile memory element
KR20100101450A (en) * 2009-03-09 2010-09-17 삼성전자주식회사 Semiconductor device and associated methods of manufacture
EP2259267B1 (en) * 2009-06-02 2013-08-21 Imec Method for manufacturing a resistive switching memory cell comprising a nickel oxide layer operable at low-power and memory cells obtained thereof
JP4688979B2 (en) * 2009-07-13 2011-05-25 パナソニック株式会社 Resistance change element and resistance change memory device
KR102095669B1 (en) * 2009-09-17 2020-04-01 사이오닉스, 엘엘씨 Photosensitive imaging devices and associated methods
US8476681B2 (en) * 2009-09-17 2013-07-02 Sionyx, Inc. Photosensitive imaging devices and associated methods
US8106469B2 (en) * 2010-01-14 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of fluorine passivation
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
KR101312906B1 (en) * 2010-03-19 2013-09-30 파나소닉 주식회사 Non-volatile storage element, method of manufacturing the same, method of supporting design thereof and non-volatile storage device
US8629523B2 (en) * 2010-04-16 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Inserted reflective shield to improve quantum efficiency of image sensors
JP5320601B2 (en) * 2010-04-23 2013-10-23 シャープ株式会社 Nonvolatile variable resistance element and nonvolatile semiconductor memory device
JP5186634B2 (en) * 2010-06-29 2013-04-17 シャープ株式会社 Nonvolatile semiconductor memory device
US20120193600A1 (en) * 2010-07-02 2012-08-02 Atsushi Himeno Variable resistance nonvolatile memory element, method of manufacturing the same, and variable resistance nonvolatile memory device
US8374018B2 (en) * 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
JP5148025B2 (en) * 2010-11-19 2013-02-20 パナソニック株式会社 Method for manufacturing nonvolatile semiconductor memory element
WO2012070238A1 (en) * 2010-11-24 2012-05-31 パナソニック株式会社 Nonvolatile memory element, production method therefor, nonvolatile memory unit, and design assistance method for nonvolatile memory element
US20120292588A1 (en) * 2010-12-15 2012-11-22 Satoru Fujii Nonvolatile memory device
US8349731B2 (en) * 2011-03-25 2013-01-08 GlobalFoundries, Inc. Methods for forming copper diffusion barriers for semiconductor interconnect structures
US8546781B2 (en) * 2011-05-31 2013-10-01 The Board Of Trustees Of The Leland Stanford Junior University Nitrogen doped aluminum oxide resistive random access memory
US20120313205A1 (en) * 2011-06-10 2012-12-13 Homayoon Haddad Photosensitive Imagers Having Defined Textures for Light Trapping and Associated Methods
US8846443B2 (en) * 2011-08-05 2014-09-30 Intermolecular, Inc. Atomic layer deposition of metal oxides for memory applications
US8659001B2 (en) * 2011-09-01 2014-02-25 Sandisk 3D Llc Defect gradient to boost nonvolatile memory performance
US8288297B1 (en) * 2011-09-01 2012-10-16 Intermolecular, Inc. Atomic layer deposition of metal oxide materials for memory applications
CN103222004B (en) * 2011-09-09 2015-06-17 松下电器产业株式会社 Cross-point variable resistance non-volatile storage device and writing method for same
JP5404977B2 (en) * 2011-09-27 2014-02-05 パナソニック株式会社 Nonvolatile memory element, nonvolatile memory device and manufacturing method thereof
US8822265B2 (en) * 2011-10-06 2014-09-02 Intermolecular, Inc. Method for reducing forming voltage in resistive random access memory
WO2013073187A1 (en) * 2011-11-17 2013-05-23 パナソニック株式会社 Variable resistance nonvolatile storage device and method for manufacturing same
JP5845866B2 (en) * 2011-12-07 2016-01-20 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US8920618B2 (en) * 2011-12-29 2014-12-30 Intermolecular, Inc. Combinatorial processing using high deposition rate sputtering
WO2013111548A1 (en) * 2012-01-23 2013-08-01 パナソニック株式会社 Nonvolatile storage element and method of manufacturing thereof
JP2013157469A (en) * 2012-01-30 2013-08-15 Sharp Corp Variable resistive element, and nonvolatile semiconductor storage device
US8569104B2 (en) * 2012-02-07 2013-10-29 Intermolecular, Inc. Transition metal oxide bilayers
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US20140124817A1 (en) * 2012-11-05 2014-05-08 Intermolecular, Inc. Contact Layers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3676756A (en) * 1969-09-18 1972-07-11 Innotech Corp Insulated gate field effect device having glass gate insulator
US20040130951A1 (en) * 2002-06-21 2004-07-08 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US20100025675A1 (en) * 2008-07-31 2010-02-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20110180803A1 (en) * 2010-01-26 2011-07-28 Samsung Electronics Co., Ltd. Thin film transistors and methods of manufacturing the same
US20140263945A1 (en) * 2013-03-14 2014-09-18 Nutech Ventures Floating-gate transistor photodetector

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324804B2 (en) * 2014-03-21 2016-04-26 Wisconsin Alumni Research Foundation Graphene-on-semiconductor substrates for analog electronics
US9287359B1 (en) 2014-09-15 2016-03-15 Wisconsin Alumni Research Foundation Oriented bottom-up growth of armchair graphene nanoribbons on germanium
CN105742157A (en) * 2014-12-30 2016-07-06 Asm Ip控股有限公司 Germanium Oxide Pre-Clean Module And Process
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US11264255B2 (en) 2015-03-11 2022-03-01 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9595449B1 (en) * 2015-12-21 2017-03-14 International Business Machines Corporation Silicon-germanium semiconductor devices and method of making
US9761669B1 (en) 2016-07-18 2017-09-12 Wisconsin Alumni Research Foundation Seed-mediated growth of patterned graphene nanoribbon arrays
US10755990B2 (en) * 2017-06-07 2020-08-25 Xidian University Method for characterizing ohmic contact electrode performance of semiconductor device
US11618681B2 (en) 2021-06-28 2023-04-04 Wisconsin Alumni Research Foundation Graphene nanoribbons grown from aromatic molecular seeds

Also Published As

Publication number Publication date
US9076641B2 (en) 2015-07-07
WO2014160460A1 (en) 2014-10-02
US20150255332A1 (en) 2015-09-10
US20140264507A1 (en) 2014-09-18
WO2014160467A1 (en) 2014-10-02
US20140273525A1 (en) 2014-09-18
US20140273404A1 (en) 2014-09-18
US20140273493A1 (en) 2014-09-18
US8987143B2 (en) 2015-03-24
US20140264825A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
US20140264281A1 (en) Channel-Last Methods for Making FETS
US11049953B2 (en) Nanosheet transistor
US6593748B1 (en) Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
JP5571193B2 (en) Quantum well semiconductor device
US20130001555A1 (en) Semiconductor structure and method for manufacturing the same
US10236218B1 (en) Methods, apparatus and system for forming wrap-around contact with dual silicide
JP2014512669A (en) Low temperature selective epitaxy method
US11456360B2 (en) Epitaxial growth methods and structures thereof
US9748354B2 (en) Multi-threshold voltage structures with a lanthanum nitride film and methods of formation thereof
Wang et al. Optimization of SiGe selective epitaxy for source/drain engineering in 22 nm node complementary metal-oxide semiconductor (CMOS)
CN103972059A (en) Methods for forming semiconductor regions in trenches
US11309404B2 (en) Integrated CMOS source drain formation with advanced control
US9418870B2 (en) Silicon germanium-on-insulator formation by thermal mixing
US8823141B2 (en) Semiconductor wafer, method of producing semiconductor wafer, electronic device, and method of producing electronic device
Wang Investigation on SiGe selective epitaxy for source and drain engineering in 22 nm CMOS technology node and beyond
Wang et al. Growth of SiGe layers in source and drain regions for 10 nm node complementary metal-oxide semiconductor (CMOS)
US8378424B2 (en) Semiconductor structure having test and transistor structures
US20140175618A1 (en) Transition metal aluminate and high k dielectric semiconductor stack
US20060163581A1 (en) Fabrication of strained silicon film via implantation at elevated substrate temperatures
KR102336537B1 (en) Methods for forming germanium and silicon germanium nanowire devices
US7955936B2 (en) Semiconductor fabrication process including an SiGe rework method
CN107425007A (en) A kind of metal gates preparation method of 3D nand memories part
JP5711805B1 (en) Manufacturing method of semiconductor device
CN109003881A (en) The formation of metal oxide layer
JP2003110111A (en) Semiconductor device simulation method and semiconductor element

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERMOLECULAR, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NIYOGI, SANDIP;BARSTOW, SEAN;LANG, CHI-I;AND OTHERS;SIGNING DATES FROM 20131217 TO 20131220;REEL/FRAME:031833/0056

AS Assignment

Owner name: INTERMOLECULAR, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIMDULPAIBOON, RATSAMEE;REEL/FRAME:033603/0774

Effective date: 20140825

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION