US20140175458A1 - Graphene structure, graphene device including same, and method of manufacturing graphene structure - Google Patents

Graphene structure, graphene device including same, and method of manufacturing graphene structure Download PDF

Info

Publication number
US20140175458A1
US20140175458A1 US13/924,953 US201313924953A US2014175458A1 US 20140175458 A1 US20140175458 A1 US 20140175458A1 US 201313924953 A US201313924953 A US 201313924953A US 2014175458 A1 US2014175458 A1 US 2014175458A1
Authority
US
United States
Prior art keywords
layer
graphene
silicon carbide
carbide substrate
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/924,953
Other versions
US9324839B2 (en
Inventor
Joung-real AHN
Ha-chul SHIN
In-kyung SONG
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AHN, JOUNG-REAL, SHIN, HA-CHUL, SONG, IN-KYUNG
Publication of US20140175458A1 publication Critical patent/US20140175458A1/en
Application granted granted Critical
Publication of US9324839B2 publication Critical patent/US9324839B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/184Preparation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J6/00Heat treatments such as Calcining; Fusing ; Pyrolysis
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2204/00Structure or properties of graphene
    • C01B2204/02Single layer graphene
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2204/00Structure or properties of graphene
    • C01B2204/20Graphene characterized by its properties
    • C01B2204/22Electronic properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1608Silicon carbide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Thermal Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

A method of manufacturing a graphene structure, the graphene structure, and a graphene device including the graphene structure, include depositing a metal layer over a silicon carbide substrate; and performing, at a first temperature, a heat treatment on the silicon carbide substrate over which the metal layer is deposited to form a composite layer and a graphene layer on the silicon carbide substrate. The composite layer includes a metal.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims the benefit of priority from Korean Patent Application No. 10-2012-0151337, filed on Dec. 21, 2012 in the Korean Intellectual Property Office, the disclosure of which is incorporated herein by reference in its entirety.
  • BACKGROUND
  • 1. Field
  • The present disclosure relates to a graphene structure, a graphene device including the same, and a method of manufacturing the graphene structure.
  • 2. Description of the Related Art
  • In general, graphite has a structure in which plate-shaped 2D graphenes that are formed by hexagonally connected carbon atoms are stacked.
  • The graphene may be usefully used for various electrical devices such as display device electrodes or solar cell electrodes because of its excellent transparency and conductivity.
  • The graphene is formed through a thermal treatment process after supplying a carbon supply source to a metal catalyst and subsequently, obtained graphene is separated and transferred onto a given substrate to manufacture an electrical device.
  • The graphene is a 2D conductive material which includes a hexagonal system of carbon atoms as a single layer, and has received attention as a new material for semiconductor devices due to its characteristic of having higher electron mobility than silicon. In addition, the graphene has a current density of up to one hundred times that of copper and may be made to have a transparent flexible form, thus being highly applicable to portable devices.
  • Ways of obtaining such graphene roughly include peeling off to separate the graphene from single crystal silicon, chemical vapor deposition to derive the graphene from a surface of a metal catalyst by using a carbon source, and epitaxial growth to make a graphene layer grow on a silicon carbide substrate.
  • The epitaxial growth may result in a wide region of graphene of higher quality as compared to the other methods and is thus favorable in making devices having high electron mobility. However, it has limitations in terms of forming a uniform graphene layer and thus electron mobility also decreases accordingly.
  • SUMMARY
  • An example embodiment relates to methods of manufacturing a graphene structure including a graphene layer.
  • Another example embodiment relates to graphene devices.
  • A further example embodiment relates to graphene structures with a graphene layer.
  • According to an example embodiment, a method of manufacturing a graphene structure includes depositing a metal layer over a silicon carbide substrate; and performing, at a first temperature, a first heat treatment on the silicon carbide substrate over which the metal layer is deposited to form a composite layer and a graphene layer on the silicon carbide substrate. The composite layer includes a metal.
  • The method may further include performing a second heat treatment on the silicon carbide substrate at a second temperature before depositing the metal layer.
  • The second temperature may be about 1010° C. to about 1100° C.
  • Silicon and carbon may be non-uniformly distributed on a surface of the silicon carbide substrate over which the metal layer is deposited.
  • The deposition of the metal layer may be performed at about 20° C.-25° C.
  • The first temperature may be about 810° C. to about 900° C.
  • The composite layer may include at least one of metal-silicide and a metal material.
  • The metal-silicide may be formed over a region of the silicon carbide substrate containing more silicon than carbon on a surface of the silicon carbide substrate.
  • The metal material may be formed on a region of the silicon carbide substrate containing more carbon than silicon on a surface of the silicon carbide substrate.
  • The graphene layer may be single layer.
  • The graphene layer may be electrically neutral.
  • The composite layer may be interposed between the silicon carbide substrate and the graphene layer.
  • The method may further include performing a second heat treatment on the composite layer and the graphene layer at a second temperature to remove the metal remaining on the graphene layer.
  • The second temperature may be about 910° C. to about 1000° C.
  • The method may further include performing a second heat treatment on the metal containing composite layer and the graphene layer at a second temperature to remove the composite layer.
  • The second temperature may be about 1010° C. to about 1100° C.
  • The method may further include forming a hydrogen layer interposed between the silicon carbide substrate and the graphene layer.
  • According to another example embodiment, a graphene structure includes a silicon carbide substrate; a graphene layer over the silicon carbide substrate, the graphene layer having an electrically neutral property and being a single layer; and an inserting layer between the silicon carbide substrate and the graphene layer.
  • The inserting layer may include a compound containing a metal.
  • The inserting layer may be a hydrogen layer.
  • According to still another example embodiment, a graphene device includes the graphene structure, and a device layer on the graphene structure.
  • According to a further example embodiment, a method of manufacturing a graphene structure includes providing a seed layer over a silicon carbide layer, the seed layer including a metal; and extracting carbon from the silicon carbide layer to form a graphene layer and a graphene support. The graphene support is configured to support the graphene layer, and the graphene support includes the silicon carbide layer and a composite layer. The composite layer contains the metal from the seed layer.
  • The providing a seed layer may include forming the seed layer over a first portion of a surface of the silicon carbide layer. The first portion may be composed of more silicon than carbon.
  • The extracting carbon may include performing a heat treatment on the silicon carbide layer over which the seed layer is provided. The method may further include removing the composite layer, after forming the graphene layer; and forming a hydrogen layer between the silicon carbide layer and the graphene layer by using a hydrogen gas. The hydrogen layer may bind to the silicon carbide layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Example embodiments will be more clearly understood from the following detailed description taken in conjunction with the accompanying drawings. FIGS. 1-10 represent non-limiting, example embodiments as described herein.
  • FIG. 1 is a sectional view of a graphene structure according to an example embodiment;
  • FIGS. 2A to 2E are views describing a method of manufacturing a graphene structure including a first inserting layer according to an example embodiment;
  • FIGS. 3A to 3C are views illustrating, on an atom basis, processes of forming a graphene layer on a region containing relatively more silicon;
  • FIGS. 4A to 4C are views illustrating, on an atom basis, processes of forming a graphene layer on a region containing relatively more carbon;
  • FIG. 5A is a scanning tunneling microscope (STM) image of a silicon carbide substrate while it is being heated;
  • FIG. 5B is a view of an STM image of a surface of a graphene structure according to an example embodiment;
  • FIG. 6A is a view of binding energy of a graphene layer which is formed on a region containing relatively more silicon of a silicon carbide substrate;
  • FIG. 6B is a view of binding energy of a graphene layer which is formed on a region containing relatively more carbon of a silicon carbide substrate;
  • FIGS. 7A to 7C are views describing a method of manufacturing a graphene structure including a second inserting layer, according to another example embodiment;
  • FIG. 8A is a graph of binding energy of a graphene layer when heating a graphene structure;
  • FIG. 8B is a graph of binding energy of a graphene layer when a second inserting layer is formed;
  • FIG. 9 illustrates an exemplary structure of a graphene device which is formed by transferring a graphene layer of a graphene structure, according to an example embodiment; and
  • FIG. 10 illustrates an exemplary structure of a graphene device which is manufactured from a graphene structure, according to another example embodiment.
  • DETAILED DESCRIPTION
  • Reference will now be made in detail to example embodiments, examples of which are illustrated in the accompanying drawings, wherein like reference numerals refer to like elements throughout. In this regard, the present example embodiments may have different forms and should not be construed as being limited to the descriptions set forth herein. Specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments, and thus may be embodied in many alternate forms and should not be construed as limited to only example embodiments set forth herein. Therefore, it should be understood that there is no intent to limit example embodiments to the particular forms disclosed, but on the contrary, example embodiments are to cover all modifications, equivalents, and alternatives falling within the scope of the disclosure.
  • In the drawings, the thicknesses of layers and regions may be exaggerated for clarity, and like numbers refer to like elements throughout the description of the figures.
  • Although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of example embodiments. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items.
  • It will be understood that, if an element is referred to as being “connected” or “coupled” to another element, it can be directly connected, or coupled, to the other element or intervening elements may be present. In contrast, if an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between” versus “directly between,” “adjacent” versus “directly adjacent,” etc.).
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises,” “comprising,” “includes” and/or “including,” if used herein, specify the presence of stated features, integers, steps, operations, elements and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components and/or groups thereof. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. Expressions such as “at least one of,” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list.
  • Spatially relative terms (e.g., “beneath,” “below,” “lower,” “above,” “upper” and the like) may be used herein for ease of description to describe one element or a relationship between a feature and another element or feature as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, for example, the term “below” can encompass both an orientation that is above, as well as, below. The device may be otherwise oriented (rotated 90 degrees or viewed or referenced at other orientations) and the spatially relative descriptors used herein should be interpreted accordingly.
  • Example embodiments are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures). As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, may be expected. Thus, example embodiments should not be construed as limited to the particular shapes of regions illustrated herein but may include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle may have rounded or curved features and/or a gradient (e.g., of implant concentration) at its edges rather than an abrupt change from an implanted region to a non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation may take place. Thus, the regions illustrated in the figures are schematic in nature and their shapes do not necessarily illustrate the actual shape of a region of a device and do not limit the scope.
  • It should also be noted that in some alternative implementations, the functions/acts noted may occur out of the order noted in the figures. For example, two figures shown in succession may in fact be executed substantially concurrently or may sometimes be executed in the reverse order, depending upon the functionality/acts involved.
  • Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
  • A graphene structure, a graphene device including a graphene structure, and a manufacturing method thereof according to example embodiments will be described below with reference to the accompanying drawings. In the drawings, the dimensions of layers and regions may be exaggerated more or less for clarity of illustration.
  • FIG. 1 is a sectional view of a graphene structure according to an example embodiment.
  • Referring to FIG. 1, a graphene structure 100 includes a carbide silicon substrate 10, a graphene layer 20, and an inserting layer 30 which is deposited between the silicon carbide substrate 10 and the graphene layer 20.
  • The graphene layer 20 is formed as single layer above the silicon carbide substrate 10. The graphene may have a quasi-freestanding property which means that it has not been doped and does not combine as much with the silicon carbide substrate 10, and may have an electrically neutral property.
  • In addition, the inserting layer 30 is deposited between the silicon carbide substrate 10 and the graphene layer 20. An energy gap of such an inserting layer 30 may be smaller than that of the graphene layer 20. Such an inserting layer 30 may be a metal containing composite layer. For example, the inserting layer 30 may include metal-silicide in which metal is mixed with silicon, and a metal material which is formed of metal only. The metal may include at least one of palladium (Pd), copper (Cu), iron (Fe), and manganese (Mn). For convenience of description, an inserting layer which has a lower energy gap is referred to as a first inserting layer.
  • As such, if the inserting layer 30 with a lower energy gap is deposited between the silicon carbide substrate 10 and the graphene layer 20, it is possible to manufacture a graphene device by transferring graphene.
  • Meanwhile, the energy gap of the inserting layer 30 may be greater than that of the graphene layer 20. Such an inserting layer 30 may include a material such as hydrogen, oxygen, etc. For convenience of description, an inserting layer which has a higher energy gap is referred to as a second inserting layer.
  • As such, if the inserting layer 30 with a higher energy gap is deposited between the silicon carbide substrate 10 and the graphene layer 20, the arrangement has a merit in that it is possible to deposit components of an electronic device directly onto graphene.
  • FIGS. 2A to 2E are views describing a method of manufacturing a graphene structure including a first inserting layer according to an example embodiment.
  • Manufacturing the graphene structure including the first inserting layer may be performed in an ultra-high vacuum state. For example, it is possible to manufacture the graphene structure including the first inserting layer, within a vacuum state of 10−9 torr to 10−10 torr.
  • Referring to FIG. 2A, a silicon carbide substrate 10 is prepared. The silicon carbide (SiC) substrate 10 used in this manufacturing method is a crystalline substrate including carbon (C) and silicon (Si) ingredients (or, alternatively, components), these substrates being classified as 3C—SiC, 4H—SiC, 6H—SiC, etc. according to their crystal structures, and the processes described above may use them without limitation. In addition, the silicon carbide substrate 10 may have a p-type or an n-type that is obtained by doping with a dopant. As such a dopant, one or more of aluminum (Al), boron (B), nitrogen (N), phosphor (P), and transition metal may be used. As an example, chromium (Cr), manganese (Mn), iron (Fe) may be used.
  • In general, a surface of the silicon carbide substrate 10 may be stepped which involves changes in height on an atom basis. If heat treatment is performed on such a stepped silicon carbide substrate 10, the surface of the silicon carbide substrate 10 may be divided into a region 11 containing relatively (or, alternatively, substantially) more silicon and a region 12 containing relatively (or, alternatively, substantially) more carbon.
  • Referring to FIG. 2B, a heat treatment may be performed on the silicon carbide substrate 10. In addition, a heat treatment temperature of silicon carbide may be about 900° C. to about 1200° C. If the silicon carbide substrate 10 is heated, silicon may be deposited on (or, alternatively, removed from) the silicon carbide substrate 10. The silicon carbide substrate 10 is formed to have a single step shape on an atom basis, and thus silicon is more quickly deposited on (or, alternatively, removed from) a region adjacent to the step of the silicon carbide substrate 10 if the silicon carbide substrate 10 is heated. Therefore, a region adjacent to a height changing region of a surface of the silicon carbide substrate may become the region 12 containing relatively more carbon and the other region may become the region 11 containing relatively more silicon. The region 11 containing relatively more silicon and the region 12 containing relatively more carbon may expand, reduce, or change according to the heat treatment temperature.
  • As a heat source for the heat treatment, induction heating, radiant heat, laser, infrared (IR), microwave, plasma, ultraviolet (UV), or surface plasmon heating may be used without limitation. Such a heat source is attached to a chamber in which the silicon carbide substrate is deposited (or, alternatively, positioned), and plays a role in increasing the inner temperature of the chamber to a given temperature.
  • Referring to FIG. 2C, a metal layer 40 is deposited on the surface of the silicon carbide substrate 10. The metal of the metal layer 40 may include at least one of Pd, Cu, Fe and Mn. The amount of the metal (M) is not particularly limited. The metal M may be uniformly deposited on the silicon carbide substrate 10. The deposition of the metal M may be carried out, or performed, at room temperature (e.g., about 20° C. to 25° C.).
  • Then, a heat treatment may be performed on the silicon carbide substrate 10 on which the metal layer 40 is deposited. The heat treatment temperature may be about 810° C. to about 900° C. The temperature may gradually increase over time. Referring to FIG. 2D, a first inserting layer 50 and a graphene layer 20 are formed on the silicon carbide substrate 10. The first inserting layer 50 may include compounds (50 a) in which silicon (Si) and metal 130 are contained, and metal materials (50 b). The graphene layer 20 may have electrically neutral and quasi-freestanding properties. In addition, the graphene layer 20 may be a single layer. Thus, a graphene structure 110 is formed and includes the silicon carbide substrate 10, the first inserting layer 50 with silicon (Si), and the graphene layer 20.
  • Lastly, the heat treatment temperature of a product produced in FIG. 2D slightly increases. The heat treatment temperature may be about 910° C. to about 1000° C. in this case. Referring to FIG. 2E, a metal layer 40 a remaining on the graphene layer 20 is sublimated and as a result, only the graphene structure 110 that includes the silicon carbide substrate 10, the first inserting layer 50, and the graphene layer 20 remains.
  • FIGS. 3A to 3C are views illustrating, on an atom basis, processes of forming a graphene layer on a region containing relatively more silicon, and FIGS. 4A to 4C are views illustrating, on an atom basis, processes of forming a graphene layer on a region containing relatively more carbon.
  • Referring to FIG. 3A, metal M may be deposited on the silicon carbide substrate 10. In addition, if the silicon carbide substrate 10 on which the metal M is deposited is heated, the metal M which is deposited on the region containing relatively more silicon combines with silicon (Si) to form metal-silicide, and silicon (Si) of silicon carbide is separated from carbon (C) and combines with the metal M to form metal-silicide, and as a result compounds 50 a with the metal M are formed, as illustrated in FIG. 3B. Therefore, remaining carbon atoms are spread to a surface of the metal-silicide to form the graphene layer 20. Furthermore, if the heating temperature of the silicon carbide substrate 10 with the graphene layer 20 slightly increases, the metal M remaining on the graphene layer 20 is sublimated and thus only the graphene structure 100′ as illustrated in FIG. 3C remains.
  • Referring to FIG. 4A, the metal M may be deposited on the silicon carbide substrate 10. If the silicon carbide substrate 10 on which the metal M is deposited is heated, the metal M which is deposited on the region containing relatively more carbon is inserted between the silicon carbide substrate 10 and the carbon (C) to form a metal layer 50 b, as illustrated in FIG. 4B. The carbon (C), which is spread onto a surface of the metal (M) by the pulling operation of the metal (M), combines together to form the graphene layer 20. Furthermore, if the heating temperature of the silicon carbide substrate 10 with the graphene layer 20 slightly increases, the metal (M) remaining on the graphene layer 20 is sublimated, and thus only the graphene structure 100″ as illustrated in FIG. 4C remains.
  • The graphene layer formed in this way is uniformly formed without distinction by a boundary between the region containing relatively more silicon and the region containing relatively more carbon. In other words, the graphene layer is generally formed on the silicon carbide substrate without distinction between a region adjacent to a height changing region of the silicon carbide substrate and the other regions thereof.
  • A graphene structure including a first inserting layer is manufactured by a manufacturing method as described previously. In particular, a silicon carbide substrate is heated at a temperature of about 1025° C. for 30 minutes in an ultra-high vacuum chamber.
  • FIG. 5A is a scanning tunneling microscope (STM) image of a silicon carbide substrate captured when heating the silicon carbide substrate.
  • Referring to FIG. 5A, a region containing relatively more silicon and a region containing relatively more carbon coexist on a surface of the silicon carbide substrate.
  • In addition, metal has been deposited on the silicon carbide substrate at about room temperature. As the metal, Pd is used. A graphene layer is formed by performing heat treatment at a temperature of about 870° C. on the silicon carbide substrate on which the metal has been deposited, and then heat treatment is again performed at a temperature of about 950° C. on the silicon carbide substrate.
  • FIG. 5B is an STM image of a surface of a graphene structure according to an example embodiment.
  • Referring to FIG. 5B, only honeycomb grids are represented on the surface of the graphene structure. If graphene is formed as a plurality of layers, the honeycomb grids will be overlapped and thus appear as being overlapped on the STM image. However, it may be seen from the fact that the honeycomb grids are deposited in a row that the graphene of a graphene structure according to an example embodiment, is formed as a single layer. In addition, it may be confirmed that the honeycomb grids are deposited in a row without a boundary between a region containing relatively more carbon and a region containing relatively more silicon.
  • FIG. 6A is a view of binding energy of a graphene layer which is formed on a region containing relatively more silicon of a silicon carbide substrate, and FIG. 6B is a view of binding energy of a graphene layer which is formed on a region containing relatively more carbon of a silicon carbide substrate.
  • Referring to FIGS. 6A and 6B, binding energy of a graphene layer has the peak at a point with an energy level of 0, regardless of whether the graphene layer has been disposed on the region containing relatively more silicon or the region containing relatively more carbon. Therefore, it may be said that the graphene layer has a quasi-freestanding property, and it may be confirmed that the graphene layer has an electrically neutral property.
  • Next, a method of manufacturing a graphene structure including a second inserting layer will be described.
  • FIGS. 7A to 7C are views describing a method of manufacturing a graphene structure including a second inserting layer, according to another example embodiment.
  • Referring to FIG. 7A, a heat treatment is performed on the graphene structure 110 including the first inserting layer 50. A heat treatment temperature may be a temperature at which the first inserting layer 50 may be sublimated. For example, the heat treatment temperature may be about 1010° C. to about 1100° C. If the first inserting layer 50 is sublimated, carbon (C) of the graphene layer 20 may again combine with silicon (Si) of the silicon carbide substrate 10 as illustrated in FIG. 7B. In other words, a dangling bond 10 c of silicon (Si) within the silicon carbide substrate 10 may combine with carbon (C) of the graphene layer 20. Since carbon (C) of the graphene layer 20 combines with the dangling bond 10 c of silicon (Si), the graphene layer 20 may lose its original property. Then, hydrogen (H) may be additionally injected into a product of FIG. 7B. If hydrogen gases are heated at a temperature of about 600° C. to about 800° C. in a chamber with an inner pressure of 700 torr to 800 torr, then they are separated on an atom basis and the dangling bond 10 c of silicon (Si) is separated from carbon (C) and then combines with hydrogen atoms to form a second inserting layer 70. The second inserting layer 70 may be a hydrogen (H) layer. The graphene layer 20 gets its original property back due to the disposition of the second inserting layer 70.
  • A graphene structure including a second inserting layer is manufactured by a manufacturing method as described previously. In particular, the graphene structure including the first inserting layer is heated at a temperature of about 1050° C. in an ultra-high vacuum chamber.
  • FIG. 8A is a graph of binding energy of a graphene layer when heating the graphene structure.
  • Referring to FIG. 8A, the binding energy of the graphene layer does not have a peak at a point where an energy level is 0. Therefore, it may be confirmed that a property of the graphene layer in the graphene structure including the first inserting layer has changed.
  • Subsequently, hydrogen (H) gases are injected into a chamber and heating is performed at a pressure of about 750 torr and at a temperature of about 700° C. Then, hydrogen (H) atoms are inserted between the graphene layer and the silicon carbide substrate to form a second inserting layer that is a hydrogen layer.
  • FIG. 8B is a graph of binding energy of the graphene layer when the second inserting layer is formed.
  • Referring to FIG. 8B, the binding energy of the graphene layer has the peak near a point where an energy level is 0. Therefore, it may be confirmed that the graphene layer in the graphene structure including the second inserting layer has switched to its original property.
  • A graphene structure manufactured as above may be utilized for various purposes. First, it has good conductivity and may be usefully used as a transparent electrode since its membrane uniformity is high. For solar cells, electrodes are used on their substrates and in particular, transparent electrodes are required due to the property that the electrodes have to transmit light. If a layered structure with the graphene is used as such a transparent electrode, it represents good conductivity. In addition, if utilized as a conductive thin film for each panel of various kinds of display devices, the layered structure may represent desired conductivity even when a small quantity thereof is used and enables improvement in transmittance of light.
  • In addition, the layered structure may be used to form a channel for a memory device, a sensor, e-paper, etc. In other words, since the graphene structure has graphene on an insulating layer, it may be utilized as a gate electrode in various transistors such as a field effect transistor (FET).
  • In addition, the graphene structure may be applied to a display device in which a transparent electrode is used. The display device may include an e-paper display device, an organic light-emitting display device, a liquid crystal display (LCD) device, etc., for example.
  • The organic light-emitting display device is an active light-emitting display device using a principle that light is emitted while electrons and holes combine at an organic film if current is applied to a fluorescent or phosphorous organic compound thin film. A general organic electroluminescent device has an anode on a substrate and has a structure in which a hole transport layer (HTL), an emission layer, an electron transport layer (ETL), and a cathode are sequentially disposed on the anode. It is possible to further include an electron injection layer (EIL) and a hole injection layer (HIL) so as to better facilitate the injection of electrons and holes, and it is possible to further include a hole blocking layer (HBL) and a buffer layer as needed. Since a material of the anode has a transparent property and good conductivity, a transparent electrode that includes the layered structure containing graphene according to the implementation above may be usefully used.
  • According to another implementation, the graphene structure may be used for various electrical devices that may include sensors, bipolar junction transistors (BJTs), FETs, heterojunction bipolar transistors (HJBTs), single electron transistors, light-emitting diodes (LEDs), and organic light-emitting diodes (OLEDs), for example. The graphene structure may be used for a channel layer, an electrode, or a buffer between the electrode and the channel layer, in such devices.
  • FIG. 9 illustrates an exemplary structure of a graphene device which is formed by transferring a graphene layer of a graphene structure according to an example embodiment.
  • A structure may be used in which a gate electrode 220 is formed on a substrate 210 and an insulating layer 230 covers the gate electrode 220. The graphene layer 20 of the graphene structure 110 of FIG. 2E may be transferred onto the insulating layer 230. In addition, a source electrode 240 and a drain electrode 250 are further disposed on the graphene layer so that a graphene device 200 may be manufactured as in FIG. 9. Such a graphene device 200 may be a transistor in which the graphene layer 20 functions as a channel layer. In addition, in the case of the transistor, a light-emitting device is electrically coupled to the transistor and then it is possible to control the light-emitting device by using current between a source and a drain and it is possible to configure a flat panel display device by using the light-emitting device.
  • FIG. 10 illustrates an exemplary structure of a graphene device which is manufactured from a graphene structure, according to another example embodiment.
  • The process of disposing a first electrode 310 and a second electrode 320 on the graphene layer 20 of a graphene structure 120 may be further performed, and a graphene device 300 as in FIG. 10 may be manufactured. Such a graphene device 300 may be applied as a sensor, the graphene layer 20 may function as a sensor and a change in electrical property due to adsorption of a specific material may be measured from the first electrode 310 and the second electrode 320.
  • In addition, although exemplary embodiments have been illustrated and described above, the present disclosure is not limited to specific embodiments described above but may be varied by those of ordinary skill in the art without departing from the subject matter of the present disclosure claimed in the following claims. Furthermore, these variations should not be understood individually from the technical spirit or perspective of the present disclosure. Accordingly, all such modifications are intended to be included within the scope of the disclosure as defined in the claims. Therefore, it is to be understood that the foregoing is illustrative of various example embodiments and is not to be construed as limited to the specific embodiments disclosed, and that modifications to the disclosed embodiments, as well as other embodiments, are intended to be included within the scope of the appended claims.

Claims (25)

What is claimed is:
1. A method of manufacturing a graphene structure, the method comprising:
depositing a metal layer over a silicon carbide substrate; and
performing, at a first temperature, a first heat treatment on the silicon carbide substrate over which the metal layer is deposited to form a composite layer and a graphene layer on the silicon carbide substrate, the composite layer including a metal.
2. The method of claim 1, further comprising:
performing a second heat treatment on the silicon carbide substrate at a second temperature before depositing the metal layer.
3. The method of claim 2, wherein the second temperature is about 1010° C. to about 1100° C.
4. The method of claim 1, wherein silicon and carbon are non-uniformly distributed on a surface of the silicon carbide substrate over which the metal layer is deposited.
5. The method of claim 1, wherein the deposition of the metal layer is performed at about 20° C.-25° C.
6. The method of claim 1, wherein the first temperature is about 810° C. to about 900° C.
7. The method of claim 1, wherein the composite layer includes at least one of metal-silicide and a metal material.
8. The method of claim 7, wherein the metal-silicide is formed over a region of the silicon carbide substrate containing more silicon than carbon on a surface of the silicon carbide substrate.
9. The method of claim 7, wherein the metal material is formed on a region of the silicon carbide substrate containing more carbon than silicon on a surface of the silicon carbide substrate.
10. The method of claim 1, wherein the graphene layer is a single layer.
11. The method of claim 1, wherein the graphene layer is electrically neutral.
12. The method of claim 1, wherein the composite layer is interposed between the silicon carbide substrate and the graphene layer.
13. The method of claim 1, further comprising:
performing a second heat treatment on the composite layer and the graphene layer at a second temperature to remove the metal remaining on the graphene layer.
14. The method of claim 13, wherein the second temperature is about 910° C. to about 1000° C.
15. The method of claim 1, further comprising:
performing a second heat treatment on the composite layer and the graphene layer at a second temperature to remove the composite layer.
16. The method of claim 15, wherein the second temperature is about 1010° C. to about 1100° C.
17. The method of claim 15, further comprising:
forming a hydrogen layer interposed between the silicon carbide substrate and the graphene layer.
18. A graphene structure, comprising:
a silicon carbide substrate;
a graphene layer over the silicon carbide substrate, the graphene layer having an electrically neutral property and being a single layer; and
an inserting layer between the silicon carbide substrate and the graphene layer.
19. The graphene structure of claim 18, wherein the inserting layer comprises a compound containing a metal.
20. The graphene structure of claim 18, wherein the inserting layer is a hydrogen layer.
21. A graphene device, comprising
the graphene structure of claim 20; and
a device layer on the graphene structure.
22. A method of manufacturing a graphene structure, comprising:
providing a seed layer over a silicon carbide layer, the seed layer including a metal; and
extracting carbon from the silicon carbide layer to form a graphene layer and a graphene support, the graphene support being configured to support the graphene layer,
the graphene support including the silicon carbide layer and a composite layer, and
the composite layer containing the metal from the seed layer.
23. The method of claim 22, wherein,
the providing a seed layer includes forming the seed layer over a first portion of a surface of the silicon carbide layer, the first portion being composed of more silicon than carbon.
24. The method of claim 22, wherein the extracting carbon includes performing a heat treatment on the silicon carbide layer over which the seed layer is provided.
25. The method of claim 22, further comprising:
removing the composite layer, after forming the graphene layer; and
forming a hydrogen layer between the silicon carbide layer and the graphene layer by using a hydrogen gas, the hydrogen layer binding to the silicon carbide layer.
US13/924,953 2012-12-21 2013-06-24 Graphene structure, graphene device including same, and method of manufacturing graphene structure Active US9324839B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2012-0151337 2012-12-21
KR1020120151337A KR101984697B1 (en) 2012-12-21 2012-12-21 The manufacturing for graphene structure, graphene structure and the device comprising the same

Publications (2)

Publication Number Publication Date
US20140175458A1 true US20140175458A1 (en) 2014-06-26
US9324839B2 US9324839B2 (en) 2016-04-26

Family

ID=50973645

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/924,953 Active US9324839B2 (en) 2012-12-21 2013-06-24 Graphene structure, graphene device including same, and method of manufacturing graphene structure

Country Status (2)

Country Link
US (1) US9324839B2 (en)
KR (1) KR101984697B1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3013119A1 (en) * 2014-10-24 2016-04-27 Centre National De La Recherche Scientifique Transparent heating device with graphene film
WO2016141423A1 (en) * 2015-03-06 2016-09-15 Griffith University A process, a structure, and a supercapacitor
EP3309841A1 (en) * 2016-10-13 2018-04-18 Commissariat à l'Energie Atomique et aux Energies Alternatives Method for producing an electrical contact on a graphite layer, contact obtained by such a method and electronic device using such a contact
RU2674557C1 (en) * 2017-11-10 2018-12-11 Общество с ограниченной ответственностью "ЭпиГраф" Graphene sensor for registration of gaseous substances
CN109071231A (en) * 2016-04-27 2018-12-21 学校法人关西学院 The preparation method of SiC substrate with graphene presoma and the surface treatment method of SiC substrate
KR20190024909A (en) 2016-07-02 2019-03-08 유니버시테트 야기엘론스키 Method for manufacturing high quality graphene on the surface of silicon carbide
US20190135635A1 (en) * 2017-11-08 2019-05-09 Vaon, Llc Graphene production by the thermal release of intrinsic carbon
CN109890749A (en) * 2016-08-31 2019-06-14 罗伯特·博世有限公司 MEMS structure with graphene component
US10396170B2 (en) * 2016-12-20 2019-08-27 Infineon Technologies Ag Semiconductor devices and methods for forming semiconductor devices
US20210005710A1 (en) * 2019-07-01 2021-01-07 Research & Business Foundation Sungkyunkwan University Semiconductor device and manufacturing method thereof

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102389502B1 (en) * 2020-06-18 2022-04-22 현대제철 주식회사 Self-assembled carbon coated steel and method of manufacturing the same

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5665659A (en) * 1993-06-10 1997-09-09 Samsung Electronics Co., Ltd. Method for forming metal layer of a semiconductor device
US20070096109A1 (en) * 2005-10-27 2007-05-03 Toyota Jidosha Kabushiki Kaisha Semiconductor material, production method thereof and semiconductor device
US20100155859A1 (en) * 2008-12-19 2010-06-24 Ivo Raaijmakers Selective silicide process
US20100163824A1 (en) * 2008-12-31 2010-07-01 Huiwen Xu Modulation of resistivity in carbon-based read-writeable materials
US20100224851A1 (en) * 2009-03-03 2010-09-09 Board Of Regents, The University Of Texas System Synthesizing graphene from metal-carbon solutions using ion implantation
US20110089404A1 (en) * 2008-04-24 2011-04-21 President And Fellows Of Harvard College Microfabrication of Carbon-based Devices Such as Gate-Controlled Graphene Devices
US20110163289A1 (en) * 2010-01-06 2011-07-07 International Business Machines Corporation Structure and method of forming buried-channel graphene field effect device
US20140061674A1 (en) * 2011-05-30 2014-03-06 Fuji Electric Co., Ltd. SiC SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME
US20140110662A1 (en) * 2012-10-22 2014-04-24 Wen-Hui Duan Graphene-based composite structure and method for making the same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100130863A (en) * 2009-06-04 2010-12-14 한국과학기술원 Method to grow epitaxial graphene layer for electronic device
KR20110014847A (en) * 2009-08-06 2011-02-14 서울대학교산학협력단 Method of manufacturing graphene and laminated structure having graphene layer
KR101636442B1 (en) 2009-11-10 2016-07-21 삼성전자주식회사 Method of fabricating graphene using alloy catalyst
KR101156355B1 (en) * 2009-12-07 2012-06-13 서울대학교산학협력단 Method of forming graphene layer using si layer solved carbon
KR20110081638A (en) * 2010-01-08 2011-07-14 삼성전자주식회사 Method of fabricating graphene using laser annealing and method of fabricating field effect transistor using the same
JP5660425B2 (en) 2010-03-04 2015-01-28 独立行政法人物質・材料研究機構 Epitaxial growth method of graphene film
JP5644175B2 (en) 2010-04-27 2014-12-24 和人 山内 Graphene deposition method on SiC substrate
JP2012025004A (en) * 2010-07-22 2012-02-09 Seiko Epson Corp Base material with graphene sheet and method for producing graphene sheet
JP5571502B2 (en) 2010-08-17 2014-08-13 日本電信電話株式会社 Method for producing graphene film and method for uniformizing the number of layers of graphene film
KR101993382B1 (en) * 2011-05-06 2019-06-27 삼성전자주식회사 Graphene on substrate and process for preparing the same
KR101148844B1 (en) 2011-12-02 2012-05-29 에스 알 씨 주식회사 Method of manufacturing graphene using metal catalyst

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5665659A (en) * 1993-06-10 1997-09-09 Samsung Electronics Co., Ltd. Method for forming metal layer of a semiconductor device
US20070096109A1 (en) * 2005-10-27 2007-05-03 Toyota Jidosha Kabushiki Kaisha Semiconductor material, production method thereof and semiconductor device
US20110089404A1 (en) * 2008-04-24 2011-04-21 President And Fellows Of Harvard College Microfabrication of Carbon-based Devices Such as Gate-Controlled Graphene Devices
US20100155859A1 (en) * 2008-12-19 2010-06-24 Ivo Raaijmakers Selective silicide process
US20100163824A1 (en) * 2008-12-31 2010-07-01 Huiwen Xu Modulation of resistivity in carbon-based read-writeable materials
US20100224851A1 (en) * 2009-03-03 2010-09-09 Board Of Regents, The University Of Texas System Synthesizing graphene from metal-carbon solutions using ion implantation
US20110163289A1 (en) * 2010-01-06 2011-07-07 International Business Machines Corporation Structure and method of forming buried-channel graphene field effect device
US20140061674A1 (en) * 2011-05-30 2014-03-06 Fuji Electric Co., Ltd. SiC SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME
US20140110662A1 (en) * 2012-10-22 2014-04-24 Wen-Hui Duan Graphene-based composite structure and method for making the same

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107006077A (en) * 2014-10-24 2017-08-01 国家科学研究中心 Transparent heating arrangement with graphene film
EP3013119A1 (en) * 2014-10-24 2016-04-27 Centre National De La Recherche Scientifique Transparent heating device with graphene film
WO2016141423A1 (en) * 2015-03-06 2016-09-15 Griffith University A process, a structure, and a supercapacitor
CN107848804A (en) * 2015-03-06 2018-03-27 悉尼科技大学 Technique, structure and ultra-capacitor
US10910165B2 (en) 2015-03-06 2021-02-02 University Of Technology Sydney Process, a structure, and a supercapacitor
EP3265423A4 (en) * 2015-03-06 2018-11-14 University Of Technology, Sydney A process, a structure, and a supercapacitor
US11365491B2 (en) * 2016-04-27 2022-06-21 Kwansei Gakuin Educational Foundation Method for producing SiC substrate provided with graphene precursor and method for surface treating SiC substrate
CN109071231A (en) * 2016-04-27 2018-12-21 学校法人关西学院 The preparation method of SiC substrate with graphene presoma and the surface treatment method of SiC substrate
KR20190024909A (en) 2016-07-02 2019-03-08 유니버시테트 야기엘론스키 Method for manufacturing high quality graphene on the surface of silicon carbide
CN109890749A (en) * 2016-08-31 2019-06-14 罗伯特·博世有限公司 MEMS structure with graphene component
US10263081B2 (en) 2016-10-13 2019-04-16 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for making an electrical contact on a graphite layer, contact obtained by using such a method and electronic device using such a contact
FR3057701A1 (en) * 2016-10-13 2018-04-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD OF MAKING AN ELECTRICAL CONTACT ON A GRAPHITE LAYER, CONTACT OBTAINED USING SUCH A METHOD AND ELECTRONIC DEVICE USING SUCH CONTACT
EP3309841A1 (en) * 2016-10-13 2018-04-18 Commissariat à l'Energie Atomique et aux Energies Alternatives Method for producing an electrical contact on a graphite layer, contact obtained by such a method and electronic device using such a contact
US10396170B2 (en) * 2016-12-20 2019-08-27 Infineon Technologies Ag Semiconductor devices and methods for forming semiconductor devices
US20190135635A1 (en) * 2017-11-08 2019-05-09 Vaon, Llc Graphene production by the thermal release of intrinsic carbon
US10717653B2 (en) * 2017-11-08 2020-07-21 Vaon, Llc Graphene production by the thermal release of intrinsic carbon
RU2674557C1 (en) * 2017-11-10 2018-12-11 Общество с ограниченной ответственностью "ЭпиГраф" Graphene sensor for registration of gaseous substances
US20210005710A1 (en) * 2019-07-01 2021-01-07 Research & Business Foundation Sungkyunkwan University Semiconductor device and manufacturing method thereof
US11489041B2 (en) * 2019-07-01 2022-11-01 Research & Business Foundation Sungkyunkwan University Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US9324839B2 (en) 2016-04-26
KR101984697B1 (en) 2019-05-31
KR20140090716A (en) 2014-07-18

Similar Documents

Publication Publication Date Title
US9324839B2 (en) Graphene structure, graphene device including same, and method of manufacturing graphene structure
KR101781552B1 (en) graphene substituted with boron and nitrogen and method of fabricationg the same and transistor having the same
Geng et al. Recent advances in growth of novel 2D materials: beyond graphene and transition metal dichalcogenides
US8679951B2 (en) Graphene-layered structure, method of preparing the same, and transparent electrode and transistor including graphene-layered structure
US8310014B2 (en) Field effect transistors, methods of fabricating a carbon-insulating layer using molecular beam epitaxy and methods of fabricating a field effect transistor
Kang et al. Inking elastomeric stamps with micro‐patterned, single layer graphene to create high‐performance OFETs
US6734038B2 (en) Method of manufacturing high-mobility organic thin films using organic vapor phase deposition
US11018001B2 (en) Method of growing two-dimensional transition metal dichalcogenide thin film and method of manufacturing device including the same
CN100382338C (en) Light-emitting device of field-effect transistor type
KR20090124330A (en) Stack structure comprising epitaxial graphene, method of forming the stack structure and electronic device comprising the stack structure
CN109920857A (en) A kind of Schottky diode and preparation method thereof
US20120138903A1 (en) Graphene Substrates And Methods Of Fabricating The Same
US9053932B2 (en) Methods of preparing graphene and device including graphene
KR20100055098A (en) Electrical device having large-scale graphene layer and preparing method thereof
US8673693B2 (en) Methods for forming materials using micro-heaters and electronic devices including such materials
WO2012134161A2 (en) Graphene sheet, transparent electrode including graphene sheet, active layer, and display device, electronic device, photovoltaic device, battery, solar cell, and dye-sensitized solar cell employing transparent electrode
US20120244307A1 (en) Silicon carbide substrate
Yi et al. Interfacial electronic structures between fullerene and multilayer graphene for n-type organic semiconducting devices
Nagar et al. Evidence of p-doping in ZnO films deposited on GaAs
KR20150139217A (en) Method for manufacturing graphene-metal chalcogenide hybrid film, the film manufactured by the same, a Shottky barrier diode using the same and method for manufucturing the same
JP4475009B2 (en) Organic electroluminescent device and manufacturing method thereof
US11492696B2 (en) Manufacturing method for semiconductor laminated film, and semiconductor laminated film
Suemasu et al. Semiconductor (BaSi2)/metal (CoSi2) Schottky-barrier structures epitaxially grown on Si (1 1 1) substrates by molecular beam epitaxy
CN102674317A (en) C injection-based Ni film assisted SiC substrate graphene nanoribbon preparation method
CN102674319A (en) Preparation method for Ni film assisted annealing graphene nano belt based on C injection

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AHN, JOUNG-REAL;SHIN, HA-CHUL;SONG, IN-KYUNG;REEL/FRAME:030672/0890

Effective date: 20130531

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8