US20130126949A1 - Mos device and method for fabricating the same - Google Patents

Mos device and method for fabricating the same Download PDF

Info

Publication number
US20130126949A1
US20130126949A1 US13/299,044 US201113299044A US2013126949A1 US 20130126949 A1 US20130126949 A1 US 20130126949A1 US 201113299044 A US201113299044 A US 201113299044A US 2013126949 A1 US2013126949 A1 US 2013126949A1
Authority
US
United States
Prior art keywords
semiconductor compound
compound layers
layers
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/299,044
Other versions
US8647953B2 (en
Inventor
Chin-I Liao
I-Ming Lai
Chin-Cheng Chien
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Marlin Semiconductor Ltd
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US13/299,044 priority Critical patent/US8647953B2/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHIEN, CHIN-CHENG, LAI, I-MING, LIAO, CHIN-I
Publication of US20130126949A1 publication Critical patent/US20130126949A1/en
Application granted granted Critical
Publication of US8647953B2 publication Critical patent/US8647953B2/en
Assigned to MARLIN SEMICONDUCTOR LIMITED reassignment MARLIN SEMICONDUCTOR LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: UNITED MICROELECTRONICS CORPORATION
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Definitions

  • the present invention relates to a metal oxide semiconductor (MOS) device and a method for fabricating the same.
  • MOS metal oxide semiconductor
  • MOS transistor is one of the most common elements used in many different semiconductor devices, such as memories, image sensors or displays.
  • a typical MOS includes a silicon oxide dielectric layer, a gate conductive layer, and heavily doped source/drain contact regions. Along with decrease in linewidth of semiconductor devices, dimensions thereof are reduced. Due to the reduction in the gate width of the typical MOS, the channel length of the MOS is consequentially reduced.
  • an epitaxial growth rate of silicon germanium (SiGe), however, varies in accordance with different density of surrounding patterns of the device to be formed. Accordingly, the thickness of the resultant silicon germanium (SiGe) is non-uniform (i.e. so-called loading effects), and issues regarding mismatches of the device performance arise therefrom.
  • the present invention is directed to a MOS device, capable of enhancing turn-on currents and lessening turn-off currents, so that the mismatches of the device performance can be ameliorated.
  • the present invention is directed to a method for fabricating a MOS device, wherein alterations in the fabrication process can achieve enhancement of the turn-on currents, decrease in the turn-off currents, and improvement in the mismatches of the device performance.
  • a method for fabricating a MOS device of the present invention is described as follows. Two recesses are formed in a substrate. A first epitaxy growth process is performed, so as to form a first semiconductor compound layer in each of the recesses. A second epitaxy growth process is performed with an epitaxial temperature lower than 700° C., so as to form a cap layer on each of the first semiconductor compound layers.
  • Each of the cap layers includes a second semiconductor compound layer protruding from a surface of the substrate.
  • the first and the second semiconductor compound layers are composed of a first Group IV element and a second Group IV element, wherein the second Group IV element is a nonsilicon element.
  • the content of the second Group IV element in the second semiconductor compound layers is less than that in the first semiconductor compound layers.
  • an included angle between each of the second semiconductor compound layers and the surface of the substrate is less than 40°.
  • the second semiconductor compound layers have a (311) facet.
  • the first semiconductor compound layers and the second semiconductor compound layers contain dopants therein, and a dopant concentration in the second semiconductor compound layers is equal to or lower than a dopant concentration in the first semiconductor compound layers.
  • the epitaxial temperature of the second epitaxy growth process ranges between 650° C. and 680° C.
  • an epitaxial temperature of the first epitaxy growth process ranges between 630° C. and 660° C.
  • the method further includes performing a third epitaxy growth process before performing the first epitaxy growth process, so as to form a buffer layer in each of the recesses.
  • Each of the buffer layers includes a semiconductor compound layer having a dopant concentration equal to 0 or lower than a dopant concentration in the first semiconductor compound layers.
  • the first epitaxy growth process finishes when a top of the first semiconductor compound layers protrudes from the surface of the substrate by 50 ⁇ to 100 ⁇ .
  • Another method for fabricating a MOS device of the present invention is described as follows. Two recesses are formed in a substrate. A first epitaxy growth process is performed, so as to form a first semiconductor compound layer in each of the recesses. A second epitaxy growth process is performed, so as to form a second semiconductor compound layer on each of the first semiconductor compound layers. The second semiconductor compound layers protrude from a surface of the substrate. The first and the second semiconductor compound layers are composed of a first Group IV element and a second Group IV element, wherein the second Group IV element is a nonsilicon element. The content of the second Group IV element in the second semiconductor compound layers is less than that in the first semiconductor compound layers.
  • the second epitaxy growth process includes a first growth stage and a second growth stage, wherein a growth rate of the second growth stage is less than a growth rate of the first growth stage.
  • the growth rate of the second epitaxy growth process is less than 80 ⁇ /100 sec.
  • the growth rate of the first growth stage is at least 2 times of the growth rate of the second growth stage in the second epitaxy growth process.
  • an included angle between each of the second semiconductor compound layers and the surface of the substrate is less than 40°.
  • the second semiconductor compound layers have a (311) facet.
  • the first semiconductor compound layers and the second semiconductor compound layers contain dopants therein, and a dopant concentration in the second semiconductor compound layers is equal to or lower than a dopant concentration in the first semiconductor compound layers.
  • the method further includes performing a third epitaxy growth process before performing the first epitaxy growth process, so as to form a buffer layer in each of the recesses.
  • Each of the buffer layers includes a semiconductor compound layer having a dopant concentration equal to 0 or lower than a dopant concentration in the first semiconductor compound layers.
  • the first epitaxy growth process finishes when a top of the first semiconductor compound layers protrudes from the surface of the substrate by 50 ⁇ to 100 ⁇ .
  • a MOS device of the present invention including a substrate, first semiconductor compound layers, and cap layers.
  • the substrate has two recesses therein.
  • the first semiconductor compound layers are disposed in the recesses.
  • Each of the cap layers includes a second semiconductor compound layer that is disposed on each of the first semiconductor compound layers and protrudes from a surface of the substrate.
  • An included angle between each of the second semiconductor compound layers and the surface of the substrate is less than 40°.
  • the second semiconductor compound layers have a (311) facet.
  • the first semiconductor compound layers and the second semiconductor compound layers contain dopants therein, and a dopant concentration in the second semiconductor compound layers is equal to or lower than a dopant concentration in the first semiconductor compound layers.
  • the MOS device further includes buffer layers, respectively disposed in the recesses and intervening between the substrate and the first semiconductor compound layers.
  • Each of the buffer layers includes a semiconductor compound layer having a dopant concentration equal to 0 or lower than a dopant concentration in the first semiconductor compound layers.
  • a top of the first semiconductor compound layers protrudes from the surface of the substrate by 50 ⁇ to 100 ⁇ .
  • a thickness of the cap layers is 1/X to 1/Y times of a thickness of the first semiconductor compound layers.
  • the MOS device in this invention can enhance the turn-on currents and lessen the turn-off currents, thereby improving the mismatches of the device performance.
  • the fabricating method of the MOS device in this invention is capable of enhancing the turn-on currents, lessening the turn-off currents, and improving the mismatches of the device performance through modifications in the fabrication process.
  • FIGS. 1-7 depict, in a cross-sectional view, a method for fabricating a MOS device according to an embodiment of the present invention.
  • FIG. 8 illustrates a second epitaxy growth process for formation of cap layers according to an embodiment of the present invention.
  • FIGS. 1-7 depict, in a cross-sectional view, a method for fabricating a MOS device according to an embodiment of the present invention.
  • isolation structures 12 are formed in a substrate 10 , so as to define a first active area 14 a and a second active area 14 b.
  • the material of the substrate 10 includes semiconductor, such as silicon.
  • the material of the isolation structures 12 includes insulating material, such as silicon oxide.
  • the isolation structures 12 are, for example, formed by a shallow trench isolation (STI) method.
  • STI shallow trench isolation
  • Each stack structure 16 includes an insulating layer 18 , a conductor layer 20 and a hard mask layer 22 .
  • a width of the stack structures 16 is, for example, about 24 nm.
  • the insulating layers 18 may include a dielectric layer, a high-dielectric constant (K value greater than 4) material, a barrier layer, or combination thereof.
  • the dielectric layer is, for example, made of silicon oxide.
  • the high-K dielectric material is, for example, hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al 2 O 3 ), lanthanum oxide (La 2 O 3 ), lanthanum aluminum oxide (LaAlO), tantalum oxide (Ta 2 O 5 ), zirconium oxide (ZrO 2 ), zirconium silicon oxide (ZrSiO 4 ), or hafnium zirconium oxide (HfZrO).
  • the barrier layer is, for example, made of titanium (Ti), tantalum (Ta), titanium nitride (TiN), tantalum nitride (TaN), or combination thereof.
  • the material of the conductor layers 20 can be monocrystalline silicon, undoped polysilicon, doped polysilicon, amorphous silicon, silicon germanium material, or combination thereof with a thickness of, for example, about 650 ⁇ .
  • the material of the hard mask layers 22 can be silicon oxide, silicon nitride, silicon carbide or silicon oxynitride with, for example, hundreds of angstroms in thickness.
  • the formation of the stack structures 16 can be carried out by forming an insulating material layer, a conductor material layer and a hard mask material layer, and then patterning the foregoing layers through lithography and etching processes.
  • first spacers 24 are formed on respective sidewalls of each stack structure 16 .
  • the material of the first spacers 24 are, for example, silicon nitride.
  • ion implantation processes are conducted individually, so that a lightly doped drain (LDD) 25 a and an LDD 25 b are formed respectively in the first active area 14 a and in the second active area 14 b.
  • LDD lightly doped drain
  • dopants implanted into the LDD 25 a may be P-type
  • an NMOS is to be formed on the second active area 14 b
  • dopants implanted into the LDD 25 b may be N-type.
  • dopants implanted into the LDD 25 a may be N-type; when the PMOS device is to be formed on the second active area 14 b, dopants implanted into the LDD 25 b may be P-type.
  • the P-type dopants can be boron or BF 2 + , while the N-type dopants can be phosphorous or arsenic.
  • a block layer 26 is formed on the substrate 10 .
  • the block layer 26 is, for example, made of silicon nitride.
  • a mask layer 28 is then formed on the first active area 14 a.
  • the mask layer 28 is, for example, made of photoresist, and the formation thereof can be implemented by the lithography process.
  • an anisotropic etching process is performed using the mask layer 28 as an etching mask, and thus, a portion of the block layer 26 is removed, so as to form second spacers 30 a on the respective sidewalls of the stack structure 16 on the first active area 14 a.
  • a portion of the substrate 10 in the first active area 14 a is removed by dry or wet etching or combination thereof, so that two recesses 32 are formed in the substrate 10 at both sides of the second spacers 30 a.
  • a depth of each recess 32 is, for example, about hundreds of angstroms.
  • the recesses 32 can have diamond-shaped profiles or rectangle-shaped profiles, and are not particularly limited by the present invention.
  • the mask layer 28 is removed, so that the block layer 26 on the second active area 14 b is exposed.
  • a semiconductor compound layer 33 is then formed in each of the recesses 32 .
  • the fabricating method of the semiconductor compound layers 33 includes performing a first epitaxy growth process to form a first semiconductor compound layer 34 in each recess 32 , and then performing a second epitaxy growth process to form a cap layer 36 on each first semiconductor compound layer 34 .
  • Each cap layer 36 includes a second semiconductor compound layer that may protrude from the surface of the substrate 10 .
  • thermal annealing can be optionally performed in a hydrogen ambience.
  • the first and the second semiconductor compounds described herein are, for example, IV-IV semiconductor compounds.
  • the IV-IV semiconductor compounds can be composed of a first Group N element and a second Group IV element.
  • the first Group IV element is, for example, silicon (Si).
  • the second Group N element is, for example, a nonsilicon element, such as germanium (Ge) or carbon (C).
  • the IV-IV semiconductor compounds can be silicon germanium (SiGe) or silicon carbide (SiC).
  • the material of the first semiconductor compound layers 34 and the second semiconductor compound layers may be silicon germanium (SiGe); in the NMOS device, the material of the first semiconductor compound layers 34 and the second semiconductor compound layers may be silicon carbide (SiC).
  • the content of the second Group IV element (e.g. germanium content or carbon content) in the second semiconductor compound layers is less than that of the second Group N element (e.g. germanium content or carbon content) in the first semiconductor compound layers 34 .
  • the first semiconductor compound layers 34 and the second semiconductor compound layers of the cap layers 36 have dopants contained therein, and the dopant concentration in the second semiconductor compound layers of the cap layers 36 is equal to or lower than that in the first semiconductor compound layer 34 .
  • the first semiconductor compound layers 34 and the second semiconductor compound layers are doped with the P-type dopants, e.g. boron or BF 2 + ; in the NMOS device, the first semiconductor compound layers 34 and the second semiconductor compound layers are doped with the N-type dopants, e.g. phosphorous or arsenic.
  • reactant gas utilized in the epitaxy growth processes includes a silicon source and a germanium source.
  • the epitaxial temperatures of the first epitaxy growth process and the second epitaxy growth process are lower than 700° C. Owing to the relatively low epitaxial temperatures of the first and the second epitaxy growth processes, outward diffusion of the dopants in the first semiconductor compound layers 34 and in the second semiconductor compound layers can be significantly mitigated.
  • the epitaxial temperature of the second epitaxy growth process may range between 650° C. and 680° C.
  • the epitaxial temperature of the first epitaxy growth process may range between 630° C. and 660° C.
  • the epitaxial temperature of the second epitaxy growth process is slightly higher than that of the first epitaxy growth process by about 10° C. to tens of degrees Celsius, but the epitaxial temperature of the second epitaxy growth process is still lower than 700° C.
  • the second epitaxy growth process for the formation of the cap layers 36 includes a first growth stage 100 and a second growth stage 200 .
  • the first growth stage 100 has a faster growth rate, while the second growth stage 200 has a slower growth rate.
  • the growth rate of the first growth stage 100 is, for example, about 80 ⁇ /100 sec.
  • the growth rate of the second growth stage 200 is, for example, less than 80 ⁇ /100 sec, possibly about 38 ⁇ /100 sec to 40 ⁇ /100 sec.
  • the growth rate of the first growth stage 100 is at least 2 times of that of the second growth stage 200 .
  • the cap layers 36 grow at the faster rate until the cap layers 36 arrive at a given thickness.
  • the second growth stage 200 is performed with the slower growth rate. Therefore, in case a wafer has a first area and a second area, wherein the semiconductor compound grows at the faster rate in the first area, and the semiconductor compound grows at the slower rate in the second area. After the semiconductor compound in the first area of the wafer grows at the faster growth rate during the first growth stage and then reaches the given thickness, the second growth stage is performed with the slower growth rate. As the semiconductor compound in the first area grows at the slower growth rate during the second growth stage, the second area of the wafer, in which the semiconductor compound grows at the slower rate, still undergoes the first growth stage with the faster rate. After the cap layers 36 in the second area reach the given thickness, the second growth stage is performed.
  • the thickness of the semiconductor compound formed in the first area is relatively thin during the second growth stage, which has a tiny difference from the thickness of the semiconductor compound formed in the second area. Accordingly, the loading effects of the first and the second areas can be alleviated in the present invention, thereby improving the mismatches of the device performance. It is substantiated by experiments that the mismatches of the device performance can be better improved by at least 6%.
  • the top of the resultant first semiconductor compound layers 34 may protrude from the surface of the substrate 10 by 50 ⁇ to 100 ⁇ .
  • the second semiconductor compound layers of the resultant cap layers 36 reach tens of angstroms to hundreds of angstroms in thickness.
  • an included angle between the second semiconductor compound layer of the cap layers 36 and the surface of the substrate 10 is less than 40°, for example about 25°.
  • the semiconductor compound is silicon germanium (SiGe)
  • the second semiconductor compound layers in the lateral of the cap layers 36 may have a (311) facet
  • the second semiconductor compound layers in the upper surface of the cap layers may have a (100) facet.
  • the formation of the semiconductor compound layers 33 further includes performing a third epitaxy growth process before the first epitaxy growth process is performed, so as to form a buffer layer 38 in each recess 32 .
  • Each buffer layer 38 includes a third semiconductor compound layer, and a dopant concentration therein equal to 0 or lower than that in the first semiconductor compound layers 34 .
  • the buffer layers 38 are doped with the P-type dopants, such as boron or BF 2 + .
  • the buffer layers 38 are doped with the N-type dopants, such as phosphorous or arsenic.
  • the semiconductor compound layers of the first semiconductor compound layers 34 , the second semiconductor compound layers 36 and the buffer layers 38 are silicon germanium (SiGe).
  • the germanium content in the semiconductor compound layers of the buffer layers 38 is, for example, about 22-25%; the germanium content in the first semiconductor compound layers 34 is, for example, about 28%; and the germanium content in the second semiconductor compound layers of the cap layers 36 is, for example, about 8%.
  • a boron-doped content in the semiconductor compound layers of the buffer layers 38 is, for example, about 0%; a boron-doped content in the first semiconductor compound layers 34 is, for example, about 2 ⁇ 10 20 /cm 2 ; and a boron-doped content in the second semiconductor compound layers of the cap layers 36 is, for example, about 7 ⁇ 10 19 /cm 2 to 2 ⁇ 10 20 /cm 2 . It is verified, in this invention, by experiments that the turn-on currents (Ion) can be enhanced by 2%, and the turn-off currents (Ioff) can be diminished, thereby the mismatches of the device performance can be ameliorated by 6% or above.
  • the foregoing first semiconductor compound layers 34 , the foregoing cap layers (the second semiconductor compound layers) 36 and the foregoing buffer layers 38 can be formed by conducting the epitaxy growth processes in the same reaction chamber, wherein the silicon germanium (SiGe) (or silicon carbide (SiC)) with various germanium content (or carbon content) is formed by adjusting the ratio of the silicon source and the germanium source in the reactant gas (or the ratio of the silicon source and the carbon source in the reactant gas). In addition, in-situ doping is conducted during the epitaxy growth processes.
  • SiGe silicon germanium
  • SiC silicon carbide
  • another mask layer 39 is formed on the first active area 14 a.
  • the block layer 26 on the second active area 14 b is anisotropically etched, so as to form second spacers 30 b on the second active area 14 b.
  • the mask layer 39 is removed.
  • a spacer material layer 40 and a spacer material layer 42 are then formed on the substrate 10 .
  • the material of the spacer material layer 40 is different from that of the spacer material layers 42 .
  • the material of the spacer material layer 40 may be silicon oxide, while the material of the spacer material layers 42 may be silicon nitride.
  • the spacer material layers 40 and 42 are etched in an anisotropic manner, so that third spacers 44 and fourth spacers 46 are respectively formed. Thereafter, ion implantation processes are performed to implant dopants respectively into the semiconductor compound layers 33 of the first active area 14 a and into the substrate 10 of the second active area 14 b, such that source and drain regions 50 a and source and drain regions 50 b are respectively formed.
  • the source and drain regions 50 a are implanted with the P-type dopants; when the NMOS device is to be formed on the second active area 14 b, the source and drain regions 50 b are implanted with the N-type dopants.
  • the source and drain regions 50 a when the NMOS device is to be formed on the first active area 14 a, the source and drain regions 50 a are implanted with the N-type dopants; when the PMOS device is to be formed on the second active area 14 b, the source and drain regions 50 b are implanted with the P-type dopants.
  • the P-type dopants can be boron or BF 2 +
  • the N-type dopants can be phosphorous or arsenic.
  • a metal layer 52 is then formed on the substrate 10 .
  • the material of the metal layer 52 includes refractory metal, e.g. nickel (Ni), titanium (Ti), tungsten (W), cobalt (Co), platinum (Pt) or palladium (Pd).
  • metal silicide layers 54 can be made of nickel silicide, titanium silicide, tungsten silicide, cobalt silicide, platinum silicide or palladium silicide.
  • the present invention is carried out by growing the semiconductor compounds of the source and drain regions through the first and the second epitaxy growth processes with the relatively low epitaxial temperatures, and the outward diffusion of the dopants in the resultant first and second semiconductor compound layers can be alleviated.
  • the second semiconductor compound layers formed by this fabrication process have an included angle with the surface of the substrate less than 40°, which can enhance the turn-on currents and lessen the turn-off currents of the MOS device, so that the improvement in the mismatches of the device performance can be achieved.

Abstract

A method for fabricating a metal oxide semiconductor (MOS) device is described, including following steps. Two recesses are formed in a substrate. A first epitaxy growth process is performed, so as to form a first semiconductor compound layer in each of the recesses. A second epitaxy growth process is performed with an epitaxial temperature lower than 700° C., so as to form a cap layer on each of the first semiconductor compound layers. Each of the cap layers includes a second semiconductor compound layer protruding from a surface of the substrate. The first and the second semiconductor compound layers are composed of a first Group IV element and a second Group IV element, wherein the second Group IV element is a nonsilicon element. The content of the second Group IV element in the second semiconductor compound layers is less than that in the first semiconductor compound layers.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a metal oxide semiconductor (MOS) device and a method for fabricating the same.
  • 2. Description of Related Art
  • Metal oxide semiconductor (MOS) transistor is one of the most common elements used in many different semiconductor devices, such as memories, image sensors or displays. A typical MOS includes a silicon oxide dielectric layer, a gate conductive layer, and heavily doped source/drain contact regions. Along with decrease in linewidth of semiconductor devices, dimensions thereof are reduced. Due to the reduction in the gate width of the typical MOS, the channel length of the MOS is consequentially reduced.
  • As the channel length reduces, applying mechanical stress to the channel is proposed to effectively change mobility of electrons and holes in the channel, thereby increasing operating speed of the transistor. A conventional technique has been provided with using silicon germanium (SiGe) epitaxy material as a major component of the source/drain contact regions in the transistor. As compared with characteristics of silicon material, germanium has larger atomic volume and can apply a compressive stress toward the channel. Thus, the mobility of the holes can be enhanced in the source/drain contact regions majorly made of silicon germanium (SiGe), and thereby device performance can be improved.
  • In the fabrication process of the device, an epitaxial growth rate of silicon germanium (SiGe), however, varies in accordance with different density of surrounding patterns of the device to be formed. Accordingly, the thickness of the resultant silicon germanium (SiGe) is non-uniform (i.e. so-called loading effects), and issues regarding mismatches of the device performance arise therefrom.
  • SUMMARY OF THE INVENTION
  • The present invention is directed to a MOS device, capable of enhancing turn-on currents and lessening turn-off currents, so that the mismatches of the device performance can be ameliorated.
  • The present invention is directed to a method for fabricating a MOS device, wherein alterations in the fabrication process can achieve enhancement of the turn-on currents, decrease in the turn-off currents, and improvement in the mismatches of the device performance.
  • A method for fabricating a MOS device of the present invention is described as follows. Two recesses are formed in a substrate. A first epitaxy growth process is performed, so as to form a first semiconductor compound layer in each of the recesses. A second epitaxy growth process is performed with an epitaxial temperature lower than 700° C., so as to form a cap layer on each of the first semiconductor compound layers.
  • Each of the cap layers includes a second semiconductor compound layer protruding from a surface of the substrate. The first and the second semiconductor compound layers are composed of a first Group IV element and a second Group IV element, wherein the second Group IV element is a nonsilicon element. The content of the second Group IV element in the second semiconductor compound layers is less than that in the first semiconductor compound layers.
  • According to an embodiment of the present invention, an included angle between each of the second semiconductor compound layers and the surface of the substrate is less than 40°.
  • According to an embodiment of the present invention, the second semiconductor compound layers have a (311) facet.
  • According to an embodiment of the present invention, the first semiconductor compound layers and the second semiconductor compound layers contain dopants therein, and a dopant concentration in the second semiconductor compound layers is equal to or lower than a dopant concentration in the first semiconductor compound layers.
  • According to an embodiment of the present invention, the epitaxial temperature of the second epitaxy growth process ranges between 650° C. and 680° C.
  • According to an embodiment of the present invention, an epitaxial temperature of the first epitaxy growth process ranges between 630° C. and 660° C.
  • According to an embodiment of the present invention, the method further includes performing a third epitaxy growth process before performing the first epitaxy growth process, so as to form a buffer layer in each of the recesses. Each of the buffer layers includes a semiconductor compound layer having a dopant concentration equal to 0 or lower than a dopant concentration in the first semiconductor compound layers.
  • According to an embodiment of the present invention, the first epitaxy growth process finishes when a top of the first semiconductor compound layers protrudes from the surface of the substrate by 50 Å to 100 Å.
  • Another method for fabricating a MOS device of the present invention is described as follows. Two recesses are formed in a substrate. A first epitaxy growth process is performed, so as to form a first semiconductor compound layer in each of the recesses. A second epitaxy growth process is performed, so as to form a second semiconductor compound layer on each of the first semiconductor compound layers. The second semiconductor compound layers protrude from a surface of the substrate. The first and the second semiconductor compound layers are composed of a first Group IV element and a second Group IV element, wherein the second Group IV element is a nonsilicon element. The content of the second Group IV element in the second semiconductor compound layers is less than that in the first semiconductor compound layers. The second epitaxy growth process includes a first growth stage and a second growth stage, wherein a growth rate of the second growth stage is less than a growth rate of the first growth stage.
  • According to an embodiment of the present invention, the growth rate of the second epitaxy growth process is less than 80 Å/100 sec.
  • According to an embodiment of the present invention, the growth rate of the first growth stage is at least 2 times of the growth rate of the second growth stage in the second epitaxy growth process.
  • According to an embodiment of the present invention, an included angle between each of the second semiconductor compound layers and the surface of the substrate is less than 40°.
  • According to an embodiment of the present invention, the second semiconductor compound layers have a (311) facet.
  • According to an embodiment of the present invention, the first semiconductor compound layers and the second semiconductor compound layers contain dopants therein, and a dopant concentration in the second semiconductor compound layers is equal to or lower than a dopant concentration in the first semiconductor compound layers.
  • According to an embodiment of the present invention, the method further includes performing a third epitaxy growth process before performing the first epitaxy growth process, so as to form a buffer layer in each of the recesses. Each of the buffer layers includes a semiconductor compound layer having a dopant concentration equal to 0 or lower than a dopant concentration in the first semiconductor compound layers.
  • According to an embodiment of the present invention, the first epitaxy growth process finishes when a top of the first semiconductor compound layers protrudes from the surface of the substrate by 50 Å to 100 Å.
  • A MOS device of the present invention is provided, including a substrate, first semiconductor compound layers, and cap layers. The substrate has two recesses therein. The first semiconductor compound layers are disposed in the recesses. Each of the cap layers includes a second semiconductor compound layer that is disposed on each of the first semiconductor compound layers and protrudes from a surface of the substrate. An included angle between each of the second semiconductor compound layers and the surface of the substrate is less than 40°.
  • According to an embodiment of the present invention, the second semiconductor compound layers have a (311) facet.
  • According to an embodiment of the present invention, the first semiconductor compound layers and the second semiconductor compound layers contain dopants therein, and a dopant concentration in the second semiconductor compound layers is equal to or lower than a dopant concentration in the first semiconductor compound layers.
  • According to an embodiment of the present invention, the MOS device further includes buffer layers, respectively disposed in the recesses and intervening between the substrate and the first semiconductor compound layers. Each of the buffer layers includes a semiconductor compound layer having a dopant concentration equal to 0 or lower than a dopant concentration in the first semiconductor compound layers.
  • According to an embodiment of the present invention, a top of the first semiconductor compound layers protrudes from the surface of the substrate by 50 Å to 100 Å.
  • According to an embodiment of the present invention, a thickness of the cap layers is 1/X to 1/Y times of a thickness of the first semiconductor compound layers.
  • As mentioned above, the MOS device in this invention can enhance the turn-on currents and lessen the turn-off currents, thereby improving the mismatches of the device performance. Moreover, the fabricating method of the MOS device in this invention is capable of enhancing the turn-on currents, lessening the turn-off currents, and improving the mismatches of the device performance through modifications in the fabrication process.
  • In order to make the aforementioned and other features and advantages of the present invention more comprehensible, preferred embodiments accompanied with figures are described in detail below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The patent or application file contains at least one drawing executed in color. Copies of this patent or patent application publication with color drawings will be provided by the Office upon request and payment of the necessary fee. The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention.
  • FIGS. 1-7 depict, in a cross-sectional view, a method for fabricating a MOS device according to an embodiment of the present invention.
  • FIG. 8 illustrates a second epitaxy growth process for formation of cap layers according to an embodiment of the present invention.
  • DESCRIPTION OF THE EMBODIMENTS
  • Reference will now be made in detail to the present preferred embodiments of the invention, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers are used in the drawings and the description to refer to the same or like parts.
  • FIGS. 1-7 depict, in a cross-sectional view, a method for fabricating a MOS device according to an embodiment of the present invention.
  • Referring to FIG. 1, isolation structures 12 are formed in a substrate 10, so as to define a first active area 14 a and a second active area 14 b. The material of the substrate 10 includes semiconductor, such as silicon. The material of the isolation structures 12 includes insulating material, such as silicon oxide. The isolation structures 12 are, for example, formed by a shallow trench isolation (STI) method.
  • Stack structures are then formed on the first and the second active areas 14 a, 14 b of the substrate 10, respectively. Each stack structure 16 includes an insulating layer 18, a conductor layer 20 and a hard mask layer 22. In an embodiment, a width of the stack structures 16 is, for example, about 24 nm. The insulating layers 18 may include a dielectric layer, a high-dielectric constant (K value greater than 4) material, a barrier layer, or combination thereof. The dielectric layer is, for example, made of silicon oxide. The high-K dielectric material is, for example, hafnium oxide (HfO2), hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al2O3), lanthanum oxide (La2O3), lanthanum aluminum oxide (LaAlO), tantalum oxide (Ta2O5), zirconium oxide (ZrO2), zirconium silicon oxide (ZrSiO4), or hafnium zirconium oxide (HfZrO). The barrier layer is, for example, made of titanium (Ti), tantalum (Ta), titanium nitride (TiN), tantalum nitride (TaN), or combination thereof. The material of the conductor layers 20 can be monocrystalline silicon, undoped polysilicon, doped polysilicon, amorphous silicon, silicon germanium material, or combination thereof with a thickness of, for example, about 650 Å. The material of the hard mask layers 22 can be silicon oxide, silicon nitride, silicon carbide or silicon oxynitride with, for example, hundreds of angstroms in thickness. The formation of the stack structures 16 can be carried out by forming an insulating material layer, a conductor material layer and a hard mask material layer, and then patterning the foregoing layers through lithography and etching processes.
  • Afterwards, first spacers 24 are formed on respective sidewalls of each stack structure 16. The material of the first spacers 24 are, for example, silicon nitride. Then, ion implantation processes are conducted individually, so that a lightly doped drain (LDD) 25 a and an LDD 25 b are formed respectively in the first active area 14 a and in the second active area 14 b. In an embodiment, when a PMOS device is to be formed on the first active area 14 a, dopants implanted into the LDD 25 a may be P-type; when an NMOS is to be formed on the second active area 14 b, dopants implanted into the LDD 25 b may be N-type. In another embodiment, when the NMOS device is to be formed on the first active area 14 a, dopants implanted into the LDD 25 a may be N-type; when the PMOS device is to be formed on the second active area 14 b, dopants implanted into the LDD 25 b may be P-type. The P-type dopants can be boron or BF2 +, while the N-type dopants can be phosphorous or arsenic.
  • Subsequently, a block layer 26 is formed on the substrate 10. The block layer 26 is, for example, made of silicon nitride. A mask layer 28 is then formed on the first active area 14 a. The mask layer 28 is, for example, made of photoresist, and the formation thereof can be implemented by the lithography process.
  • Referring to FIG. 2, an anisotropic etching process is performed using the mask layer 28 as an etching mask, and thus, a portion of the block layer 26 is removed, so as to form second spacers 30 a on the respective sidewalls of the stack structure 16 on the first active area 14 a.
  • Afterwards, a portion of the substrate 10 in the first active area 14 a is removed by dry or wet etching or combination thereof, so that two recesses 32 are formed in the substrate 10 at both sides of the second spacers 30 a. In an embodiment, a depth of each recess 32 is, for example, about hundreds of angstroms. The recesses 32 can have diamond-shaped profiles or rectangle-shaped profiles, and are not particularly limited by the present invention.
  • Referring to FIG. 3, the mask layer 28 is removed, so that the block layer 26 on the second active area 14 b is exposed.
  • A semiconductor compound layer 33 is then formed in each of the recesses 32. The fabricating method of the semiconductor compound layers 33 includes performing a first epitaxy growth process to form a first semiconductor compound layer 34 in each recess 32, and then performing a second epitaxy growth process to form a cap layer 36 on each first semiconductor compound layer 34. Each cap layer 36 includes a second semiconductor compound layer that may protrude from the surface of the substrate 10. Before conducting the first epitaxy growth process, thermal annealing can be optionally performed in a hydrogen ambiance.
  • The first and the second semiconductor compounds described herein are, for example, IV-IV semiconductor compounds. The IV-IV semiconductor compounds can be composed of a first Group N element and a second Group IV element. The first Group IV element is, for example, silicon (Si). The second Group N element is, for example, a nonsilicon element, such as germanium (Ge) or carbon (C). In other words, the IV-IV semiconductor compounds can be silicon germanium (SiGe) or silicon carbide (SiC). In the PMOS device, the material of the first semiconductor compound layers 34 and the second semiconductor compound layers may be silicon germanium (SiGe); in the NMOS device, the material of the first semiconductor compound layers 34 and the second semiconductor compound layers may be silicon carbide (SiC).
  • The content of the second Group IV element (e.g. germanium content or carbon content) in the second semiconductor compound layers is less than that of the second Group N element (e.g. germanium content or carbon content) in the first semiconductor compound layers 34. The first semiconductor compound layers 34 and the second semiconductor compound layers of the cap layers 36 have dopants contained therein, and the dopant concentration in the second semiconductor compound layers of the cap layers 36 is equal to or lower than that in the first semiconductor compound layer 34. In the PMOS device, the first semiconductor compound layers 34 and the second semiconductor compound layers are doped with the P-type dopants, e.g. boron or BF2 +; in the NMOS device, the first semiconductor compound layers 34 and the second semiconductor compound layers are doped with the N-type dopants, e.g. phosphorous or arsenic.
  • In an embodiment, when the semiconductor compound is silicon germanium (SiGe), reactant gas utilized in the epitaxy growth processes includes a silicon source and a germanium source. The epitaxial temperatures of the first epitaxy growth process and the second epitaxy growth process are lower than 700° C. Owing to the relatively low epitaxial temperatures of the first and the second epitaxy growth processes, outward diffusion of the dopants in the first semiconductor compound layers 34 and in the second semiconductor compound layers can be significantly mitigated.
  • In an embodiment, the epitaxial temperature of the second epitaxy growth process may range between 650° C. and 680° C., and the epitaxial temperature of the first epitaxy growth process may range between 630° C. and 660° C. In other words, the epitaxial temperature of the second epitaxy growth process is slightly higher than that of the first epitaxy growth process by about 10° C. to tens of degrees Celsius, but the epitaxial temperature of the second epitaxy growth process is still lower than 700° C.
  • Referring to FIG. 8, the second epitaxy growth process for the formation of the cap layers 36 includes a first growth stage 100 and a second growth stage 200. The first growth stage 100 has a faster growth rate, while the second growth stage 200 has a slower growth rate. The growth rate of the first growth stage 100 is, for example, about 80 Å/100 sec. The growth rate of the second growth stage 200 is, for example, less than 80 Å/100 sec, possibly about 38 Å/100 sec to 40 Å/100 sec. The growth rate of the first growth stage 100 is at least 2 times of that of the second growth stage 200. During the first growth stage 100, the cap layers 36 grow at the faster rate until the cap layers 36 arrive at a given thickness. Thereafter, the second growth stage 200 is performed with the slower growth rate. Therefore, in case a wafer has a first area and a second area, wherein the semiconductor compound grows at the faster rate in the first area, and the semiconductor compound grows at the slower rate in the second area. After the semiconductor compound in the first area of the wafer grows at the faster growth rate during the first growth stage and then reaches the given thickness, the second growth stage is performed with the slower growth rate. As the semiconductor compound in the first area grows at the slower growth rate during the second growth stage, the second area of the wafer, in which the semiconductor compound grows at the slower rate, still undergoes the first growth stage with the faster rate. After the cap layers 36 in the second area reach the given thickness, the second growth stage is performed. Due to the slow growth rate of the second growth stage, the thickness of the semiconductor compound formed in the first area is relatively thin during the second growth stage, which has a tiny difference from the thickness of the semiconductor compound formed in the second area. Accordingly, the loading effects of the first and the second areas can be alleviated in the present invention, thereby improving the mismatches of the device performance. It is substantiated by experiments that the mismatches of the device performance can be better improved by at least 6%.
  • When the first epitaxy growth process finishes, the top of the resultant first semiconductor compound layers 34 may protrude from the surface of the substrate 10 by 50 Å to 100 Å. When the second epitaxy growth process finishes, the second semiconductor compound layers of the resultant cap layers 36 reach tens of angstroms to hundreds of angstroms in thickness.
  • In an embodiment, as the semiconductor compound is silicon germanium (SiGe), an included angle between the second semiconductor compound layer of the cap layers 36 and the surface of the substrate 10 is less than 40°, for example about 25°. In an embodiment, as the semiconductor compound is silicon germanium (SiGe), the second semiconductor compound layers in the lateral of the cap layers 36 may have a (311) facet, and the second semiconductor compound layers in the upper surface of the cap layers may have a (100) facet.
  • In another embodiment, the formation of the semiconductor compound layers 33 further includes performing a third epitaxy growth process before the first epitaxy growth process is performed, so as to form a buffer layer 38 in each recess 32. Each buffer layer 38 includes a third semiconductor compound layer, and a dopant concentration therein equal to 0 or lower than that in the first semiconductor compound layers 34. In the PMOS device, the buffer layers 38 are doped with the P-type dopants, such as boron or BF2 +. In the NMOS device, the buffer layers 38 are doped with the N-type dopants, such as phosphorous or arsenic.
  • In the PMOS device at 40 nm process node, the semiconductor compound layers of the first semiconductor compound layers 34, the second semiconductor compound layers 36 and the buffer layers 38 are silicon germanium (SiGe). The germanium content in the semiconductor compound layers of the buffer layers 38 is, for example, about 22-25%; the germanium content in the first semiconductor compound layers 34 is, for example, about 28%; and the germanium content in the second semiconductor compound layers of the cap layers 36 is, for example, about 8%. A boron-doped content in the semiconductor compound layers of the buffer layers 38 is, for example, about 0%; a boron-doped content in the first semiconductor compound layers 34 is, for example, about 2×1020/cm2; and a boron-doped content in the second semiconductor compound layers of the cap layers 36 is, for example, about 7×1019/cm2 to 2×1020/cm2. It is verified, in this invention, by experiments that the turn-on currents (Ion) can be enhanced by 2%, and the turn-off currents (Ioff) can be diminished, thereby the mismatches of the device performance can be ameliorated by 6% or above.
  • The foregoing first semiconductor compound layers 34, the foregoing cap layers (the second semiconductor compound layers) 36 and the foregoing buffer layers 38 can be formed by conducting the epitaxy growth processes in the same reaction chamber, wherein the silicon germanium (SiGe) (or silicon carbide (SiC)) with various germanium content (or carbon content) is formed by adjusting the ratio of the silicon source and the germanium source in the reactant gas (or the ratio of the silicon source and the carbon source in the reactant gas). In addition, in-situ doping is conducted during the epitaxy growth processes.
  • Referring to FIG. 4, another mask layer 39 is formed on the first active area 14 a. Afterwards, the block layer 26 on the second active area 14 b is anisotropically etched, so as to form second spacers 30 b on the second active area 14 b.
  • Referring to FIG. 5, the mask layer 39 is removed. A spacer material layer 40 and a spacer material layer 42 are then formed on the substrate 10. The material of the spacer material layer 40 is different from that of the spacer material layers 42. In an embodiment, the material of the spacer material layer 40 may be silicon oxide, while the material of the spacer material layers 42 may be silicon nitride.
  • Referring to FIG. 6, the spacer material layers 40 and 42 are etched in an anisotropic manner, so that third spacers 44 and fourth spacers 46 are respectively formed. Thereafter, ion implantation processes are performed to implant dopants respectively into the semiconductor compound layers 33 of the first active area 14 a and into the substrate 10 of the second active area 14 b, such that source and drain regions 50 a and source and drain regions 50 b are respectively formed. In an embodiment, when the PMOS device is to be formed on the first active area 14 a, the source and drain regions 50 a are implanted with the P-type dopants; when the NMOS device is to be formed on the second active area 14 b, the source and drain regions 50 b are implanted with the N-type dopants. In another embodiment, when the NMOS device is to be formed on the first active area 14 a, the source and drain regions 50 a are implanted with the N-type dopants; when the PMOS device is to be formed on the second active area 14 b, the source and drain regions 50 b are implanted with the P-type dopants. The P-type dopants can be boron or BF2 +, and the N-type dopants can be phosphorous or arsenic.
  • A metal layer 52 is then formed on the substrate 10. The material of the metal layer 52 includes refractory metal, e.g. nickel (Ni), titanium (Ti), tungsten (W), cobalt (Co), platinum (Pt) or palladium (Pd).
  • Referring to FIG. 7, a thermal process is performed, such that the metal layer 52 may react with silicon in the substrate 10 to form metal silicide layers 54. The metal silicide layers 54 can be made of nickel silicide, titanium silicide, tungsten silicide, cobalt silicide, platinum silicide or palladium silicide.
  • In view of the above, the present invention is carried out by growing the semiconductor compounds of the source and drain regions through the first and the second epitaxy growth processes with the relatively low epitaxial temperatures, and the outward diffusion of the dopants in the resultant first and second semiconductor compound layers can be alleviated. In addition, the second semiconductor compound layers formed by this fabrication process have an included angle with the surface of the substrate less than 40°, which can enhance the turn-on currents and lessen the turn-off currents of the MOS device, so that the improvement in the mismatches of the device performance can be achieved.
  • It will be apparent to those skilled in the art that various modifications and variations can be made to the structure of the present invention without departing from the scope or spirit of the invention. In view of the foregoing, it is intended that the present invention cover modifications and variations of this invention provided they fall within the scope of the following claims and their equivalents.

Claims (21)

What is claimed is:
1. A method for fabricating a metal oxide semiconductor (MOS) device, comprising:
forming two recesses in a substrate;
performing a first epitaxy growth process, so as to form a first semiconductor compound layer in each of the recesses; and
performing a second epitaxy growth process with an epitaxial temperature lower than 700° C., so as to form a cap layer on each of the first semiconductor compound layers, each of the cap layers comprising a second semiconductor compound layer that protrudes from a surface of the substrate, wherein the first semiconductor compound layers and the second semiconductor compound layers are composed of a first Group IV element and a second Group IV element that is a nonsilicon element, and a content of the second Group IV element in the second semiconductor compound layers is less than a content of the second Group IV element in the first semiconductor compound layers.
2. The method according to claim 1, wherein an included angle between each of the second semiconductor compound layers and the surface of the substrate is less than 40°.
3. The method according to claim 1, wherein the second semiconductor compound layers have a (311) facet.
4. The method according to claim 1, wherein the first semiconductor compound layers and the second semiconductor compound layers comprise dopants, and a dopant concentration in the second semiconductor compound layers is equal to or lower than a dopant concentration in the first semiconductor compound layers.
5. The method according to claim 1, wherein the epitaxial temperature of the second epitaxy growth process ranges between 650° C. and 680° C.
6. The method according to claim 5, wherein an epitaxial temperature of the first epitaxy growth process ranges between 630° C. and 660° C.
7. The method according to claim 1, further comprising performing a third epitaxy growth process before performing the first epitaxy growth process, so as to form a buffer layer in each of the recesses, wherein each of the buffer layers comprises a semiconductor compound layer having a dopant concentration equal to 0 or lower than a dopant concentration in the first semiconductor compound layers.
8. The method according to claim 1, wherein the first epitaxy growth process finishes when a top of the first semiconductor compound layers protrudes from the surface of the substrate by 50 Å to 100 Å.
9. A method for fabricating a metal oxide semiconductor (MOS) device, comprising:
forming two recesses in a substrate;
performing a first epitaxy growth process, so as to form a first semiconductor compound layer in each of the recesses; and
performing a second epitaxy growth process, so that a second semiconductor compound layer is formed on each of the first semiconductor compound layers, and the second semiconductor compound layers protrude from a surface of the substrate, wherein the first semiconductor compound layers and the second semiconductor compound layers are composed of a first Group IV element and a second Group IV element that is a nonsilicon element, and a content of the second Group IV element in the second semiconductor compound layers is less than a content of the second Group IV element in the first semiconductor compound layers, the second epitaxy growth process comprising:
a first growth stage; and
a second growth stage, wherein a growth rate of the second growth stage is less than a growth rate of the first growth stage.
10. The method according to claim 9, wherein the growth rate of the second epitaxy growth process is less than 80 Å/100 sec.
11. The method according to claim 9, wherein the growth rate of the first growth stage is at least 2 times of the growth rate of the second growth stage.
12. The method according to claim 9, wherein an included angle between each of the second semiconductor compound layers and the surface of the substrate is less than 40°.
13. The method according to claim 9, wherein the second semiconductor compound layers have a (311) facet.
14. The method according to claim 9, wherein the first semiconductor compound layers and the second semiconductor compound layers comprise dopants, and a dopant concentration in the second semiconductor compound layers is equal to or lower than a dopant concentration in the first semiconductor compound layers.
15. The method according to claim 9, further comprising performing a third epitaxy growth process before performing the first epitaxy growth process, so as to form a buffer layer in each of the recesses, wherein each of the buffer layers comprises a semiconductor compound layer having a dopant concentration equal to 0 or lower than a dopant concentration in the first semiconductor compound layers.
16. The method according to claim 9, wherein the first epitaxy growth process finishes when a top of the first semiconductor compound layers protrudes from the surface of the substrate by 50 Å to 100 Å.
17. A metal oxide semiconductor (MOS) device, comprising:
a substrate, having two recesses therein;
a first semiconductor compound layer, disposed in each of the recesses; and
a cap layer comprising a second semiconductor compound layer, disposed on each of the first semiconductor compound layers and protruding from a surface of the substrate, wherein an included angle between each of the second semiconductor compound layers and the surface of the substrate is less than 40°.
18. The MOS device according to claim 17, wherein the second semiconductor compound layers have a (311) facet.
19. The MOS device according to claim 17, wherein the first semiconductor compound layers and the second semiconductor compound layers comprise dopants, and a dopant concentration in the second semiconductor compound layers is equal to or lower than a dopant concentration in the first semiconductor compound layers.
20. The MOS device according to claim 17, further comprising a buffer layer, disposed in each of the recesses and intervening between the substrate and the first semiconductor compound layers, wherein each of the buffer layers comprises a semiconductor compound layer having a dopant concentration equal to 0 or lower than a dopant concentration in the first semiconductor compound layers.
21. The MOS device according to claim 17, wherein a top of the first semiconductor compound layers protrudes from the surface of the substrate by 50 Å to 100 Å.
US13/299,044 2011-11-17 2011-11-17 Method for fabricating first and second epitaxial cap layers Active 2032-05-11 US8647953B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/299,044 US8647953B2 (en) 2011-11-17 2011-11-17 Method for fabricating first and second epitaxial cap layers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/299,044 US8647953B2 (en) 2011-11-17 2011-11-17 Method for fabricating first and second epitaxial cap layers

Publications (2)

Publication Number Publication Date
US20130126949A1 true US20130126949A1 (en) 2013-05-23
US8647953B2 US8647953B2 (en) 2014-02-11

Family

ID=48425969

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/299,044 Active 2032-05-11 US8647953B2 (en) 2011-11-17 2011-11-17 Method for fabricating first and second epitaxial cap layers

Country Status (1)

Country Link
US (1) US8647953B2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130234216A1 (en) * 2012-03-07 2013-09-12 United Microelectronics Corp. Method for fabricating semiconductor device and pmos device fabricated by the method
US20130320457A1 (en) * 2012-06-04 2013-12-05 Samsung Electronics Co., Ltd. Semiconductor devices including source/drain stressors and methods of manufacturing the same
US8647953B2 (en) * 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US9214551B2 (en) * 2014-02-19 2015-12-15 United Microelectronics Corp. Method for fabricating semiconductor device, and semiconductor device made thereby
CN105702727A (en) * 2014-11-28 2016-06-22 联华电子股份有限公司 Metal oxide semiconductor device and forming method thereof
CN105742284A (en) * 2016-02-26 2016-07-06 上海华力微电子有限公司 Fabrication method of semiconductor device and semiconductor device
CN105990440A (en) * 2015-03-16 2016-10-05 台湾积体电路制造股份有限公司 Structures and formation methods of a semiconductor device structure
US20170092769A1 (en) * 2012-09-21 2017-03-30 Samsung Electronics Co., Ltd. Semiconductor devices and methods of forming the same
US20180175198A1 (en) * 2016-02-09 2018-06-21 Globalfoundries Inc. Device with diffusion blocking layer in source/drain region
US10115638B2 (en) * 2013-07-02 2018-10-30 Texas Instruments Incorporated Partially recessed channel core transistors in replacement gate flow
USRE47562E1 (en) * 2012-05-11 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel semiconductor device fabrication
US20200243664A1 (en) * 2019-01-30 2020-07-30 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20210336007A1 (en) * 2019-08-07 2021-10-28 Samsung Electronics Co., Ltd. Semiconductor device
US20210375687A1 (en) * 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Dual dopant source/drain regions and methods of forming same

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US8828850B2 (en) * 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
KR101776926B1 (en) * 2010-09-07 2017-09-08 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9012277B2 (en) * 2012-01-09 2015-04-21 Globalfoundries Inc. In situ doping and diffusionless annealing of embedded stressor regions in PMOS and NMOS devices
KR102326316B1 (en) 2015-04-10 2021-11-16 삼성전자주식회사 Semiconductor dievices and methods of manufacturing the same
US9548388B1 (en) 2015-08-04 2017-01-17 International Business Machines Corporation Forming field effect transistor device spacers
US9536981B1 (en) 2015-09-29 2017-01-03 International Business Machines Corporation Field effect transistor device spacers

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050280098A1 (en) * 2004-06-22 2005-12-22 Samsung Electronics Co., Ltd. Method of fabricating CMOS transistor and CMOS transistor fabricated thereby
US20060138398A1 (en) * 2004-12-28 2006-06-29 Fujitsu Limited Semiconductor device and fabrication method thereof
US20080076236A1 (en) * 2006-09-21 2008-03-27 Jih-Shun Chiang Method for forming silicon-germanium epitaxial layer
US20090166625A1 (en) * 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0282575A (en) 1988-09-19 1990-03-23 Toshiba Corp Semiconductor device and its manufacture
KR940004446B1 (en) 1990-11-05 1994-05-25 미쓰비시뎅끼 가부시끼가이샤 Method of making semiconductor device
JP3211394B2 (en) 1992-08-13 2001-09-25 ソニー株式会社 Method for manufacturing semiconductor device
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5777364A (en) 1992-11-30 1998-07-07 International Business Machines Corporation Graded channel field effect transistor
AU5669794A (en) 1992-12-11 1994-07-04 Intel Corporation A mos transistor having a composite gate electrode and method of fabrication
US5385630A (en) 1993-06-29 1995-01-31 Digital Equipment Corporation Process for increasing sacrificial oxide etch rate to reduce field oxide loss
US5372957A (en) 1993-07-22 1994-12-13 Taiwan Semiconductor Manufacturing Company Multiple tilted angle ion implantation MOSFET method
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
JPH09321304A (en) 1996-03-22 1997-12-12 Seiko Epson Corp Semiconductor device having mos elements and manufacture thereof
US6030874A (en) 1997-01-21 2000-02-29 Texas Instruments Incorporated Doped polysilicon to retard boron diffusion into and through thin gate dielectrics
US5783479A (en) 1997-06-23 1998-07-21 National Science Council Structure and method for manufacturing improved FETs having T-shaped gates
KR100246602B1 (en) 1997-07-31 2000-03-15 정선종 A mosfet and method for fabricating the same
JP3389075B2 (en) 1997-10-01 2003-03-24 株式会社東芝 Method for manufacturing semiconductor device
US5960322A (en) 1997-12-19 1999-09-28 Advanced Micro Devices, Inc. Suppression of boron segregation for shallow source and drain junctions in semiconductors
US6100171A (en) 1998-03-03 2000-08-08 Advanced Micro Devices, Inc. Reduction of boron penetration by laser anneal removal of fluorine
US6632718B1 (en) 1998-07-15 2003-10-14 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
FR2783254B1 (en) 1998-09-10 2000-11-10 France Telecom METHOD FOR OBTAINING A LAYER OF MONOCRYSTALLINE GERMANIUM ON A MONOCRYSTALLINE SILICON SUBSTRATE, AND PRODUCTS OBTAINED
US6165881A (en) 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Method of forming salicide poly gate with thin gate oxide and ultra narrow gate width
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6191052B1 (en) 1999-01-25 2001-02-20 Taiwan Semiconductor Manufacturing Company Method for fabricating an ultra-shallow junction with low resistance using a screen oxide formed by poly re-oxidation in a nitrogen containing atmosphere
US6228730B1 (en) 1999-04-28 2001-05-08 United Microelectronics Corp. Method of fabricating field effect transistor
US6110787A (en) 1999-09-07 2000-08-29 Chartered Semiconductor Manufacturing Ltd. Method for fabricating a MOS device
KR20010063781A (en) 1999-12-24 2001-07-09 박종섭 Fabricating method for semiconductor device
US6368926B1 (en) 2000-03-13 2002-04-09 Advanced Micro Devices, Inc. Method of forming a semiconductor device with source/drain regions having a deep vertical junction
JP4406995B2 (en) 2000-03-27 2010-02-03 パナソニック株式会社 Semiconductor substrate and method for manufacturing semiconductor substrate
KR100333372B1 (en) 2000-06-21 2002-04-19 박종섭 Method of manufacturing metal gate mosfet device
US6444591B1 (en) 2000-09-30 2002-09-03 Newport Fab, Llc Method for reducing contamination prior to epitaxial growth and related structure
US6365476B1 (en) 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
US6777275B1 (en) 2000-11-15 2004-08-17 Advanced Micro Devices, Inc. Single anneal for dopant activation and silicide formation
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6750119B2 (en) 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
KR20020083767A (en) 2001-04-30 2002-11-04 주식회사 하이닉스반도체 Method for cleaning substrate in selective epitaxial growth process
US6780735B2 (en) 2001-04-30 2004-08-24 International Business Machines Corporation Method to increase carbon and boron doping concentrations in Si and SiGe films
JP2004533118A (en) 2001-05-30 2004-10-28 エーエスエム アメリカ インコーポレイテッド Low temperature loading and unloading and baking
US6624068B2 (en) 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US7008864B2 (en) 2001-10-26 2006-03-07 Sige Semiconductor Inc. Method of depositing high-quality SiGe on SiGe substrates
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6806151B2 (en) 2001-12-14 2004-10-19 Texas Instruments Incorporated Methods and apparatus for inducing stress in a semiconductor device
JP4173672B2 (en) 2002-03-19 2008-10-29 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6946371B2 (en) 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
KR100461330B1 (en) 2002-07-19 2004-12-14 주식회사 하이닉스반도체 Method for forming Shallow Trench Isolation of semiconductor device
US6664156B1 (en) 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US6858506B2 (en) 2002-08-08 2005-02-22 Macronix International Co., Ltd. Method for fabricating locally strained channel
US6809402B1 (en) 2002-08-14 2004-10-26 Advanced Micro Devices, Inc. Reflowable-doped HDP film
US6642122B1 (en) 2002-09-26 2003-11-04 Advanced Micro Devices, Inc. Dual laser anneal for graded halo profile
US20040067631A1 (en) 2002-10-03 2004-04-08 Haowen Bu Reduction of seed layer roughness for use in forming SiGe gate electrode
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
JP4306266B2 (en) 2003-02-04 2009-07-29 株式会社Sumco Manufacturing method of semiconductor substrate
KR100621546B1 (en) 2003-05-14 2006-09-13 삼성전자주식회사 Method and Apparatus For Making MOS Transistors With Elevated Source/Drain
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
KR100588786B1 (en) 2003-09-18 2006-06-12 동부일렉트로닉스 주식회사 Fabricating method of semiconductor device
US6991979B2 (en) 2003-09-22 2006-01-31 International Business Machines Corporation Method for avoiding oxide undercut during pre-silicide clean for thin spacer FETs
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US6991991B2 (en) 2003-11-12 2006-01-31 United Microelectronics Corp. Method for preventing to form a spacer undercut in SEG pre-clean process
US6946350B2 (en) 2003-12-31 2005-09-20 Intel Corporation Controlled faceting of source/drain regions
FR2864457B1 (en) 2003-12-31 2006-12-08 Commissariat Energie Atomique METHOD OF WET CLEANING A SURFACE, IN PARTICULAR A MATERIAL OF SILICON GERMANIUM TYPE.
KR100607176B1 (en) 2004-03-25 2006-08-01 삼성전자주식회사 Methods Of Fabricating A Semiconductor Device By Using An Aqueous Solution Diluted Ammonia And Peroxide Mixture
US7037773B2 (en) 2004-03-29 2006-05-02 United Microelectronics Corp. Method of manufacturing metal-oxide-semiconductor transistor
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
US7413957B2 (en) 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
KR100618839B1 (en) 2004-06-28 2006-09-01 삼성전자주식회사 Method for manufacturing semiconductor device
US6972461B1 (en) 2004-06-30 2005-12-06 International Business Machines Corporation Channel MOSFET with strained silicon channel on strained SiGe
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7118952B2 (en) 2004-07-14 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making transistor with strained source/drain
US7060579B2 (en) 2004-07-29 2006-06-13 Texas Instruments Incorporated Increased drive current by isotropic recess etch
US7135372B2 (en) 2004-09-09 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon device manufacturing method
US7309660B2 (en) 2004-09-16 2007-12-18 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US7135724B2 (en) 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US7202124B2 (en) 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US7402870B2 (en) 2004-10-12 2008-07-22 International Business Machines Corporation Ultra shallow junction formation by epitaxial interface limited diffusion
US20060115949A1 (en) 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
US7335959B2 (en) 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
KR100703967B1 (en) 2005-02-28 2007-04-05 삼성전자주식회사 CMOS transistor and method for fabricating the same
US7226820B2 (en) 2005-04-07 2007-06-05 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US7696537B2 (en) 2005-04-18 2010-04-13 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
JP2006351581A (en) 2005-06-13 2006-12-28 Fujitsu Ltd Manufacturing method of semiconductor device
US7183596B2 (en) 2005-06-22 2007-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Composite gate structure in an integrated circuit
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
KR100632465B1 (en) 2005-07-26 2006-10-09 삼성전자주식회사 Semiconductor device and fabrication method thereof
US7642607B2 (en) 2005-08-10 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with reduced recess on substrate surface
US7384853B2 (en) 2005-08-25 2008-06-10 United Microelectronics Corp. Method of performing salicide processes on MOS transistors
US7491615B2 (en) 2005-09-23 2009-02-17 United Microelectronics Corp. Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
CN100442476C (en) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 Nano-device with enhanced strain inductive transferring rate for CMOS technology and its process
US7410859B1 (en) 2005-11-07 2008-08-12 Advanced Micro Devices, Inc. Stressed MOS device and method for its fabrication
US7618856B2 (en) 2005-12-06 2009-11-17 United Microelectronics Corp. Method for fabricating strained-silicon CMOS transistors
US7303999B1 (en) 2005-12-13 2007-12-04 Lam Research Corporation Multi-step method for etching strain gate recesses
JP2007194336A (en) 2006-01-18 2007-08-02 Sumco Corp Method for manufacturing semiconductor wafer
JP5145672B2 (en) 2006-02-27 2013-02-20 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7494856B2 (en) 2006-03-30 2009-02-24 Freescale Semiconductor, Inc. Semiconductor fabrication process using etch stop layer to optimize formation of source/drain stressor
US7288822B1 (en) 2006-04-07 2007-10-30 United Microelectronics Corp. Semiconductor structure and fabricating method thereof
US7385258B2 (en) 2006-04-25 2008-06-10 International Business Machines Corporation Transistors having v-shape source/drain metal contacts
US8039341B2 (en) 2006-07-06 2011-10-18 Freescale Semiconductor, Inc. Selective uniaxial stress modification for use with strained silicon on insulator integrated circuit
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7402496B2 (en) 2006-09-11 2008-07-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device and fabricating method thereof
KR100764058B1 (en) 2006-09-20 2007-10-09 삼성전자주식회사 Semiconductor device including a field effect transistor and method of forming the same
US7998821B2 (en) 2006-10-05 2011-08-16 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor transistor
US20080124874A1 (en) 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
US20080116525A1 (en) 2006-11-16 2008-05-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device
US7750338B2 (en) 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
US7858529B2 (en) 2006-12-18 2010-12-28 United Microelectronics Corp. Treatment method of semiconductor, method for manufacturing MOS, and MOS structure
JP2008159803A (en) 2006-12-22 2008-07-10 Toshiba Corp Semiconductor device
US7838370B2 (en) 2006-12-27 2010-11-23 Texas Instruments Incorporated Highly selective liners for semiconductor fabrication
US20080220579A1 (en) 2007-03-07 2008-09-11 Advanced Micro Devices, Inc. Stress enhanced mos transistor and methods for its fabrication
US7592262B2 (en) 2007-03-21 2009-09-22 United Microelectronics Corp. Method for manufacturing MOS transistors utilizing a hybrid hard mask
US20080233722A1 (en) 2007-03-23 2008-09-25 United Microelectronics Corp. Method of forming selective area compound semiconductor epitaxial layer
US7691752B2 (en) 2007-03-30 2010-04-06 Intel Corporation Methods of forming improved EPI fill on narrow isolation bounded source/drain regions and structures formed thereby
US7745847B2 (en) 2007-08-09 2010-06-29 United Microelectronics Corp. Metal oxide semiconductor transistor
US20090045456A1 (en) 2007-08-13 2009-02-19 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US8043919B2 (en) 2007-11-12 2011-10-25 United Microelectronics Corp. Method of fabricating semiconductor device
US20090186475A1 (en) 2008-01-21 2009-07-23 Shyh-Fann Ting Method of manufacturing a MOS transistor
US20090184402A1 (en) 2008-01-22 2009-07-23 United Microelectronics Corp. Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner.
US7875520B2 (en) 2008-03-27 2011-01-25 United Microelectronics Corp. Method of forming CMOS transistor
US20090278170A1 (en) 2008-05-07 2009-11-12 Yun-Chi Yang Semiconductor device and manufacturing method thereof
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US20100001317A1 (en) 2008-07-03 2010-01-07 Yi-Wei Chen Cmos transistor and the method for manufacturing the same
US7736982B2 (en) 2008-10-14 2010-06-15 United Microelectronics Corp. Method for forming a semiconductor device
US8647953B2 (en) * 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050280098A1 (en) * 2004-06-22 2005-12-22 Samsung Electronics Co., Ltd. Method of fabricating CMOS transistor and CMOS transistor fabricated thereby
US20060138398A1 (en) * 2004-12-28 2006-06-29 Fujitsu Limited Semiconductor device and fabrication method thereof
US20080076236A1 (en) * 2006-09-21 2008-03-27 Jih-Shun Chiang Method for forming silicon-germanium epitaxial layer
US20090166625A1 (en) * 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
U'Ren et al., "Influence of misfit strain on {311} facet development in selective epitaxial growth of Si1-xGex/Si(100) grown by gas-source molecular beam epitaxy", Thin Solid Films, Volume 365, Issue 1, 3 April 2000, Pages 147-150 *

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8647953B2 (en) * 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8841181B2 (en) * 2012-03-07 2014-09-23 United Microelectronics Corp. Method for fabricating semiconductor device and PMOS device fabricated by the method
US20130234216A1 (en) * 2012-03-07 2013-09-12 United Microelectronics Corp. Method for fabricating semiconductor device and pmos device fabricated by the method
USRE47562E1 (en) * 2012-05-11 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel semiconductor device fabrication
US20130320457A1 (en) * 2012-06-04 2013-12-05 Samsung Electronics Co., Ltd. Semiconductor devices including source/drain stressors and methods of manufacturing the same
US9502413B2 (en) 2012-06-04 2016-11-22 Samsung Electronics Co., Ltd. Semiconductor devices including raised source/drain stressors and methods of manufacturing the same
US10181525B2 (en) * 2012-09-21 2019-01-15 Samsung Electronics Co., Ltd. Semiconductor devices and methods of forming the same
US20170092769A1 (en) * 2012-09-21 2017-03-30 Samsung Electronics Co., Ltd. Semiconductor devices and methods of forming the same
US10115638B2 (en) * 2013-07-02 2018-10-30 Texas Instruments Incorporated Partially recessed channel core transistors in replacement gate flow
US9214551B2 (en) * 2014-02-19 2015-12-15 United Microelectronics Corp. Method for fabricating semiconductor device, and semiconductor device made thereby
CN105702727A (en) * 2014-11-28 2016-06-22 联华电子股份有限公司 Metal oxide semiconductor device and forming method thereof
CN105990440A (en) * 2015-03-16 2016-10-05 台湾积体电路制造股份有限公司 Structures and formation methods of a semiconductor device structure
US20180175198A1 (en) * 2016-02-09 2018-06-21 Globalfoundries Inc. Device with diffusion blocking layer in source/drain region
US10164099B2 (en) * 2016-02-09 2018-12-25 Globalfoundries Inc. Device with diffusion blocking layer in source/drain region
CN105742284A (en) * 2016-02-26 2016-07-06 上海华力微电子有限公司 Fabrication method of semiconductor device and semiconductor device
US20200243664A1 (en) * 2019-01-30 2020-07-30 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US10943991B2 (en) * 2019-01-30 2021-03-09 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US11545560B2 (en) 2019-01-30 2023-01-03 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20230097129A1 (en) * 2019-01-30 2023-03-30 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20210336007A1 (en) * 2019-08-07 2021-10-28 Samsung Electronics Co., Ltd. Semiconductor device
US11569350B2 (en) * 2019-08-07 2023-01-31 Samsung Electronics Co., Ltd. Semiconductor device
US20210375687A1 (en) * 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Dual dopant source/drain regions and methods of forming same
US11935793B2 (en) * 2020-05-29 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual dopant source/drain regions and methods of forming same

Also Published As

Publication number Publication date
US8647953B2 (en) 2014-02-11

Similar Documents

Publication Publication Date Title
US8647953B2 (en) Method for fabricating first and second epitaxial cap layers
US11749724B2 (en) Semiconductor device and method of forming the same
US7652336B2 (en) Semiconductor devices and methods of manufacture thereof
US9917191B2 (en) Semiconductor devices and methods of manufacture thereof
CN110085676B (en) Tunneling field effect transistor with semiconductor fin structure
US8377784B2 (en) Method for fabricating a semiconductor device
KR20150060525A (en) Fin structure of semiconductor device
US20130069172A1 (en) Semiconductor device and method for fabricating the same
US11776998B2 (en) Gate-all-around device
JP2009099702A (en) Semiconductor device and its manufacturing method
CN104916542A (en) Structure and method for semiconductor device
KR101892809B1 (en) Semiconductor device and manufacturing method thereof
CN107039276B (en) Semiconductor device and method of forming the same
US9276085B2 (en) Semiconductor structure and method for manufacturing the same
JP3998665B2 (en) Semiconductor device and manufacturing method thereof
CN102254824B (en) Semiconductor device and forming method thereof
JP2004165470A (en) Semiconductor device and its manufacturing method
US20150137247A1 (en) Semiconductor device and manufacturing method thereof
TWI521565B (en) Mos device and method for fabricating the same
US20230361181A1 (en) Semiconductor Device and Method of Forming the Same
TW201338052A (en) Method for fabricating MOS device

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIAO, CHIN-I;LAI, I-MING;CHIEN, CHIN-CHENG;REEL/FRAME:027255/0909

Effective date: 20111110

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: MARLIN SEMICONDUCTOR LIMITED, IRELAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:UNITED MICROELECTRONICS CORPORATION;REEL/FRAME:056991/0292

Effective date: 20210618

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8