US20080038095A1 - Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates - Google Patents

Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates Download PDF

Info

Publication number
US20080038095A1
US20080038095A1 US11/755,423 US75542307A US2008038095A1 US 20080038095 A1 US20080038095 A1 US 20080038095A1 US 75542307 A US75542307 A US 75542307A US 2008038095 A1 US2008038095 A1 US 2008038095A1
Authority
US
United States
Prior art keywords
loadlock
processing
arrangement
substrate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/755,423
Inventor
Rainer Ostermann
Arthur Buechel
Mustapha Elyaakoubi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TEL Solar AG
Original Assignee
OC Oerlikon Balzers AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by OC Oerlikon Balzers AG filed Critical OC Oerlikon Balzers AG
Priority to US11/755,423 priority Critical patent/US20080038095A1/en
Publication of US20080038095A1 publication Critical patent/US20080038095A1/en
Assigned to OERLIKON TRADING AG, TRUBBACH reassignment OERLIKON TRADING AG, TRUBBACH ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: OC OERLIKON BALZERS AG
Assigned to OERLIKON SOLAR AG, TRUBBACH reassignment OERLIKON SOLAR AG, TRUBBACH ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: OERLIKON TRADING AG, TRUBBACH
Assigned to TEL SOLAR AG reassignment TEL SOLAR AG CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: OERLIKON SOLAR AG, TRUBBACH
Assigned to OC OERLIKON BALZERS AG reassignment OC OERLIKON BALZERS AG LICENSE (SEE DOCUMENT FOR DETAILS). Assignors: TEL SOLAR AG
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/07Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for semiconductor wafers Not used, see H01L21/677
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/04Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated adapted for treating the charge in vacuum or special atmosphere
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • F27B5/12Arrangement of devices for charging
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D3/0084Charging; Manipulation of SC or SC wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D3/06Charging or discharging machines on travelling carriages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D2003/0034Means for moving, conveying, transporting the charge in the furnace or in the charging facilities
    • F27D2003/0065Lifts, e.g. containing the bucket elevators
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Definitions

  • the present invention is most generically directed on vacuum treating of extended relatively thin substrates as especially substrates for manufacturing solar panels, TFT display panels, or plasma display panels.
  • large and thin substrates as e.g. of glass have to be vacuum process treated thereby including deposition of semi-conductive layers.
  • the apparatuses for industrially and automatically treating such substrates become larger and larger. Because the substrates are maintained during transporting and vacuum processing in horizontal orientation the apparatus for such substrate processing especially becomes large with respect to their footprint surface. Because such treating facilities or apparatus are installed in clean room ambient, this leads to an increased volume thereof which significantly raises manufacturing costs.
  • handling robots become larger especially under consideration of their footprint surfaces and must be tailored to safely transport such substrates between loadlocks and processing stations in the vacuum treatment facilities.
  • the known apparatus is principally conceived with loadlock- and processing chambers vertically stacked one upon the other with a transport chamber performing substrate handling between a loadlock chamber and the processing chambers.
  • the known apparatus provides for two vertical towers, one thereof consisting of an input/output loadlock chamber and processing chambers arranged beneath the loadlock chamber, the other tower consisting only of processing chambers.
  • the two towers are arranged with workpiece handling openings facing each other on opposite sides of a central transport arrangement which has a lift type transport robot serving the openings of the two towers.
  • the overall processing of the substrate is done by exclusive vertical transportation of the substrates form loadlock towards the at least one processing chamber as provided, most preferably to more than one of such processing chambers, and by horizontal transport to serve the substrates into and from these chambers.
  • the loadlock- and processing tower thereby defines for a self-contained, all-in-one substrate processing module.
  • This second preferred mode has thus at least two loadlock- and processing towers each formed by one loadlock arrangement and one processing arrangement. Thereby one single transport arrangement is used to serve the two or more than two loadlock- and processing towers. Each of these towers thereby defines in a self-contained all-in-one desired multi-step substrate process inclusive input and output loadlocking the substrates. Thereby complete parallel, multi-step processing ability is realised of equal or different multi-step processes.
  • a processing chamber or compartment which is used for processing substrates from both or from a number of loadlock- and processing towers as provided.
  • a commonly used compartment or chamber may for instance be a intermediate storage chamber for substrates to be longterm equalized with respect to their temperature after having been treated and before being despatched by the respective loadlock to ambient.
  • Such a commonly used treatment compartment or chamber may be provided for instance beneath both towers as provided, thereby exploiting for substrate intermediate storage the overall footprint surface of the apparatus.
  • the apparatus according to the present invention may be conceived to provide for single substrate or for substrate batch processing or, in a mixed mode, for single substrate and substrate batch processing along the at least one loadlock and processing tower as provided.
  • the transport robot comprises at least one substantially horizontal substrate support for at least one substrate whereby the substrate support is driven and controllably movable in a vertical direction to bring the substrate in alignment with an opening to one of the addressed towers and which is further driven and controllably movable in horizontal direction to bring the substrate support into and out of one of the towers thereby leaving such substrate in the tower for processing or loadlocking or removing such substrate from processing or from loadlocking.
  • the substrate support is additionally rotatable about a vertical axis in a controllably driven manner. Nevertheless, if the substrate support is rotatable at all the rotational movement is preferably limited to at most 180° thereby even more preferred, to at most 45°. Nevertheless, in the today's most preferred embodiment the substrate support is exclusively moveable in a controllably driven manner linearly horizontally and vertically.
  • At least one of the processing arrangements comprises at least one substrate batch processing module wherein simultaneously more than one substrate are loaded, processed simultaneously and simultaneously removed.
  • a further preferred embodiment, which may also be combined with substrate batch handling and processing the at least one processing arrangement, comprises at least one single substrate processing module.
  • the at least one loadlock arrangement comprises an input/output loadlock arrangement whereat both loadlocking of at least one substrate from surrounding atmosphere towards the transport chamber as well as from the transport chamber towards surrounding atmosphere are performed.
  • such input/output loadlock arrangement comprises at least one single wafer input loadlock chamber.
  • said input/output loadlock arrangement comprises at least one single wafer output loadlock chamber.
  • Still a further mode of the addressed input/output loadlock arrangement comprises at least one of a substrate batch input loadlock chamber, of a substrate batch output loadlock chamber and of an input/output substrate batch loadlock chamber.
  • a further mode comprises one of the loadlock- and processing towers comprising one of an input and of output loadlock arrangement.
  • a further preferred mode of the second preferred embodiment has exclusively two of the loadlock- and processing towers which are arranged opposite one another i.e. on opposite sides of the transport vacuum chamber and thus facing each other with their respective openings via the transport chamber. This is a I-type concept.
  • the apparatus has still exclusively two loadlock- and processing towers which are arranged one aside the other and on one side of the vacuum transport chamber thereby concomitantly defining with the vacuum transport chamber a U-type footprint surface.
  • the apparatus has still exclusively two loadlock- and processing towers which concomitantly define for a Y-type footprint surface together with the transport chamber.
  • the apparatus has a substrate handling and processing ability for substrates which have an extent of at least 2 m 2 thereby preferably even of at least 4 m 2 .
  • the loadlock arrangement comprises at least one of a heating and of cooling arrangement for at least one substrate.
  • the vacuum treated substrates are one of solar panel substrates, of TFT display panel substrates and of plasma display panels.
  • FIG. 1 A schematic side view of a loadlock- and processing tower as an essential component of the present invention thereby additionally showing different operating modes of opening control at such tower.
  • FIG. 2 Still, in a schematic representation according to FIG. 1 , a first preferred embodiment of a loadlock- and processing tower.
  • FIG. 3 In a still schematic representation in analogy to that of the FIG. 1 or 2 , a still further preferred mode of a loadlock- and processing tower.
  • FIG. 4 In analogy to the representations of FIGS. 1 to 3 , a third preferred variant of a loadlock and processing tower.
  • FIG. 5 Still in a representation in analogy to that of the FIGS. 1 to 4 , a fourth preferred embodiment of a loadlock- and processing tower.
  • FIG. 6 Still in a representation in analogy to that of the FIGS. 1 to 5 , a fifth preferred variant of a loadlock- and processing tower.
  • FIG. 7 Still in a representation in analogy to that of the FIGS. 1 to 6 , a sixth preferred embodiment of a loadlock- and processing tower.
  • FIG. 8 In a schematic representation a top view upon a loadlock- and processing tower as of one of the embodiments according to FIG. 1 to 7 with a large surface substrate arranged therein.
  • FIG. 9 Schematically a positioning arrangement for sustaining large substrates at specific positions within a loadlock- and processing tower.
  • FIG. 10 In a schematic side view, a first preferred embodiment of an apparatus according to the present invention and operating according to the manufacturing method of the present invention, comprising one single loadlock- and processing tower served by a robot in a vacuum transport chamber.
  • FIG. 11 Again in a schematic representation a top view on the apparatus according to FIG. 10 .
  • FIG. 12 In a schematic side view in analogy to the representation of FIG. 10 , a second preferred embodiment of the apparatus according to the present invention and performing the method according to the present invention with two loadlock- and processing towers and an intermediate vacuum transport chamber.
  • FIG. 13 In a representation in analogy to that of FIG. 11 , a schematic top view on the embodiment of the apparatus as of FIG. 12 .
  • FIG. 14 In a simplified and schematic representation, a robot arrangement as e.g. provided in a transport chamber as used in the embodiment of the apparatus according to FIG. 12 .
  • FIG. 15 In a schematic top view, a substrate support as used in a robot e.g. according to FIG. 14 for supporting substrates during handling.
  • FIG. 16 In a schematic perspective view a third variant of a robot within a vacuum transport chamber as e.g. applied in the embodiment of FIG. 12 , for handling substrates to both loadlock- and processing towers.
  • FIG. 17 In a schematic top view a further preferred embodiment of an apparatus according to the present invention with two loadlock- and processing towers in U-configuration.
  • FIG. 18 and FIG. 19 in a representation in analogy to that of FIG. 17 , two further preferred embodiments of the two loadlock- and processing tower concept in U-configuration.
  • FIG. 20 A further embodiment of the apparatus according to the present invention with two loadlock- and processing towers arranged with respect to a common vacuum transport chamber in Y-configuration in top view.
  • FIG. 21 The embodiment according to FIG. 20 still in a schematic top view representation with a different mode of realising a robot within the vacuum transport chamber.
  • FIG. 22 Still in a schematic top view, a further embodiment of the apparatus according to the present invention with four loadlock- and processing towers served by a common rotatable robot within a vacuum transport chamber.
  • FIG. 23 In a more detailed but still schematic representation a side view of an apparatus according to the present invention and following up the concept as shown in FIG. 10 and 11 as one of today's most preferred embodiments.
  • FIG. 1 there is shown schematically the side view of a loadlock- and processing tower LLPT 1 as one essential part of the present invention.
  • the LLPT is a vertical tower which comprises a loadlock arrangement LLA and a processing arrangement PMA.
  • the loadlock arrangement LLA may comprise at least one single substrate input/output loadlock chamber, at least one single substrate input loadlock chamber, at least one single substrate output loadlock chamber, at least one substrate batch input/output load lock chamber, at least one substrate batch input loadlock chamber, at least one substrate batch output loadlock chamber.
  • the loadlock arrangement LLA of the LLPT 1 comprises at least one loadlock chamber.
  • the loadlock arrangement LLA as of FIG. 1 communicates on the one hand with an outside atmosphere AT as with ambient atmosphere, and on the other hand with a vacuum atmosphere V in a transport arrangement TA 2 .
  • the double line/double direction arrows in FIG. 1 indicate most generically two-directional handling of one or more than one substrate between the atmosphere AT, the interior of the loadlock arrangement LLA and the transport arrangement TA 2 .
  • a LLPT 1 may have a loadlock arrangement LLA which has only an input load lock arrangement or only an output load lock arrangement, i.e. a loadlock arrangement for transmitting substrates from the atmosphere AT towards the transport arrangement TA 2 , or for transmitting substrates from the transport arrangement TA 2 towards the atmosphere AT.
  • LLA loadlock arrangement LLA which has only an input load lock arrangement or only an output load lock arrangement, i.e. a loadlock arrangement for transmitting substrates from the atmosphere AT towards the transport arrangement TA 2 , or for transmitting substrates from the transport arrangement TA 2 towards the atmosphere AT.
  • handling of the one or more than one substrate between the loadlock arrangement LLA and atmosphere AT as well as the transport arrangement TA 2 is performed via respective openings O which are sealably and controllably closeable by respective loadlock valves, as schematically shown in FIG. 1 by the controllable, sealably closeable openings O S .
  • the loadlock- and processing tower LLPT 1 further comprises a process module arrangement PMA which comprises one or preferably more than one processing chambers.
  • processing chambers may thereby be single substrate processing chambers or substrate batch processing chambers.
  • the respective substrates or substrate batches are processed by a vacuum treatment process, thereby e.g. by a CVD, PECVD, PVD process, or by heating, cooling, etc. With respect to substrate heating and/or cooling, nevertheless such processing may be performed exclusively or additionally within the LLA.
  • the PMA and the transport arrangement TA are in two-directional substrate handling communication. Further and dependent on the type of processes performed in the PMA and their specific requirements with respect to cleanliness, such bi-directional substrate handling between PMA and TA occurs via openings O which are, as also schematically shown in FIG. 1 permanent open, O P , controllably closeable but not sealingly closeable, O ps , or controllably and sealingly closeable, O S .
  • the important feature of the loadlock- and processing tower LLPT 1 is that, vertically stacked, there is provided a loadlock arrangement LLA and a processing module arrangement PMA.
  • FIGS. 2 to 7 show in representations in analogy to that of FIG. 1 , different preferred forms of realising the LLPT 1 .
  • the LLPT 1 comprises a number, for instance and as shown, three single substrate input/output loadlock stations LL io forming the LLA according to FIG. 1 .
  • the PMA in the embodiment of FIG. 2 consists of a further number, for instance again three single substrate processing chambers PM 1 to PM 3 .
  • the structure of LLA is the same as in the embodiment of FIG. 2 .
  • the PMA consists of a single substrate batch processing module BPM.
  • the process module arrangement PMA is in fact equal to that as shown in FIG. 2 .
  • the loadlock arrangement LLA consists here of a first number of input single substrate loadlock modules LL i and of a second number as of output loadlock modules LL o .
  • the load lock arrangement LLA is conceived equally to the LLA of the embodiment of FIG. 4
  • the processing module arrangement PMA consists of a batch processing module BPM as of the embodiment of FIG. 3 .
  • the embodiment of the LLPT 1 as of FIG. 6 consists of a process module arrangement PMA realised e.g. as shown in one of the embodiments of FIGS. 2 to 5 .
  • the loadlock arrangement LLA consists of a substrate batch input/output load lock chamber BLL io .
  • the load lock arrangement LLA consists of a substrate batch input load lock module BLL i and of a substrate batch output load lock module BLL o .
  • the present invention is especially directed on vacuum treating large two-dimensionally extended substrates, e.g. with sizes of 2 m 2 , even of 4 m 2 and more.
  • FIG. 8 there is schematically shown a top view upon a LLPT 1 as of FIGS. 1 to 7 with a large surface substrate 3 positioned therein.
  • Such positioning is preferably applied in the loadlock arrangement LLA as well as in the process module arrangement PMA.
  • FIG. 9 schematically shows in a side view a part of a bottom wall 5 , be it of a module of the load lock arrangement LLA, be it of a module of the processing module arrangement PMA.
  • a large substrate 3 is deposited on a positioning arrangement which comprises, as schematically shown in FIG. 9 , e.g. a member of distinct deposition studs 7 whereupon, the thin and large extent substrate 3 resides.
  • LLPT 1 per se, which means that any kind of its detailed structure, as was exemplified with respect to the FIGS. 1 to 9 , may be applied. Only if specific measures should be or preferably are taken in consideration such LLPT in a specific embodiment to be described, then such embodiment of a LLPT will specifically be addressed.
  • FIG. 10 there is shown schematically a side view of a first preferred embodiment of an apparatus according to the present invention for performing, according to the present invention, a method for manufacturing vacuum treated, substantially plane substrates.
  • This first preferred embodiment essentially consists of one single LLPT 1 .
  • the LLPT I communicates by respective loadlock- and process module-openings, which are vertically aligned, with a transport arrangement TA 2 which latter performs handling of the substrates into and from the loadlock arrangement LLA as well as into and from the process module arrangement PMA.
  • the transport arrangement 2 comprises a transport robot 9 which performs substrate transport according to h horizontally into and from respective modules of the loadlock arrangement LLA and of the process module arrangement PMA and further performs vertical transport v from a position aligned with at least one respective first opening towards alignment with at least one respective second opening of the PMA and LLA.
  • FIG. 11 schematically shows the apparatus as of FIG. 10 in top view.
  • this first preferred embodiment of the apparatus comprises one transport arrangement TA 2 which serves one single LLPT I which latter comprises a loadlock arrangement LLA as well as process module arrangement PMA.
  • the loadlock arrangement LLA comprises input/output loadlocking ability as schematically shown in FIG. 10 by the double arrow i/o.
  • the LLPT 1 defines for all loadlocking and processing which is necessary for a predetermined multi-processing step treatment of at least one substrate.
  • the transport arrangement TA 2 is provided to serve the substrate between respective openings at the LLPT 1 .
  • the transport arrangement TA 2 needs only perform by its robotic transporting member 9 horizontal—h—and vertical—v—transport movements.
  • the LLPT 1 Whenever a different processing with different processing steps is to be performed on substrates, only the LLPT 1 must be exchanged. Due to the fact that all the modules or stations which are necessary for an intended substrate processing are stapled vertically one upon the other to result in the addressed LLPT 1 , the footprint of all the modules necessary for such processing is reduced to a minimum. Additionally, the footprint of the transport arrangement is reduced to the minimum necessary to serve horizontally the respective modules of the LLA and PMA. In opposition to the teaching of the U.S. Pat. No. 5,275,709 the preferred apparatus as of FIGS. 10 and 11 in fact provides for a tower of modules which provide for all processing steps desired inclusive inputting and outputting the substrates to and from processing stations.
  • FIGS. 12 and 13 there is shown, again schematically, the second preferred embodiment of an apparatus according to the present invention performing a manufacturing method according to the present invention.
  • a first LLPT 1 a and a second LLPT 1 b are both in communication with a common transport arrangement TA.
  • the conceptions of the LLA a of the first LLPT 1 a as well as of PMA a of that first LLPT 1 a are selected to perform a first well-defined processing sequence with different processing steps upon substrates 3 a.
  • each tower 1 a and 1 b per se provides for the complete ability to perform inputting/outputting of respective substrates to the respective vacuum treatment processes as well as the complete set of processing modules to perform the requested tower specific processing.
  • the transport arrangement 2 ab has the ability to perform horizontal and vertical substrate transport with respect to the first LLPT 1 a and with respect to the second LLPT 1 b completely independently.
  • a robot 9 ab is controlled to perform horizontal transportation h a with respect to tower 1 a independently from such horizontal transportation h b with respect to the second tower 1 b and in analogy to perform vertical v a transportation of substrates processed in tower 1 a independently from such transport v b with respect to the second tower 1 b.
  • FIG. 14 there is schematically shown a robot 11 which may be applied within the vacuum chamber of the transport arrangement TA as of FIGS. 12 and 13 .
  • FIG. 14 there is provided within the vacuum chamber of the transport arrangements (not shown) a stationary central support post 13 .
  • a first sliding arrangement 14 a which is vertically slideable—va—in controlled driven manner.
  • a number of single substrate supporting member 15 a as shown e.g. three. They project horizontally and are vertically mounted distant from each other and, in one preferred embodiment, with a controllably variable pitch distance p a .
  • the pitch distance p a in this preferred embodiment may be controllably varied, e.g. to accommodate for substrate handling openings at the LLA and/or PMA with different vertical pitch distances.
  • Each of the supporting members 15 a may be expanded and retracted horizontally—h—, driven by telescopic drives, as shown within encapsulations 17 a .
  • the supporting members 15 a have supports with supporting pins 20 whereupon the substrates 3 a to be processed in LLPT 1 a are disposed during horizontal and vertical transport.
  • the horizontally movable supports 19 a and 19 b are constructed in a forklike manner as shown in FIG. 15 .
  • FIG. 15 shows a respective substrate 3 a,b residing on the support 19 a,b and being thereby deposited on support pins 21 in a LLA or PMA.
  • an apparatus with two distinct loadlock- and processing towers LLPT 1 a and 1 b which each provide for the complete set of modules to perform substrate processing inclusive feeding such substrate from surrounding atmosphere AT to the vacuum processing and from vacuum processing back to surrounding atmosphere.
  • the robot 9 ab within the transport arrangements TA 2 ab needs not perform any rotational movement.
  • Substrate transport is realised merely by horizontal—h—and vertical—v—substrate movement.
  • a controlling unit 22 is provided for controlling the horizontal transport movements h a,b , the vertical transport movements v a,b with respect to their extent, timing of respective horizontal and vertical transport cycles as well as, if necessary, pitch distance p a of the respective support arrangements.
  • Such a control unit 22 is preferably realised as a free programmable unit so that in dependency of an intended processing especially timing of the transport cycles may flexibly be adapted.
  • Such a controlling unit 22 preferably also controls processing and loadlock cycles as schematically shown in FIG. 14 with the control connections to LLA ab and PMA ab .
  • the transport robot within transport arrangement TA 9 ab in fact provides only for one single up/down moving facility.
  • such robot comprises a drawerlike member 25 which is controllably drivable in vertical direction v up and down guide 27 .
  • a slide 29 which has a frame or forklike shape and which may be horizontal driven into a respective module of the loadlock- or process module arrangement.
  • this embodiment of a transport robot provides the possibility to propel the slide 29 to the left or to the right hand side of the guide members 27 .
  • the loadlock arrangement LLA a may be exclusively conceived as an input loadlock module and the LLA b in LLPT 1 b exclusively as an output loadlock module.
  • a processing module which is used for substrates treated in the LLPT 1 a and treated in the LLPT 1 b.
  • each LLPT to be a self-contained overall substrate processing tool including in- and out-loadlocking so that each tower defines for such a processing.
  • the apparatus according to the present invention in its most preferred embodiments as of FIGS. 10 and 12 does not necessitate any rotational movement about the vertical axis of a robot handling the substrates.
  • the embodiment as of FIG. 12 may be called I-type due to its I-shaped footprint.
  • FIGS. 17, 18 , and 19 further preferred embodiments of the apparatus according to the present invention are schematically shown representations in analogy to that of FIG. 13 . These embodiments do also not necessitate any rotational movement of a robot within the transport arrangement TA, about a vertical axis, so as to serve the openings of the LLPT's.
  • the two LLPT's 1 a and 1 b are provided in aside by side position.
  • two robots 9 a and 9 b are installed, each serving the modules of one of the LLPT 1 a, 1 b via the respective openings.
  • Each of the robots 9 a, 9 b provides for a vertical up and down movement v a ,v b in a controllably driven manner.
  • Each of the robots 9 a, 9 b further provides for horizontal forth and back movement h a and h b towards and into or from the modules of the respective LLPT 1 a, 1 b.
  • the robots 9 a and 9 b may e.g. be principally conceived according to the robot shown in FIG. 14 with support members 15 a and 15 b not pointing from each other but being provided on one side of support 13 and in parallelism.
  • the movements of the two robots 9 a and 9 b may be independently controlled preferably by means of a freely reprogrammable control unit (not shown). If a time-synchronous processing shall be established at the two LLPT 1 a, 1 b, either the two independently controllable robots 9 a and 9 b are synchronously operated, or there is provided a single robot which serves simultaneously both LLPT 1 a, 1 b.
  • FIG. 18 Such an embodiment is shown in FIG. 18 again schematically and in a representation in analogy to that of FIG. 17 .
  • one single robot 9 ab which provides combined common vertical transport movement v ab of substrates into alignment with openings at the LLPT 1 a and 1 b.
  • the horizontal movements h a ,h b are either synchronised, or are controlled specifically for each LLPTM.
  • FIG. 19 A third embodiment of U-shaped concept is shown in FIG. 19 .
  • the one robot 9 ab serves by vertical transport movement v ab and horizontal transport movement h ab both LLPT's 1 a and 1 b. To do so the robot 9 ab performs a horizontal movement w ab as shown.
  • FIG. 20 a variant is shown in FIG. 20 , as an Y-type concept.
  • the two LLPT's 1 a and 1 b are arranged at the transport arrangement TA so as to define an angle ⁇ .
  • the robot concept is principally the same as shown in FIG. 18 .
  • the single robot 9 ab serves the modules of both angularly displaced LLPT 1 a and 1 b. Thereby, such robot may be realised very similar to that shown in FIG. 14 , thereby providing the supporting members not opposite each others but at the desired angle ⁇ about the central axis of post 13 .
  • FIG. 21 For such an Y-type embodiment of the apparatus a further mode of realising the robot within transport arrangement TA, principally according to FIG. 19 , is shown in FIG. 21 .
  • the robot 9 ab is conceived to rotate about the central axis by at least the angle of ⁇ so as to serve the modules of both angularly displaced LLPT's 1 a, 1 b.
  • LLPT's allows flexible full parallel processing of different or equal multi-step processes.
  • FIG. 22 it is absolutely possible as shown in FIG. 22 to conceive the transport arrangement with a transport robot 9 rot which is rotatable about a central axis so as to serve more than two as shown e.g. for LLPT's mounted circularly around the transport, arrangement TA.
  • more preferred embodiments of the apparatus according to the present invention are the single LLPT structure as of FIG. 10 , two LLPT-structures of I- or U- or possibly Y-type as of FIGS. 17 to 21 .
  • FIG. 23 there is shown a today's preferred apparatus according to the present invention. It is conceived according to the concept of FIG. 10 .
  • Such preferred embodiment comprises one single LLPT which consists, from top to bottom, of a two-substrate batch processing module BPM 1 followed by a two-substrate batch input and output load lock module BLL io , followed by a second two-substrate batch processing module BPM 2 .
  • the loadlock module BLL io is subdivided into a first input loadlock compartment C i , followed by a first output loadlock compartment C o , followed by a second input loadlock compartment C i and finally a second output loadlock compartment C o .
  • the respective openings 33 which establish communication between BPM 1 , BLL io , BPM 2 and a transport arrangement TA, are provided with respectively controlled valves 35 .
  • a robot 39 which is drivingly moveable in a controlled manner in horizontal direction h and comprises a two-substrate batch transport member 41 with an upper and with a lower substrate transport fork 43 U and 43 l .
  • the overall arrangement of the two horizontal transport members 41 is drivingly moveable vertically in a controlled manner:
  • the pitch between the upper substrate carrier fork 43 u and a lower substrate carrier fork 43 l is selected to be in agreement with the pitch between the openings at each of the batch processing modules and the batch loadlock module.
  • Such an apparatus is most suited to be used for processing large substrates of at least 2 m 2 , even of at least 4 m 2 as for solar panel, TFT or plasma display panel manufacturing.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

A vacuum transport chamber has a transport robot arrangement. A processing arrangement has at least one processing station communicating by at least one workpiece pass-through opening with the vacuum transport chamber. A loadlock arrangement communicates by at least one workpiece pass-through opening with an atmosphere outside the vacuum transport chamber and the processing arrangement. One single loadlock and processing tower is formed by the processing arrangement and the loadlock arrangement being arranged vertically on upon the other.

Description

  • This application is a continuation of application Ser. No. 10/713,339 filed Nov. 14, 2003. Application Ser. No. 10/713,339 claims the benefit of U.S. Provisional patent application Ser. No. 60/426,697 filed Nov. 15, 2002, the contents of which are incorporated herein by reference in their entirety.
  • The present invention is most generically directed on vacuum treating of extended relatively thin substrates as especially substrates for manufacturing solar panels, TFT display panels, or plasma display panels. Here large and thin substrates, as e.g. of glass have to be vacuum process treated thereby including deposition of semi-conductive layers.
  • Industrial manufacturing of such extended substrates necessitates careful handling. Thereby it has become customary to maintain such substrates horizontally oriented during transporting and during vacuum processing in spite of the fact that particle contamination of the substrate may be more critical in a horizontal position than in were vertical position. Horizontal transporting and processing has nevertheless considerable advantages with respect to stable, vibration free support of the substrates. With respect to techniques for handling and vacuum processing such substrates attention is drawn to the following documents
      • U.S. Pat. No. 6,391,377;
      • U.S. Pat. No. 6,177,129;
      • U.S. Pat. No. 5,515,986;
      • U.S. Pat. No. 6,296,735,
        all of the same applicant as the present application.
  • Further attention is drawn to the
      • U.S. Pat. No. 5,512,320;
      • U.S. Pat. No. 5,798,739;
      • U.S. Pat. No. 6,238,161.
  • As the substrates to be vacuum treated and of the type mentioned above becomes lager and larger, on the one hand the apparatuses for industrially and automatically treating such substrates become larger and larger. Because the substrates are maintained during transporting and vacuum processing in horizontal orientation the apparatus for such substrate processing especially becomes large with respect to their footprint surface. Because such treating facilities or apparatus are installed in clean room ambient, this leads to an increased volume thereof which significantly raises manufacturing costs.
  • Further, handling robots become larger especially under consideration of their footprint surfaces and must be tailored to safely transport such substrates between loadlocks and processing stations in the vacuum treatment facilities.
  • Form the U.S. Pat. No. 5,275,709 there is known an apparatus for coating large substrates which is apparently especially compact, which is conceived with a minimum of chambers and apparently permits multilayer coating of high quality of such substrates. This known apparatus shall further apparently be comparatively inexpensive to manufacture by having a minimum of chamber wall surfaces.
  • The known apparatus is principally conceived with loadlock- and processing chambers vertically stacked one upon the other with a transport chamber performing substrate handling between a loadlock chamber and the processing chambers. By the fact that loadlock and processing chambers are stacked one upon the other in a tower-like concept, the overall footprint of the apparatus is minimized although handling and processing the substrates in horizontal orientation.
  • More specifically the known apparatus provides for two vertical towers, one thereof consisting of an input/output loadlock chamber and processing chambers arranged beneath the loadlock chamber, the other tower consisting only of processing chambers. The two towers are arranged with workpiece handling openings facing each other on opposite sides of a central transport arrangement which has a lift type transport robot serving the openings of the two towers.
  • Although the apparatus as known from this U.S. Pat. No. 5,275,709 proposes a concept which, considered from the principal of construing a multiple chamber plant by vertical stapling, minimizes its footprint surface, it has the drawback that it provides for a multiple processing facility whereat both towers are exploited to perform one single substrate multi-step process. The substrates are all input from ambient to the processing facility by one input/output loadlock chamber, are then transported as desired forth and back between processing stations at both of the towers and are finally removed from the processing facility by the said one loadlock chamber.
  • It is an object of the present invention on the one hand to maintain the principal tower structure concept as of the U.S. Pat. No. 5,275,709 for large substrate processing thereby further improving simplicity of substrate handling and improving flexibility with respect to treating such substrates by well defined multiple steps substrate processing.
  • This object is realized by an apparatus for vacuum treating two-dimensionally extended substrates comprising
      • (a) a vacuum transport chamber with a transport robot arrangement;
      • (b) a processing arrangement with at least one processing station communicating by at least one workpiece pass-through opening with the vacuum transport chamber;
      • (c) a loadlock arrangement communicating by at least one workpiece pass-through opening with the vacuum transport chamber and by at least one further workpiece pass-through opening with an atmosphere outside said vacuum transport chamber as well as said processing arrangement;
      • (d1) one single loadlock- and processing tower formed by said processing arrangement and said loadlock arrangement, arranged vertically one upon the other.
  • Thereby the overall processing of the substrate is done by exclusive vertical transportation of the substrates form loadlock towards the at least one processing chamber as provided, most preferably to more than one of such processing chambers, and by horizontal transport to serve the substrates into and from these chambers. The loadlock- and processing tower thereby defines for a self-contained, all-in-one substrate processing module.
  • In a second preferred mode such apparatus comprises
      • (a) a vacuum transport chamber with a transport robot arrangement;
      • (b) a processing arrangement with at least one processing station communicating by at least one workpiece pass-through opening with the vacuum transport chamber;
      • (c) a loadlock arrangement which communicates by at least one workpiece pass-through opening with the vacuum transport chamber and by at least one further workpiece pass-through opening with an atmosphere which is outside the vacuum transport chamber and the processing arrangement thus with a surrounding atmosphere of the apparatus;
      • (d2) at least two loadlock- and processing towers, each formed by one loadlock arrangement and one performing arrangement.
  • This second preferred mode has thus at least two loadlock- and processing towers each formed by one loadlock arrangement and one processing arrangement. Thereby one single transport arrangement is used to serve the two or more than two loadlock- and processing towers. Each of these towers thereby defines in a self-contained all-in-one desired multi-step substrate process inclusive input and output loadlocking the substrates. Thereby complete parallel, multi-step processing ability is realised of equal or different multi-step processes.
  • In spite of the fact that more than two of the loadlock- and processing towers may be grouped around the transport chamber an optimum structure with respect to simplicity and space, especially footprint surface, in a two loadlock- and processing tower concept with one transport chamber. Along the towers either identical substrate multiple step processing is performed or there is performed in each of the loadlock- and processing towers a distinct desired and different process.
  • In spite of the fact that the principal according to the present invention is to perform in each of the loadlock- and processing towers provided a self-contained multiple step substrate process it shall not be excluded that there might be provided a processing chamber or compartment which is used for processing substrates from both or from a number of loadlock- and processing towers as provided. Such a commonly used compartment or chamber may for instance be a intermediate storage chamber for substrates to be longterm equalized with respect to their temperature after having been treated and before being despatched by the respective loadlock to ambient. Such a commonly used treatment compartment or chamber may be provided for instance beneath both towers as provided, thereby exploiting for substrate intermediate storage the overall footprint surface of the apparatus.
  • As will be explained more in details later, the apparatus according to the present invention may be conceived to provide for single substrate or for substrate batch processing or, in a mixed mode, for single substrate and substrate batch processing along the at least one loadlock and processing tower as provided.
  • In a further preferred improvement of both preferred embodiments as outlined above the transport robot comprises at least one substantially horizontal substrate support for at least one substrate whereby the substrate support is driven and controllably movable in a vertical direction to bring the substrate in alignment with an opening to one of the addressed towers and which is further driven and controllably movable in horizontal direction to bring the substrate support into and out of one of the towers thereby leaving such substrate in the tower for processing or loadlocking or removing such substrate from processing or from loadlocking.
  • In a still further preferred improvement the substrate support is additionally rotatable about a vertical axis in a controllably driven manner. Nevertheless, if the substrate support is rotatable at all the rotational movement is preferably limited to at most 180° thereby even more preferred, to at most 45°. Nevertheless, in the today's most preferred embodiment the substrate support is exclusively moveable in a controllably driven manner linearly horizontally and vertically.
  • In a further preferred improvement of both the embodiments as outlined above at least one of the processing arrangements comprises at least one substrate batch processing module wherein simultaneously more than one substrate are loaded, processed simultaneously and simultaneously removed. A further preferred embodiment, which may also be combined with substrate batch handling and processing the at least one processing arrangement, comprises at least one single substrate processing module.
  • Turning to specific conception of the loadlock arrangement in preferred modes, in one preferred improvement of both preferred embodiments the at least one loadlock arrangement comprises an input/output loadlock arrangement whereat both loadlocking of at least one substrate from surrounding atmosphere towards the transport chamber as well as from the transport chamber towards surrounding atmosphere are performed.
  • In a further preferred mode such input/output loadlock arrangement comprises at least one single wafer input loadlock chamber. In a still further mode the said input/output loadlock arrangement comprises at least one single wafer output loadlock chamber. Still a further mode of the addressed input/output loadlock arrangement comprises at least one of a substrate batch input loadlock chamber, of a substrate batch output loadlock chamber and of an input/output substrate batch loadlock chamber. According to the second preferred embodiment with at least two loadlock- and processing towers, a further mode comprises one of the loadlock- and processing towers comprising one of an input and of output loadlock arrangement.
  • A further preferred mode of the second preferred embodiment has exclusively two of the loadlock- and processing towers which are arranged opposite one another i.e. on opposite sides of the transport vacuum chamber and thus facing each other with their respective openings via the transport chamber. This is a I-type concept.
  • In a second preferred mode of that second preferred embodiment, the apparatus has still exclusively two loadlock- and processing towers which are arranged one aside the other and on one side of the vacuum transport chamber thereby concomitantly defining with the vacuum transport chamber a U-type footprint surface.
  • In a third preferred mode, nevertheless less preferred than the just addressed second mode of the second preferred embodiment, the apparatus has still exclusively two loadlock- and processing towers which concomitantly define for a Y-type footprint surface together with the transport chamber.
  • In a still further preferred mode of both preferred embodiments as outlined above, the apparatus has a substrate handling and processing ability for substrates which have an extent of at least 2 m2 thereby preferably even of at least 4 m2.
  • In a further preferred mode of both preferred embodiments, the loadlock arrangement comprises at least one of a heating and of cooling arrangement for at least one substrate.
  • According to the present invention there is further proposed a method for manufacturing two-dimensionally extended vacuum treated substrates which comprise the steps of:
      • introducing at least one horizontal substrate horizontally into a loadlock chamber;
      • transporting said at least one horizontal substrate from said loadlock chamber horizontally into a vacuum transport chamber;
      • controllably moving said horizontal substrate vertically up or down;
      • horizontally introducing said horizontal substrate into a processing chamber;
      • treating said horizontal substrate in said processing chamber;
      • horizontally removing said treated horizontal substrate from said processing station back into said vacuum transport chamber,
      • vertically transporting said horizontal substrate upwards or downwards within said transport chamber;
      • horizontally transporting said treated horizontal substrate from said transport chamber into a loadlock chamber;
      • removing said treated horizontal substrate horizontally from said loadlock chamber thereby moving said substrate exclusively linearly.
  • Thereby, in a preferred embodiment of the method according to the present invention the vacuum treated substrates are one of solar panel substrates, of TFT display panel substrates and of plasma display panels.
  • The present invention will now be described more in detail with the help of figures which show examples of preferred embodiments of the present invention.
  • The figures show:
  • FIG. 1 A schematic side view of a loadlock- and processing tower as an essential component of the present invention thereby additionally showing different operating modes of opening control at such tower.
  • FIG. 2 Still, in a schematic representation according to FIG. 1, a first preferred embodiment of a loadlock- and processing tower.
  • FIG. 3 In a still schematic representation in analogy to that of the FIG. 1 or 2, a still further preferred mode of a loadlock- and processing tower.
  • FIG. 4 In analogy to the representations of FIGS. 1 to 3, a third preferred variant of a loadlock and processing tower.
  • FIG. 5 Still in a representation in analogy to that of the FIGS. 1 to 4, a fourth preferred embodiment of a loadlock- and processing tower.
  • FIG. 6 Still in a representation in analogy to that of the FIGS. 1 to 5, a fifth preferred variant of a loadlock- and processing tower.
  • FIG. 7 Still in a representation in analogy to that of the FIGS. 1 to 6, a sixth preferred embodiment of a loadlock- and processing tower.
  • FIG. 8 In a schematic representation a top view upon a loadlock- and processing tower as of one of the embodiments according to FIG. 1 to 7 with a large surface substrate arranged therein.
  • FIG. 9 Schematically a positioning arrangement for sustaining large substrates at specific positions within a loadlock- and processing tower.
  • FIG. 10 In a schematic side view, a first preferred embodiment of an apparatus according to the present invention and operating according to the manufacturing method of the present invention, comprising one single loadlock- and processing tower served by a robot in a vacuum transport chamber.
  • FIG. 11 Again in a schematic representation a top view on the apparatus according to FIG. 10.
  • FIG. 12 In a schematic side view in analogy to the representation of FIG. 10, a second preferred embodiment of the apparatus according to the present invention and performing the method according to the present invention with two loadlock- and processing towers and an intermediate vacuum transport chamber.
  • FIG. 13 In a representation in analogy to that of FIG. 11, a schematic top view on the embodiment of the apparatus as of FIG. 12.
  • FIG. 14 In a simplified and schematic representation, a robot arrangement as e.g. provided in a transport chamber as used in the embodiment of the apparatus according to FIG. 12.
  • FIG. 15 In a schematic top view, a substrate support as used in a robot e.g. according to FIG. 14 for supporting substrates during handling.
  • FIG. 16 In a schematic perspective view a third variant of a robot within a vacuum transport chamber as e.g. applied in the embodiment of FIG. 12, for handling substrates to both loadlock- and processing towers.
  • FIG. 17 In a schematic top view a further preferred embodiment of an apparatus according to the present invention with two loadlock- and processing towers in U-configuration.
  • FIG. 18 and FIG. 19 in a representation in analogy to that of FIG. 17, two further preferred embodiments of the two loadlock- and processing tower concept in U-configuration.
  • FIG. 20 A further embodiment of the apparatus according to the present invention with two loadlock- and processing towers arranged with respect to a common vacuum transport chamber in Y-configuration in top view.
  • FIG. 21 The embodiment according to FIG. 20 still in a schematic top view representation with a different mode of realising a robot within the vacuum transport chamber.
  • FIG. 22 Still in a schematic top view, a further embodiment of the apparatus according to the present invention with four loadlock- and processing towers served by a common rotatable robot within a vacuum transport chamber.
  • FIG. 23 In a more detailed but still schematic representation a side view of an apparatus according to the present invention and following up the concept as shown in FIG. 10 and 11 as one of today's most preferred embodiments.
  • In FIG. 1 there is shown schematically the side view of a loadlock- and processing tower LLPT 1 as one essential part of the present invention. The LLPT is a vertical tower which comprises a loadlock arrangement LLA and a processing arrangement PMA. As will be exemplified later, the loadlock arrangement LLA may comprise at least one single substrate input/output loadlock chamber, at least one single substrate input loadlock chamber, at least one single substrate output loadlock chamber, at least one substrate batch input/output load lock chamber, at least one substrate batch input loadlock chamber, at least one substrate batch output loadlock chamber.
  • Thus, principally the loadlock arrangement LLA of the LLPT 1 comprises at least one loadlock chamber. The loadlock arrangement LLA as of FIG. 1 communicates on the one hand with an outside atmosphere AT as with ambient atmosphere, and on the other hand with a vacuum atmosphere V in a transport arrangement TA 2. The double line/double direction arrows in FIG. 1 indicate most generically two-directional handling of one or more than one substrate between the atmosphere AT, the interior of the loadlock arrangement LLA and the transport arrangement TA 2.
  • Nevertheless, it must be pointed out that in some configurations of an overall apparatus according to the present invention, a LLPT 1 may have a loadlock arrangement LLA which has only an input load lock arrangement or only an output load lock arrangement, i.e. a loadlock arrangement for transmitting substrates from the atmosphere AT towards the transport arrangement TA 2, or for transmitting substrates from the transport arrangement TA 2 towards the atmosphere AT.
  • As further schematically shown handling of the one or more than one substrate between the loadlock arrangement LLA and atmosphere AT as well as the transport arrangement TA 2 is performed via respective openings O which are sealably and controllably closeable by respective loadlock valves, as schematically shown in FIG. 1 by the controllable, sealably closeable openings OS.
  • The loadlock- and processing tower LLPT 1 further comprises a process module arrangement PMA which comprises one or preferably more than one processing chambers. Such processing chambers may thereby be single substrate processing chambers or substrate batch processing chambers. Therein the respective substrates or substrate batches are processed by a vacuum treatment process, thereby e.g. by a CVD, PECVD, PVD process, or by heating, cooling, etc. With respect to substrate heating and/or cooling, nevertheless such processing may be performed exclusively or additionally within the LLA.
  • As further schematically shown in FIG. 1, generically the PMA and the transport arrangement TA are in two-directional substrate handling communication. Further and dependent on the type of processes performed in the PMA and their specific requirements with respect to cleanliness, such bi-directional substrate handling between PMA and TA occurs via openings O which are, as also schematically shown in FIG. 1 permanent open, OP, controllably closeable but not sealingly closeable, Ops, or controllably and sealingly closeable, OS.
  • The important feature of the loadlock- and processing tower LLPT 1 is that, vertically stacked, there is provided a loadlock arrangement LLA and a processing module arrangement PMA.
  • FIGS. 2 to 7 show in representations in analogy to that of FIG. 1, different preferred forms of realising the LLPT 1.
  • According to FIG. 2 the LLPT 1 comprises a number, for instance and as shown, three single substrate input/output loadlock stations LLio forming the LLA according to FIG. 1. The PMA in the embodiment of FIG. 2 consists of a further number, for instance again three single substrate processing chambers PM1 to PM3.
  • In the embodiment of the LLPT 1 of FIG. 3 the structure of LLA is the same as in the embodiment of FIG. 2. The PMA consists of a single substrate batch processing module BPM. In the embodiment of FIG. 4 the process module arrangement PMA is in fact equal to that as shown in FIG. 2. The loadlock arrangement LLA consists here of a first number of input single substrate loadlock modules LLi and of a second number as of output loadlock modules LLo. In the embodiment of FIG. 5 the load lock arrangement LLA is conceived equally to the LLA of the embodiment of FIG. 4, whereas the processing module arrangement PMA consists of a batch processing module BPM as of the embodiment of FIG. 3.
  • The embodiment of the LLPT 1 as of FIG. 6 consists of a process module arrangement PMA realised e.g. as shown in one of the embodiments of FIGS. 2 to 5. The loadlock arrangement LLA consists of a substrate batch input/output load lock chamber BLLio. According to the embodiment as schematized in FIG. 7 still with a process module arrangement PMA, e.g. as exemplified at the embodiments of FIGS. 2 to 5, the load lock arrangement LLA consists of a substrate batch input load lock module BLLi and of a substrate batch output load lock module BLLo.
  • These examples of preferred embodiments do clearly teach the skilled artisan how to combine specifically tailored loadlock- and process modules to perform predetermined substrate processing, thereby maintaining the basic concept of the LLPT 1, namely of having a vertical tower with a loadlock arrangement and with a processing module arrangement.
  • Taking e.g. the embodiment of FIG. 2, in some instances it might be advantageous not to subdivide the vertical extent of the LLPT 1 into an upper loadlock- and a bottom process module arrangement, but to mix up modules of the LLA and modules of the PMA along the vertical extent of the loadlock- and processing tower LLPT 1. As was outlined in the introductory part of the present description, the present invention is especially directed on vacuum treating large two-dimensionally extended substrates, e.g. with sizes of 2 m2, even of 4 m2 and more.
  • Thereby, in a most preferred embodiment of the present invention overall processing, inclusive loadlocking, is performed with the substrates in horizontal position. This allows safe overall handling of the large surface and relatively thin substrates. In horizontal position they may be accurately held, during loadlocking transitions, during processing, and during transporting within the processing apparatus.
  • In FIG. 8 there is schematically shown a top view upon a LLPT 1 as of FIGS. 1 to 7 with a large surface substrate 3 positioned therein. Such positioning is preferably applied in the loadlock arrangement LLA as well as in the process module arrangement PMA. FIG. 9 schematically shows in a side view a part of a bottom wall 5, be it of a module of the load lock arrangement LLA, be it of a module of the processing module arrangement PMA. A large substrate 3 is deposited on a positioning arrangement which comprises, as schematically shown in FIG. 9, e.g. a member of distinct deposition studs 7 whereupon, the thin and large extent substrate 3 resides.
  • With respect to possible constructions of process modules of the process module arrangement PMA and/or of loadlock modules of the load lock arrangement LLA, specific attention is drawn to the U.S. Pat. No. 6,296,735 thereby specifically to its FIGS. 1, 2, 3, 5 to 11, 15 to 22, and the respective description, further to the U.S. Pat. No. 5,515,986 especially with respect to FIGS. 1, 2, 3, 5 to 9 further to the U.S. Pat. No. 6,177,129 thereby especially to FIGS. 1 to 4, 7 to 8 as concerns process module- and loadlock module conception, handling of the substrates as by the present transport arrangement Ti and as will be described more in detail and as concerns overall timing and processing as will also be addressed more specifically later. Here also this document shall specifically be addressed with respective possible conception of process module and/or load lock module within the respective load lock arrangement and process module arrangement. Nevertheless, it must be pointed out that providing in one single tower as here described with respect to the FIGS. 1 to 9 load lock arrangement and processing module arrangement, it is not taught in the documents, just mentioned.
  • In the following description of the apparatus and method of manufacturing according to the present invention, we will refer to the previously described LLPT 1 per se, which means that any kind of its detailed structure, as was exemplified with respect to the FIGS. 1 to 9, may be applied. Only if specific measures should be or preferably are taken in consideration such LLPT in a specific embodiment to be described, then such embodiment of a LLPT will specifically be addressed.
  • In FIG. 10 there is shown schematically a side view of a first preferred embodiment of an apparatus according to the present invention for performing, according to the present invention, a method for manufacturing vacuum treated, substantially plane substrates. This first preferred embodiment essentially consists of one single LLPT 1. The LLPT I communicates by respective loadlock- and process module-openings, which are vertically aligned, with a transport arrangement TA 2 which latter performs handling of the substrates into and from the loadlock arrangement LLA as well as into and from the process module arrangement PMA. The transport arrangement 2 comprises a transport robot 9 which performs substrate transport according to h horizontally into and from respective modules of the loadlock arrangement LLA and of the process module arrangement PMA and further performs vertical transport v from a position aligned with at least one respective first opening towards alignment with at least one respective second opening of the PMA and LLA. FIG. 11 schematically shows the apparatus as of FIG. 10 in top view.
  • Thus, this first preferred embodiment of the apparatus according to the present invention comprises one transport arrangement TA 2 which serves one single LLPT I which latter comprises a loadlock arrangement LLA as well as process module arrangement PMA. Thereby, the loadlock arrangement LLA comprises input/output loadlocking ability as schematically shown in FIG. 10 by the double arrow i/o. In this embodiment the LLPT 1 defines for all loadlocking and processing which is necessary for a predetermined multi-processing step treatment of at least one substrate. The transport arrangement TA 2 is provided to serve the substrate between respective openings at the LLPT 1. The transport arrangement TA 2 needs only perform by its robotic transporting member 9 horizontal—h—and vertical—v—transport movements. Whenever a different processing with different processing steps is to be performed on substrates, only the LLPT 1 must be exchanged. Due to the fact that all the modules or stations which are necessary for an intended substrate processing are stapled vertically one upon the other to result in the addressed LLPT 1, the footprint of all the modules necessary for such processing is reduced to a minimum. Additionally, the footprint of the transport arrangement is reduced to the minimum necessary to serve horizontally the respective modules of the LLA and PMA. In opposition to the teaching of the U.S. Pat. No. 5,275,709 the preferred apparatus as of FIGS. 10 and 11 in fact provides for a tower of modules which provide for all processing steps desired inclusive inputting and outputting the substrates to and from processing stations.
  • In FIGS. 12 and 13 there is shown, again schematically, the second preferred embodiment of an apparatus according to the present invention performing a manufacturing method according to the present invention. Thereby, a first LLPT 1 a and a second LLPT 1 b are both in communication with a common transport arrangement TA. The conceptions of the LLAa of the first LLPT 1 a as well as of PMAa of that first LLPT 1 a are selected to perform a first well-defined processing sequence with different processing steps upon substrates 3 a.
  • At the second LLPT 1 b the LLAb as well as the PMAb are conceived to perform a second process on a different set of substrate 3 b. Thereby, the two process-sequences at the respective towers 1 a and 1 b may be equal, but may be alternatively different. In fact, each tower 1 a and 1 b per se provides for the complete ability to perform inputting/outputting of respective substrates to the respective vacuum treatment processes as well as the complete set of processing modules to perform the requested tower specific processing.
  • As shown in the FIGS. 12 and 13, the transport arrangement 2 ab has the ability to perform horizontal and vertical substrate transport with respect to the first LLPT 1 a and with respect to the second LLPT 1 b completely independently. A robot 9 ab is controlled to perform horizontal transportation ha with respect to tower 1 a independently from such horizontal transportation hb with respect to the second tower 1 b and in analogy to perform vertical va transportation of substrates processed in tower 1 a independently from such transport vb with respect to the second tower 1 b.
  • In FIG. 14 there is schematically shown a robot 11 which may be applied within the vacuum chamber of the transport arrangement TA as of FIGS. 12 and 13.
  • According to FIG. 14 there is provided within the vacuum chamber of the transport arrangements (not shown) a stationary central support post 13. For handling large substantially flat and horizontally positioned substrates 3 a with respect to the LLPT 1 a of FIGS. 12 and 13, at the one side of post 13 there is provided a first sliding arrangement 14 a which is vertically slideable—va—in controlled driven manner. Mounted to sliding arrangement 14 a there is provided a number of single substrate supporting member 15 a, as shown e.g. three. They project horizontally and are vertically mounted distant from each other and, in one preferred embodiment, with a controllably variable pitch distance pa. Thus the pitch distance pa in this preferred embodiment may be controllably varied, e.g. to accommodate for substrate handling openings at the LLA and/or PMA with different vertical pitch distances.
  • Each of the supporting members 15 a may be expanded and retracted horizontally—h—, driven by telescopic drives, as shown within encapsulations 17 a. The supporting members 15 a have supports with supporting pins 20 whereupon the substrates 3 a to be processed in LLPT 1 a are disposed during horizontal and vertical transport. There is further provided a second sliding arrangement 14 b opposite sliding arrangement 14 a along post 13, which is constructed with supporting members 15 b, supports 19 b supporting pins 20 b, encapsulates 17 b very much like the arrangement mounted on slide 14 a whereby, as shown, the number of supporting members 15 b which do handle substrates 3 b with respect to LLPT 1 b as of FIG. 12 or 13 needs not to be equal with the number of members 15 a for serving substrate 3 a to be processed by a LLPT 1 a.
  • Preferably, the horizontally movable supports 19 a and 19 b are constructed in a forklike manner as shown in FIG. 15. In dashed line representation, FIG. 15 shows a respective substrate 3 a,b residing on the support 19 a,b and being thereby deposited on support pins 21 in a LLA or PMA.
  • With the second preferred embodiment as of FIGS. 12 to 15 there is provided an apparatus according to the present invention with two distinct loadlock- and processing towers LLPT 1 a and 1 b which each provide for the complete set of modules to perform substrate processing inclusive feeding such substrate from surrounding atmosphere AT to the vacuum processing and from vacuum processing back to surrounding atmosphere.
  • According to this second preferred embodiment too, the robot 9 ab within the transport arrangements TA 2 ab needs not perform any rotational movement. Substrate transport is realised merely by horizontal—h—and vertical—v—substrate movement.
  • As schematically shown in FIG. 14, a controlling unit 22 is provided for controlling the horizontal transport movements ha,b, the vertical transport movements va,b with respect to their extent, timing of respective horizontal and vertical transport cycles as well as, if necessary, pitch distance pa of the respective support arrangements. Such a control unit 22 is preferably realised as a free programmable unit so that in dependency of an intended processing especially timing of the transport cycles may flexibly be adapted. Such a controlling unit 22 preferably also controls processing and loadlock cycles as schematically shown in FIG. 14 with the control connections to LLAab and PMAab.
  • Taking into account that in most multiple step processing treatments of substrates the time amount necessitated to perform a respective vacuum treatment within a process module of PMA and the time amount for feeding substrate through the loadlock arrangement LLA will be larger than the time amount for transporting a substrate or a batch of substrates from one module to another, in further preferred embodiment, the transport robot within transport arrangement TA 9 ab in fact provides only for one single up/down moving facility.
  • According to FIG. 16 such robot comprises a drawerlike member 25 which is controllably drivable in vertical direction v up and down guide 27.
  • Within drawerlike member 25, again controllably drivable and moveable in horizontal direction h there is provided a slide 29 which has a frame or forklike shape and which may be horizontal driven into a respective module of the loadlock- or process module arrangement.
  • As shown in dashed lines in FIG. 16, this embodiment of a transport robot provides the possibility to propel the slide 29 to the left or to the right hand side of the guide members 27.
  • This may be applied to the embodiment of FIGS. 12 and 13 for transporting a substrate from a module of LLPT 1 a to a module of the LLPT 1 b and vice versa. Thereby, e.g. in LLPT 1 a, the loadlock arrangement LLAa may be exclusively conceived as an input loadlock module and the LLAb in LLPT 1 b exclusively as an output loadlock module. Further, there may be provided a processing module which is used for substrates treated in the LLPT 1 a and treated in the LLPT 1 b. Although a crosswise transport of substrates between LLPT 1 a and LLPT 1 b, provision of a common treatment module for both LLPT 1 a and 1 b is not excluded, this is not a preferred option. The preferred embodiment of the apparatus according to the present invention is, as was stated above, to have each LLPT to be a self-contained overall substrate processing tool including in- and out-loadlocking so that each tower defines for such a processing.
  • The apparatus according to the present invention in its most preferred embodiments as of FIGS. 10 and 12 does not necessitate any rotational movement about the vertical axis of a robot handling the substrates. The embodiment as of FIG. 12 may be called I-type due to its I-shaped footprint.
  • In the FIGS. 17, 18, and 19 further preferred embodiments of the apparatus according to the present invention are schematically shown representations in analogy to that of FIG. 13. These embodiments do also not necessitate any rotational movement of a robot within the transport arrangement TA, about a vertical axis, so as to serve the openings of the LLPT's.
  • According to FIG. 17 to 19, instead of construing the apparatus in an I-type configuration as of FIGS. 12 and 13 it is designed as a U-type apparatus. According to FIG. 17, the two LLPT's 1 a and 1 b are provided in aside by side position. In the vacuum chamber of the transport arrangement TA two robots 9 a and 9 b are installed, each serving the modules of one of the LLPT 1 a, 1 b via the respective openings. Each of the robots 9 a, 9 b provides for a vertical up and down movement va,vb in a controllably driven manner. Each of the robots 9 a, 9 b further provides for horizontal forth and back movement ha and hb towards and into or from the modules of the respective LLPT 1 a, 1 b.
  • The robots 9 a and 9 b may e.g. be principally conceived according to the robot shown in FIG. 14 with support members 15 a and 15 b not pointing from each other but being provided on one side of support 13 and in parallelism. The movements of the two robots 9 a and 9 b may be independently controlled preferably by means of a freely reprogrammable control unit (not shown). If a time-synchronous processing shall be established at the two LLPT 1 a, 1 b, either the two independently controllable robots 9 a and 9 b are synchronously operated, or there is provided a single robot which serves simultaneously both LLPT 1 a, 1 b.
  • Such an embodiment is shown in FIG. 18 again schematically and in a representation in analogy to that of FIG. 17. As clearly be seen, there is provided one single robot 9 ab which provides combined common vertical transport movement vab of substrates into alignment with openings at the LLPT 1 a and 1 b. The horizontal movements ha,hb are either synchronised, or are controlled specifically for each LLPTM.
  • A third embodiment of U-shaped concept is shown in FIG. 19. Here again only one single robot 9 ab is provided. The one robot 9 ab serves by vertical transport movement vab and horizontal transport movement hab both LLPT's 1 a and 1 b. To do so the robot 9 ab performs a horizontal movement wab as shown.
  • From the concepts as shown schematically in the FIGS. 17 to 19 a variant is shown in FIG. 20, as an Y-type concept. Here the two LLPT's 1 a and 1 b are arranged at the transport arrangement TA so as to define an angle φ. In FIG. 20 the robot concept is principally the same as shown in FIG. 18. The single robot 9 ab serves the modules of both angularly displaced LLPT 1 a and 1 b. Thereby, such robot may be realised very similar to that shown in FIG. 14, thereby providing the supporting members not opposite each others but at the desired angle φ about the central axis of post 13.
  • For such an Y-type embodiment of the apparatus a further mode of realising the robot within transport arrangement TA, principally according to FIG. 19, is shown in FIG. 21. The robot 9 ab is conceived to rotate about the central axis by at least the angle of φ so as to serve the modules of both angularly displaced LLPT's 1 a, 1 b.
  • As was mentioned above, providing two or more LLPT's allows flexible full parallel processing of different or equal multi-step processes. Following up this concept it is absolutely possible as shown in FIG. 22 to conceive the transport arrangement with a transport robot 9 rot which is rotatable about a central axis so as to serve more than two as shown e.g. for LLPT's mounted circularly around the transport, arrangement TA. Nevertheless, more preferred embodiments of the apparatus according to the present invention are the single LLPT structure as of FIG. 10, two LLPT-structures of I- or U- or possibly Y-type as of FIGS. 17 to 21.
  • In FIG. 23 there is shown a today's preferred apparatus according to the present invention. It is conceived according to the concept of FIG. 10. Such preferred embodiment comprises one single LLPT which consists, from top to bottom, of a two-substrate batch processing module BPM1 followed by a two-substrate batch input and output load lock module BLLio, followed by a second two-substrate batch processing module BPM2. Thereby, the loadlock module BLLio is subdivided into a first input loadlock compartment Ci, followed by a first output loadlock compartment Co, followed by a second input loadlock compartment Ci and finally a second output loadlock compartment Co. The respective openings 33 which establish communication between BPM1, BLLio, BPM2 and a transport arrangement TA, are provided with respectively controlled valves 35.
  • Within the transport arrangement TA-chamber 37 there is provided a robot 39 which is drivingly moveable in a controlled manner in horizontal direction h and comprises a two-substrate batch transport member 41 with an upper and with a lower substrate transport fork 43 U and 43 l. The overall arrangement of the two horizontal transport members 41 is drivingly moveable vertically in a controlled manner: The pitch between the upper substrate carrier fork 43 u and a lower substrate carrier fork 43 l is selected to be in agreement with the pitch between the openings at each of the batch processing modules and the batch loadlock module.
  • Such an apparatus is most suited to be used for processing large substrates of at least 2 m2, even of at least 4 m2 as for solar panel, TFT or plasma display panel manufacturing.
  • With respect to further details we refer to:
      • U.S. Pat. No. 6,177,129 with respect to overall timing and cycle control preferably in a reprogrammable technique as well as with respect to possible implementation of flexible robot technique cooperating with process- or loadlock modules.
      • U.S. Pat. No. 6,533,534;
      • U.S. Pat. No. 5,515,986 and
      • U.S. Pat. No. 6,296,375 with respect to techniques of conceiving process module, loadlock module and their serving by robot members for treating horizontally oriented flat substrates.
  • The documents shall form an integrated part of the present Description.

Claims (18)

1. An apparatus for vacuum treating two-dimensionally extended substrates, said apparatus comprising a first loadlock and processing tower, a second loadlock and processing tower, and a common vacuum transport chamber with a transport robot arrangement disposed within said vacuum transport chamber; each of said first and said second towers comprising:
(a) a processing arrangement with at least one processing station communicating by at least one workpiece pass-through opening with said vacuum transport chamber; and
(b) a loadlock arrangement communicating by at least one workpiece pass-through
opening with said vacuum transport chamber and by at least one further workpiece pass-through opening with an atmosphere outside said vacuum transport chamber and the associated processing arrangement;
wherein the processing arrangement and the loadlock arrangement in each of the respective first and second towers are arranged vertically, one above the other.
2. The apparatus of claim 1, wherein said transport robot comprises at least one substantially horizontal substrate support for at least one substrate, said substrate support being driven and controllably movable in vertical direction as well as in horizontal direction into alignment with said openings and into and from each of said loadlock- and processing towers.
3. The apparatus of claim 2, wherein said substrate support is additionally rotatable about a vertical axis in a controllably driven manner.
4. The apparatus of claim 3, wherein rotational movement of said substrate support is limited to at most 180°.
5. The apparatus of claim 4, wherein rotational movement of said substrate support is limited to at most 45°.
6. The apparatus of claim 1, wherein said transport robot comprises at least one horizontal substrate support for at least one substrate which support is driven exclusively in vertical and in horizontal direction, in a respectively controlled manner.
7. The apparatus of claim 1, wherein at least one of said processing arrangements, located in the first and second towers respectively, comprises at least one substrate-batch processing module.
8. The apparatus of claim 1, wherein at least one of said processing arrangements, located in the first and second towers respectively, comprises at least one single substrate processing module.
9. The apparatus of one of claim 1, wherein at least one of said loadlock arrangements, located in the first and second towers respectively, comprises an input/output loadlock arrangement.
10. The apparatus of claim 9, wherein said input/output loadlock arrangement comprises at least one single substrate input loadlock chamber.
11. The apparatus of claim 9, wherein said input/output loadlock arrangement comprises at least one single substrate output loadlock chamber.
12. The apparatus of claim 9, wherein said input/output loadlock arrangement comprises at least one of a substrate batch input loadlock chamber, a substrate batch output loadlock chamber and an input/output substrate batch loadlock chamber.
13. The apparatus of claim 1, wherein one of said loadlock and processing towers comprises one of an input and an output loadlock arrangement.
14. The apparatus of claim 1, wherein said first and said second loadlock and processing towers are arranged on opposite sides of said transport vacuum chamber and facing each other.
15. The apparatus of claim 1, wherein said first and said second loadlock and processing towers are arranged one next to the other on one side of said vacuum transport chamber, said towers and said vacuum transport chamber thereby defining a U-shaped footprint.
16. The apparatus of claim 1, wherein said first and said second loadlock- and processing towers are arranged with respect to said vacuum transport chamber to define a Y-shaped footprint.
17. The apparatus of claim 1, wherein said substrate has an extent of at least 1 m2.
18. The apparatus of claim 1, wherein each said processing arrangement comprises at least one of a treating and a coating arrangement for at least one substrate.
US11/755,423 2002-11-15 2007-05-30 Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates Abandoned US20080038095A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/755,423 US20080038095A1 (en) 2002-11-15 2007-05-30 Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US42669702P 2002-11-15 2002-11-15
US10/713,339 US7244086B2 (en) 2002-11-15 2003-11-14 Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US11/755,423 US20080038095A1 (en) 2002-11-15 2007-05-30 Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/713,339 Continuation US7244086B2 (en) 2002-11-15 2003-11-14 Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates

Publications (1)

Publication Number Publication Date
US20080038095A1 true US20080038095A1 (en) 2008-02-14

Family

ID=32326404

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/713,339 Expired - Fee Related US7244086B2 (en) 2002-11-15 2003-11-14 Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US11/755,423 Abandoned US20080038095A1 (en) 2002-11-15 2007-05-30 Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/713,339 Expired - Fee Related US7244086B2 (en) 2002-11-15 2003-11-14 Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates

Country Status (8)

Country Link
US (2) US7244086B2 (en)
EP (1) EP1560944B1 (en)
JP (1) JP2006506818A (en)
KR (1) KR101120497B1 (en)
CN (1) CN1711369B (en)
AU (1) AU2003277790A1 (en)
TW (1) TW200414297A (en)
WO (1) WO2004046416A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090016855A1 (en) * 2007-05-18 2009-01-15 Brooks Automation, Inc. Load lock fast pump vent
US20090077804A1 (en) * 2007-08-31 2009-03-26 Applied Materials, Inc. Production line module for forming multiple sized photovoltaic devices
US20090188603A1 (en) * 2008-01-25 2009-07-30 Applied Materials, Inc. Method and apparatus for controlling laminator temperature on a solar cell
US20100047954A1 (en) * 2007-08-31 2010-02-25 Su Tzay-Fa Jeff Photovoltaic production line
US20130340939A1 (en) * 2012-06-21 2013-12-26 Tel Solar Ag System for substrate handling and processing
JP2018174210A (en) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 Processing system
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
WO2020069989A1 (en) * 2018-10-01 2020-04-09 centrotherm international AG Transport unit and method for the parallel insertion or retraction of substrate carriers into process tubes

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (en) * 1995-07-19 1997-02-07 Hitachi Ltd Vacuum processor and semiconductor production line using the processor
NL1028907C2 (en) * 2005-04-29 2006-10-31 Fico Bv Method and device for supplying and removing carriers with electronic components.
US7628574B2 (en) * 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
ITBS20060208A1 (en) * 2006-11-30 2008-06-01 Estral Spa METHOD AND PLANT FOR THE THERMAL TREATMENT OF METAL ELEMENTS
KR101046520B1 (en) 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 Source gas flow path control in pecvd system to control a by-product film deposition on inside chamber
JP5139253B2 (en) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 Vacuum processing device and vacuum transfer device
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
JP5037551B2 (en) * 2009-03-24 2012-09-26 東京エレクトロン株式会社 Substrate replacement mechanism and substrate replacement method
JP5503006B2 (en) * 2010-08-06 2014-05-28 東京エレクトロン株式会社 Substrate processing system, transfer module, substrate processing method, and semiconductor device manufacturing method
CN112074943A (en) * 2018-05-15 2020-12-11 瑞士艾发科技 Substrate vacuum treatment equipment and method thereof
US11965682B2 (en) 2020-12-16 2024-04-23 Samsung Electronics Co., Ltd. Air conditioner

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US20020033136A1 (en) * 1999-04-02 2002-03-21 Silicon Valley Group, Thermal Systems Llc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6391114B1 (en) * 1998-09-21 2002-05-21 Nissin Electric Co., Ltd. Vacuum processing apparatus
US6402400B1 (en) * 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
US20020168251A1 (en) * 2001-05-09 2002-11-14 Chul-Hwan Choi Self-contained semiconductor device manufacturing equipment having compact arrangement of load-lock and processing chambers
US20030155076A1 (en) * 2002-02-20 2003-08-21 Seishi Murakami Semiconductor processing system

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2933207A (en) * 1957-06-19 1960-04-19 Structural Clay Products Res F Unit stacking apparatus
US5288852A (en) * 1984-03-06 1994-02-22 Dainippon Pharmaceutical Co., Ltd. Human tumor necrosis factor polypeptides
WO1991004213A1 (en) * 1989-09-12 1991-04-04 Rapro Technology, Inc. Automated wafer transport system
JPH06509185A (en) 1991-07-03 1994-10-13 サン、マイクロシステムズ、インコーポレーテッド virtual image display device
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
ES2090893T3 (en) 1993-01-28 1996-10-16 Applied Materials Inc VACUUM TREATMENT APPARATUS THAT HAS AN IMPROVED PRODUCTION CAPACITY.
CH687986A5 (en) 1993-05-03 1997-04-15 Balzers Hochvakuum Plasma treatment equipment and method for its operation.
US6296735B1 (en) 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
CH687987A5 (en) 1993-05-03 1997-04-15 Balzers Hochvakuum A process for the increase of the deposition rate in a plasma discharge space and plasma chamber.
KR100310249B1 (en) * 1995-08-05 2001-12-17 엔도 마코토 Substrate Processing Equipment
US5820366A (en) * 1996-07-10 1998-10-13 Eaton Corporation Dual vertical thermal processing furnace
KR100234539B1 (en) 1996-12-24 1999-12-15 윤종용 Etching apparatus for semiconductor device
US6099643A (en) * 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
TW589391B (en) 1997-07-08 2004-06-01 Unaxis Trading Ag Process for vacuum treating workpieces, and corresponding process equipment
US6391377B1 (en) 1997-07-08 2002-05-21 Unaxis Balzers Aktiengesellschaft Process for vacuum treating workpieces, and corresponding process equipment
JPH11129184A (en) * 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd Substrate processing device and substrate carrying-in/ out device
US6053687A (en) 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
KR100265287B1 (en) * 1998-04-21 2000-10-02 윤종용 Multi-chamber system for etching equipment for manufacturing semiconductor device
JP2000195925A (en) * 1998-12-28 2000-07-14 Anelva Corp Substrate-treating device
JP3455458B2 (en) * 1999-02-01 2003-10-14 東京エレクトロン株式会社 Coating and developing apparatus and substrate recycling system in coating and developing processing
JP3442686B2 (en) * 1999-06-01 2003-09-02 東京エレクトロン株式会社 Substrate processing equipment
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6402401B1 (en) * 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6296375B1 (en) 2000-01-05 2001-10-02 Maxlite-Sk America, Inc. Compact fluorescent lamp having a detachable translucent cover
SG94851A1 (en) * 2000-07-12 2003-03-18 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
JP4004248B2 (en) * 2000-09-01 2007-11-07 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate inspection method
JP2003077974A (en) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc Substrate processing device and manufacturing method of semiconductor device
US7335277B2 (en) * 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus
JP4246654B2 (en) * 2004-03-08 2009-04-02 株式会社日立ハイテクノロジーズ Vacuum processing equipment

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US6391114B1 (en) * 1998-09-21 2002-05-21 Nissin Electric Co., Ltd. Vacuum processing apparatus
US20020033136A1 (en) * 1999-04-02 2002-03-21 Silicon Valley Group, Thermal Systems Llc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6402400B1 (en) * 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
US20020168251A1 (en) * 2001-05-09 2002-11-14 Chul-Hwan Choi Self-contained semiconductor device manufacturing equipment having compact arrangement of load-lock and processing chambers
US20030155076A1 (en) * 2002-02-20 2003-08-21 Seishi Murakami Semiconductor processing system

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8662812B2 (en) 2007-05-18 2014-03-04 Brooks Automation, Inc. Load lock fast pump vent
US11610787B2 (en) 2007-05-18 2023-03-21 Brooks Automation Us, Llc Load lock fast pump vent
US10854478B2 (en) 2007-05-18 2020-12-01 Brooks Automation, Inc. Load lock fast pump vent
US20090016855A1 (en) * 2007-05-18 2009-01-15 Brooks Automation, Inc. Load lock fast pump vent
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US8272825B2 (en) * 2007-05-18 2012-09-25 Brooks Automation, Inc. Load lock fast pump vent
US9478446B2 (en) 2007-05-18 2016-10-25 Brooks Automation, Inc. Load lock chamber
US20100047954A1 (en) * 2007-08-31 2010-02-25 Su Tzay-Fa Jeff Photovoltaic production line
US8225496B2 (en) 2007-08-31 2012-07-24 Applied Materials, Inc. Automated integrated solar cell production line composed of a plurality of automated modules and tools including an autoclave for curing solar devices that have been laminated
US20090077804A1 (en) * 2007-08-31 2009-03-26 Applied Materials, Inc. Production line module for forming multiple sized photovoltaic devices
US20090188603A1 (en) * 2008-01-25 2009-07-30 Applied Materials, Inc. Method and apparatus for controlling laminator temperature on a solar cell
WO2013190370A1 (en) * 2012-06-21 2013-12-27 Tel Solar Ag System for substrate handling and processing
US20130340939A1 (en) * 2012-06-21 2013-12-26 Tel Solar Ag System for substrate handling and processing
JP2018174210A (en) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 Processing system
WO2020069989A1 (en) * 2018-10-01 2020-04-09 centrotherm international AG Transport unit and method for the parallel insertion or retraction of substrate carriers into process tubes

Also Published As

Publication number Publication date
KR20050086451A (en) 2005-08-30
JP2006506818A (en) 2006-02-23
US7244086B2 (en) 2007-07-17
KR101120497B1 (en) 2012-02-29
US20040115032A1 (en) 2004-06-17
AU2003277790A1 (en) 2004-06-15
CN1711369B (en) 2011-07-13
WO2004046416A1 (en) 2004-06-03
CN1711369A (en) 2005-12-21
EP1560944B1 (en) 2014-03-05
TW200414297A (en) 2004-08-01
EP1560944A1 (en) 2005-08-10

Similar Documents

Publication Publication Date Title
US20080038095A1 (en) Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
KR100269097B1 (en) Wafer process apparatus
US6610150B1 (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
KR100310249B1 (en) Substrate Processing Equipment
WO2012098871A1 (en) Vacuum processing apparatus
KR19980025056A (en) Substrate Processing Equipment, Substrate Transfer Machine and Substrate Transfer Device
CN1937201A (en) Substrate processing system and method
KR102244354B1 (en) Substrate transfer mechanism, substrate processing apparatus, and substrate processing method
KR20180066192A (en) Vacuum processor
JP6306813B2 (en) Modular semiconductor processing system
KR102058985B1 (en) Load station
KR20040045361A (en) Atmospheric robot handling equipment
CN108122809B (en) Substrate processing system
TW202213601A (en) Substrate transport apparatus
CN116435215A (en) Wafer processing apparatus, wafer processing system, and control method
EP3706162A1 (en) Substrate accommodation device
JP3974992B2 (en) Substrate storage container lid opening / closing device and substrate loading / unloading device
JPH05326666A (en) Conveyor
KR100859205B1 (en) Automatic continuous plasma cleaning apparatus and thereof cleaning method
KR20210119185A (en) Transfer Robot and Substrate Processing apparatus having the same
KR20160036374A (en) Plasma device
JP2002184771A (en) Heat treatment apparatus
KR20070015751A (en) System for transferring the substrate
JPH07326651A (en) Multistage process equipment
WO2008068859A1 (en) Conveying equipment

Legal Events

Date Code Title Description
AS Assignment

Owner name: OERLIKON TRADING AG, TRUBBACH, SWITZERLAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:OC OERLIKON BALZERS AG;REEL/FRAME:022151/0148

Effective date: 20080929

Owner name: OERLIKON TRADING AG, TRUBBACH,SWITZERLAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:OC OERLIKON BALZERS AG;REEL/FRAME:022151/0148

Effective date: 20080929

AS Assignment

Owner name: OERLIKON SOLAR AG, TRUBBACH, SWITZERLAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:OERLIKON TRADING AG, TRUBBACH;REEL/FRAME:025459/0798

Effective date: 20101203

AS Assignment

Owner name: TEL SOLAR AG, SWITZERLAND

Free format text: CHANGE OF NAME;ASSIGNOR:OERLIKON SOLAR AG, TRUBBACH;REEL/FRAME:030578/0289

Effective date: 20121207

AS Assignment

Owner name: OC OERLIKON BALZERS AG, LIECHTENSTEIN

Free format text: LICENSE;ASSIGNOR:TEL SOLAR AG;REEL/FRAME:033459/0821

Effective date: 20081001

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE