US20070151897A1 - Substrate storage container - Google Patents

Substrate storage container Download PDF

Info

Publication number
US20070151897A1
US20070151897A1 US11/319,443 US31944305A US2007151897A1 US 20070151897 A1 US20070151897 A1 US 20070151897A1 US 31944305 A US31944305 A US 31944305A US 2007151897 A1 US2007151897 A1 US 2007151897A1
Authority
US
United States
Prior art keywords
conveyor
container body
storage container
substrate storage
contact rail
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/319,443
Other versions
US8365919B2 (en
Inventor
Takayuki Nakayama
Atsushi Sumi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Polymer Co Ltd
Original Assignee
Shin Etsu Polymer Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Polymer Co Ltd filed Critical Shin Etsu Polymer Co Ltd
Priority to US11/319,443 priority Critical patent/US8365919B2/en
Assigned to SHIN-ETSU POLYMER CO., LTD. reassignment SHIN-ETSU POLYMER CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NAKAYAMA, TAKAYUKI, SUMI, ATSUSHI
Publication of US20070151897A1 publication Critical patent/US20070151897A1/en
Application granted granted Critical
Publication of US8365919B2 publication Critical patent/US8365919B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67369Closed carriers characterised by shock absorbing elements, e.g. retainers or cushions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports

Definitions

  • the present invention relates to a substrate storage container for use in storage, transportation, preservation, processing, etc., of substrates such as, for example silicon wafers, glass, glass masks, etc.
  • a substrate storage container of this type is composed of, though not illustrated, a container body for holding a plurality of substrates in alignment, a door element for opening and closing the front opening of the container body and a sealing gasket interposed between the container body and the door element for creating a seal (see Patent literature 1: Japanese Patent Application Laid-open 2000-306988 (see FIGS. 1 to 10)).
  • the container body is a molding of a front open box type, formed of a transparent resin or the like, and is used for in-line transportation and preservation. Flanges for transportation are provided as appropriate on the top, bottom and/or side walls of this container body, so that the substrate storage container can be lifted up or transported along the processing line at high speeds overhead with these flanges engaged by robots and transporters.
  • a window for monitoring substrates is partly formed on the rear side etc., of the container body while a plurality of supporting ribs for supporting substrates at their peripheral edge are arrayed vertically (see Patent literature 2: Japanese Patent Application Laid-open Hei 10-70185 (see FIGS. 12, 14 and 17)).
  • the door element is formed in correspondence with the front of the container body, has a front retainer attached to the inner surface (rear side) facing the container body for holding individual substrates at their front periphery, and is fitted to, and removed from, the container body by a robot.
  • the front retainer is formed of a material being more flexible than that of the supporting ribs, and the contact part with substrates is formed with a multiple number of holding grooves arrayed vertically at regular intervals.
  • Each holding groove has an approximately U-shaped or approximately V-shaped section, and is formed so that the mid height of the groove is set at a position higher than the position at which the supporting ribs holds the substrate. That is, this configuration provides the function of marginally raising the substrates from the supporting ribs when the door element is closed, whereby contact friction between the substrates and supporting ribs can be reduced and the substrates can be prevented from being contaminated with abraded particles.
  • substrates are inserted along the supporting ribs into the container body or conversely substrates are taken out from the supporting ribs of the container body.
  • the conventional substrate storage container is configured as described heretofore, and they are transported at high speeds overhead by robot.
  • the overhead transport system is difficult to control and high in cost and has a shortcoming that only a small amount of load can be transported at a time.
  • the installation of overhead transport needs extra space in the height dimension, it is difficult to install it in a factory with a low ceiling.
  • the present invention has been devised in view of the above, it is therefore an object of the present invention to provide a substrate storage container wherein it is possible to prevent the substrates from being contaminated as a result of abrasion between substrates and supporting ribs due to vibrations etc., occurring during conveyor transport and it is possible to prevent the substrates from being damaged or broken by strong contact of the substrates against the container body even when a large impact is applied during conveyor transport, for example.
  • a substrate storage container for storing substrates in a container body includes: a conveyor contact rail provided for the container body or a bottom plate attached to the bottom of the container body, and is characterized in that the conveyor contact rail inhibits vibrations acting on substrates when the container body is transported by a conveyor.
  • the container body may be configured of a front-open box type that has substrate supporting ribs arranged vertically on both sides therein; a plurality of projections that will come in area contact with the peripheral part of the undersurface of the substrate may be formed on the top surface of each supporting rib so as to extend inwards from the outer side with respect to the width; and both the side edges of each projection maybe inclined so that the projection gradually becomes narrower inwards from the outer side with respect to the width of the container body.
  • the substrate storage container may further include a position restraint member for positioning substrates, disposed in the rear of the supporting ribs, wherein at least the substrate contact area of the position restraint member is formed of a low abrading material different from that of the supporting ribs.
  • the conveyor contact rail is arranged, at least, on one of the side portion, front portion and rear portion of the bottom plate, its conveyor contact surface is formed to be an essentially smooth and flat plane, and both ends of the bottom surface of the conveyor contact rail is formed to be inclined upwards toward their respective ends.
  • both side edges in the end portions of the conveyor rail may be inclined so that each end of the conveyor contact rail gradually becomes wider from the non end to each end of the conveyor contact rail.
  • the container body is generally specified in the claims to be a front open boxy type such as FOUP, FOSB and the like, the container may be a top open box type or, maybe an open cassette or a carrier type. Also, the container body, either may be or need not be, partially subjected to a transparency process and/or anti-static process.
  • the opening of the container body is opened and closed by a door element if any. This door element may incorporate a latch mechanism which, by an operation from the outside, can cause multiple engaging claws to project from or retract into the peripheral surface of the door element.
  • Examples of substrates at least include: a single or multiple number (25 or 26 pieces) of semiconductor wafers (silicon wafers), liquid crystal cells, quartz glass, mask substrates, used in the fields of semiconductor, electricity and electronics.
  • semiconductor wafers are handled as the precision substrates herein, examples of substrates of course include large-diametric, 300 mm wafers.
  • the conveyor contact surface of the conveyor contact rail may be a smooth and flat plane or an essentially smooth and flat plane.
  • the present invention it is possible to efficiently prevent and reduce substrate contamination resulting from rubbing between the substrate and supporting ribs due to vibrations and the like during conveyor transport. If, for example, a large impact acts on the substrate storage container during conveyor transport, it is possible to prevent the substrates from being damaged or broken by strong contact between the container body and substrates.
  • FIG. 1 is an illustrative perspective view showing the embodiment of a substrate storage container according to the present invention
  • FIG. 2 is a bottom view showing the embodiment of a substrate storage container according to the present invention.
  • FIG. 3 is an illustrative sectional view showing a conveyor contact rail in the embodiment of a substrate storage container of the present invention
  • FIG. 4 is an illustrative perspective view showing an interior wall of a container body in the embodiment of a substrate storage container according to the present invention
  • FIG. 5 is an illustrative plan view showing a supporting rib in the embodiment of a substrate storage container according to the present invention.
  • FIG. 6 is an illustrative view showing supporting ribs and a position restraint member in the embodiment of a substrate storage container according to the present invention
  • FIG. 7 is a sectional view cut along a line VII-VII in FIG. 6 , showing a state before molding of a position restraint member in the embodiment of a substrate storage container according to the present invention
  • FIG. 8 is a view, corresponding to FIG. 7 , showing a position restraint member and a base plate after molding in the embodiment of a substrate storage container according to the present invention
  • FIG. 9 is an illustrative front view showing a front retainer in the embodiment of a substrate storage container according to the present invention.
  • FIG. 10 is an illustrative perspective view showing the second embodiment of a substrate storage container according to the present invention.
  • FIG. 11 is a bottom view showing the second embodiment of a substrate storage container according to the present invention.
  • FIG. 12 is a bottom view showing the third embodiment of a substrate storage container according to the present invention.
  • a substrate storage container in the present embodiment is composed of a container body 1 for holding a plurality of semiconductor wafers supported in alignment by supporting ribs 2 , a door element 20 removably fitted with a sealing gasket 25 interposed therebetween so as to open and close the front opening of the container body 1 , a bottom plate 30 removably attached to container body 1 and a pair of conveyor contact rails 40 provided for the bottom plate 30 .
  • These conveyor contact rails 40 provide the function of reducing vibrations and impacts acting on the semiconductor wafers when the sealed container body 1 is conveyed by an unillustrated conveyor.
  • Container body 1 is formed of, for example a light impermeable forming material into a front-open box type configuration having an opening on the front side, as shown in FIG. 1 , with a high enough strength, rigidity and dimensional stability so as not be deformed. Further, this container functions so as to secure the normal operation of automatic transporters such as AGV etc.
  • a material basically consisting of, for example, a lightweight synthetic resin excellent in formability, such as polycarbonate, cycloolefin polymer, polyetherimide, polyether sulfone or the like, with a content of carbon fibers and/or metal fibers for providing electric conductivity, can be considered.
  • a plurality of supporting ribs 2 for supporting semiconductor wafers horizontally are arranged vertically on both sides inside container body 1 , with a pitch of a predetermined distance.
  • a pair of position restraint members 6 for semiconductor wafers are provided left and right in the rear of supporting ribs 2 , and the paired position restraint members 6 are laid out on both sides in the rear inside container body 1 .
  • Each supporting rib 2 is provided in an shelf-like form that is long in the front-to-rear direction of container body 1 so as to mount and support the semiconductor wafer in a stable and horizontal position, as shown in FIG. 4 .
  • These supporting ribs are integrally formed with the interior side of a base plate 3 that is partially flexed.
  • a pair of base plates 3 are insert molded and integrated at both sides inside container body 1 when the container body is molded.
  • Each supporting rib 2 has a slip stopper projection 4 , having an approximate triangular shape with its oblique side curved, viewed from top, formed on the top surface thereof, ranging from the front end to the interior. This slip stopper projection 4 abuts the stored semiconductor wafer so as to prevent the wafer from slipping out forwards.
  • each supporting rib Formed in the front and rear on the top surface of each supporting rib are a plurality of projections 5 , which are in contact with only the underside periphery (the part within 5 mm from the peripheral edge) of the semiconductor wafer.
  • a plurality of projections 5 is preferably formed so as to contact with only within 3 mm from the peripheral edge of the semiconductor wafer.
  • These projections extend from the outer side to the inner side with respect to the width of container body 1 and both the side edges of each projection 5 are inclined so that the projection 5 becomes tapered from the outer side to the inner side with respect to the width of container body 1 (see FIGS. 4 and 5 ). That is, each projection 5 is formed in an elongate trapezoidal form, viewed from top and supports the semiconductor wafer horizontally with its flat surface (top).
  • the edge part is set to range from 0.5 to 5 mm in width while the inclined angle with respect to the width direction is adjusted to fall within 0.5 to 5 degrees, so as to provide the advantage of dimensional adjustment of the height and formability.
  • Each projection 5 is adapted to come into surface contact with only the underside periphery at the part within 5 mm from the peripheral edge of the semiconductor wafer. This is because if the contact part exceeds 5 mm, the projection will come into contact with the chip area of the semiconductor wafer without reducing generation of particles, hence lowering the production yield due to particle contamination.
  • Each position restraint member 6 is molded, essentially in an elongate plate form, of a low abrading material different from that of supporting rib 2 and integrated at the rear of base plate 3 , providing the functions of regulating the inserted positions of the semiconductor wafers and holding the periphery of the semiconductor wafers at both sides on the interior side of the container as a front retainer 23 of door element 20 holds the periphery of the semiconductor wafers on the front side of the container, by abutment of inclined facets (see FIG. 4 and FIGS. 6 to 10 ).
  • thermoplastic resins excellent in abrasion resistance and smoothness such as polyethylene terephthalate, polybutylene terephthalate, polyether etherketone etc., and thermoplastic resins containing a sliding agent such as fluoro, silicone compounds, etc., and reinforcing materials such as carbon fiber, glass fiber, metal fiber, etc., can be considered.
  • position restraint member 6 multiple supporting ribs 2 and base plate 3 are integrally molded (initial molding) of conductive polycarbonate (primary side resin), then the material for position restraint members (secondary side resin) is filled for molding from the rear side of base plate 3 where no supporting rib 2 is provided.
  • the thus integrated position restraint member 6 with base plate 3 is inserted together with base plate 3 and supporting ribs 2 into the mold for container body 1 when the container body is molded, to thereby complete integration with container body 1 . Integration of position restraint members 6 makes it possible to reduce residual water during washing and shorten the time for drying.
  • the resin for the part that is molded first is called the primary side resin and the resin for the part that is molded second is called the secondary side resin.
  • position restraint member 6 is composed of polybutylene terephthalate, polyether etherketone, fluoro resin, or the like
  • the forming process is performed as follows.
  • a multiple number of through-holes 7 to form the holds between position restraint member 6 and base plate 3 are formed (see FIG. 6 ) in the rear part of each base plate 3 on which position restraint member 6 is formed.
  • position restraint member 6 consisting of the secondary side resin is molded from the rear side of base plate 3 (see FIG. 7 ) on which no supporting rib 2 exists.
  • a connecting portion 13 that is narrower than the sizes of the openings at the front and rear sides is formed.
  • molding is formed so that the resin fills both the front and rear sides via multiple through-holes 7 .
  • FIG. 1 an approximately rectangular, viewed from top, robotic flange 8 is removably attached by screw fitting to the top of container body 1 .
  • the substrate storage container is transported through the processing line while this robotic flange 8 is being held by an unillustrated automatic transport mechanism called OHT (overhead hoist transfer).
  • a rim portion 9 for door fitting shown in FIG. 1 is integrally formed so as to project sidewards around the periphery of the front opening of container body 1 .
  • the inner stepped surface of this rim portion 9 is formed to be a sealing surface 10 , and a pair of engaging holes 11 positioned a predetermined distance apart from each other are formed in both the top and bottom portions of this sealing surface 10 .
  • a window for monitoring semiconductor wafers or adjusting the position of semiconductor wafers may be optionally formed on the rear side or on a flank of container body 1 . It is preferred that this unillustrated window can be closed in an openable manner by a shutter when it is not needed, that is, during transport or preservation of semiconductor wafers.
  • This shutter may be provided as a slidable curtain that is arranged near the window and covers it. Alternatively, the shutter may be provided as a light shading film that can removably cover the window.
  • a side handle 12 for manual transport is removably attached to either of the outer side walls of container body 1 .
  • Each side handle 12 is provided in an essentially L-shape, having a grip portion parallel to the bottom of container body 1 and a grip portion perpendicular to the bottom of container body 1 .
  • door element 20 is formed of a synthetic resin similar to that of container body 1 and provided as an essentially rectangular hollow structure which incorporates a latch mechanism 21 which, by a rotational operation from the outside, causes a plurality of engaging claws 22 to project from, and retract into, the peripheral surface thereof.
  • latch mechanism 21 With this latch mechanism 21 , each engaging claw 22 fits into engaging hole 11 of container body 1 , so as to tightly close the front of container body 1 by locking up the door element.
  • a front retainer 23 for individually holding semiconductor wafers at their front peripheral edge is removably attached to the inner face of door element 20 .
  • An endless sealing gasket 25 is removably attached around the peripheral surface of door element 20 , being fitted by means of projections, grooves and/or the like. This sealing gasket 25 is made in press-contact with sealing surface 10 of container body 1 , providing a sealing function.
  • Front retainer 23 is given essentially in a lattice-like configuration and formed of a relatively soft material compared to supporting ribs 2 , from a viewpoint of protectively holding the semiconductor wafers in contact.
  • This front retainer is formed in its contact portion with the semiconductor wafers with a plurality of holding grooves 24 arranged vertically in parallel with, and a predetermined distance apart from, each other.
  • Each holding groove 24 has an approximately U-shaped or approximately V-shaped section, and the mid height of the groove is set at a position higher than the position at which the supporting ribs 2 hold the substrate.
  • the semiconductor wafers are marginally raised from the supporting ribs 2 when door element 20 is closed, so that contact friction between the semiconductor wafers and supporting ribs 2 can be reduced and the semiconductor wafers can be prevented from being contaminated with abraded particles.
  • Sealing gasket 25 is molded in a frame-like form of a thermoplastic elastomer, selected from polyolefin, polyester elastomers and the like, fluororubber, silicone rubber or the like.
  • this sealing element is molded of a molding material which contains little organic components that would contaminate semiconductor wafers and has a hardness of 80 degrees or lower, based on the K6301A measurement defined by JIS.
  • Bottom plate 30 is essentially formed in a laterally long rectangular shape as shown in FIGS. 1 and 2 , and has some through-holes to allow for detection and distinction of the type of the substrate storage container, and is removably attached to the underside at the bottom of container body 1 .
  • This bottom plate 30 has positioning elements 31 for processing equipment having an approximately v-shaped section, arranged at both sides in the front and at the center in the rear thereof. These positioning elements 31 are arranged at positions forming a triangle and receive pins of the unillustrated processing equipment, so as to position the substrate storage container. As the substrate storage container has been positioned, semiconductor wafers are loaded from the substrate storage container to the processing equipment and unloaded vice versa.
  • These positioning elements 31 are molded of a material having an excellent abrasion resistance, such as polycarbonate, polybutylene terephthalate, polyether etherketone, polyimide or the like, and provided integrally with or separated from bottom plate 30 .
  • the processing equipment herein indicates a device for loading semiconductor wafers from the substrate storage container and transferring them into another in-process container, a device for automatically removing door element 20 from the substrate storage container or mounting container body 1 with its door element 20 open and loading semiconductor wafers thereinto, and loading semiconductor wafers into, or unloading from, another in-process container for implementing various treatments and processes such as oxidation, photoresist application, exposure, etching, cleaning, membrane forming and others.
  • a pair of conveyor contact rails 40 are formed along the left and right sides of bottom plate 30 as shown in FIGS. 1 to 3 .
  • the bottom surface, i.e., conveyor contact surface 41 is a smooth, flat plane, with the front and rear ends of conveyor contact rail 40 , cut away forming bottom surfaces 42 that are inclined upward towards their respective ends.
  • Each conveyor contact rail 40 is formed of a resin excellent in abrasion resistance and slidability, such as polyether etherketone, polyether sulfone, polycarbonate, polybutylene terephthalate, etc., or one of these resins having carbon fiber, fluoro resin, silicone resin or the like added therein.
  • conveyor contact rails 40 may be formed separately of the aforementioned material and attached to bottom plate 30 or container body 1 , or may be integrally formed as part of bottom plate 30 .
  • Conveyor contact rails 40 maybe attached as separate parts to the left and right sides of bottom plate 30 .
  • Conveyor contact surface 41 may be attached with an abrasion-resistant coating or rollers.
  • the amount of deformation of conveyor contact surface 41 is preferably limited to 0.3 mm or smaller. This amount of deformation can be determined as the difference between the maximum height and the minimum height from a reference surface of conveyor contact rail 40 .
  • the inclined bottom surfaces 42 at both the front and rear of conveyor contact rail 40 are specified to be 5 mm or longer (the length L from the end shown in FIG. 3 ).
  • the bottom surfaces 42 at both the front and rear of conveyor contact rail 40 are inclined with an angle of inclination ⁇ . This angle of inclination ⁇ is adjusted to 1 to 10 degrees, or preferably 2 to 7 degrees.
  • each end part of conveyor contact rail 40 may be formed to be counter tapered with respect to the horizontal, or in other words, the end part of conveyor contact rail 40 may be formed to gradually become wider from the non end to the end, forming a guiding portion.
  • the edges of the inclined bottom surface 42 at the end part of the conveyor contact rail may be rounded.
  • conveyor contact surfaces 41 of paired conveyor contact rails 40 are each formed with a smooth flat plane, it is possible to significantly reduce the vibrations during conveyance and there is no fear of contaminating semiconductor wafers due to rubbing between semiconductor wafers and supporting ribs 2 resulting from vibrations during transport. Since each semiconductor wafer is supported by flat surfaces of multiple projections 5 , the load of the semiconductor wafer will not concentrate on pointed contacts, so that there is no fear of the semiconductor wafers being damaged.
  • each projection 5 comes into area contact with the semiconductor wafer's undersurface in the portion within 5 mm from the outer peripheral edge, it is possible to minimize the contract area. As a result it is possible to reduce the level of semiconductor wafer contamination with particles to as low as half the ordinary level.
  • This particle contamination of semiconductor wafers can be checked by a semiconductor wafer inspection system (surfscan system) which classifies impurities by use of optical scattering, or by measuring the particles existing in the cleansing liquid when the semiconductor wafers are washed with pure water, using a liquid particle counter, or by other methods.
  • semiconductor wafer inspection system semiconductor wafer inspection system
  • projections 5 are not of a minute configuration, no short shot, shrink and deformation during molding will occur, hence it possible to effectively prevent the occurrence of variation in the height for supporting the semiconductor wafers.
  • each projection 5 is set to range from 0.5 to 5 mm in width and the inclined angle with respect to the width direction is adjusted to fall within 0.5 to 5 degrees, the height of projection 5 can be finely and easily controlled. Accordingly, there is no fear that the semiconductor wafers cannot be supported horizontally and that the semiconductor wafers fail to be taken out successfully due to variation in the pitch distance.
  • FIGS. 10 and 11 show the second embodiment of the present invention.
  • a pair of conveyor contact rails 40 which are directed in the lateral direction perpendicular to the opening and closing direction of door element 20 , and disposed at the bottom of the rim portion of container body 1 and at the rear of bottom plate 30 .
  • the conveyor contact rail 40 of bottom plate 30 is provided in a groove form so as to provide a guiding function.
  • the conveyor rail 40 of bottom plate 30 is constructed such that the side edges of each end part are formed to be counter tapered with respect to the horizontal, or in other words, the end part of conveyor contact rail 40 is formed to gradually become wider from the non end to the end, forming an essentially trapezoidal guiding portion 43 , in top view.
  • the other components are the same as the above embodiment, so the description is omitted.
  • FIG. 12 shows the third embodiment of the present invention.
  • a pair of conveyor contact rails 40 which are directed in the direction parallel to the opening and closing direction of door element 20 , and integrally disposed with the bottom of container body 1 so as to provide a guide function.
  • an info pad plate 30 ′ which would cover an area for identifying the container body 1 when mounted on a production equipment.
  • the info pad plate 30 ′ is provided with a plurality of through holes which can be closed with unillustrated dedicated plugs for each hole.
  • the other components are the same as the above embodiment, so the description is omitted.

Abstract

A substrate storage container includes: a container body of a front open box type for holding a multiple number of semiconductor wafers supported in alignment by supporting ribs; a bottom plate removably attached to the bottom of the container body; and a pair of conveyor contact rails integrally formed along both the left and right sides of this bottom plate. These conveyor contact rails inhibit vibrations and impacts acting on the semiconductor wafers when the sealed container body is conveyed by a conveyor.

Description

    BACKGROUND OF THE INVENTION
  • (1) Field of the Invention
  • The present invention relates to a substrate storage container for use in storage, transportation, preservation, processing, etc., of substrates such as, for example silicon wafers, glass, glass masks, etc.
  • (2) Description of the Prior Art
  • Recently, in order to further enhance the productivity in the semiconductor industry, large-diametric substrates such as silicon wafers, glass wafers having a diameter of 300 mm have started to be used. Because any of such substrates is liable to sag due to gravity even if it is supported either lengthwise or crosswise, it is strongly demanded that (1) they should be handled securely by being stored in a predetermined substrate storage container so as not to be broken and (2) they should be isolated from particles and organic substances or prevented from being contaminated by metal ions etc., during transportation or during preservation.
  • A substrate storage container of this type is composed of, though not illustrated, a container body for holding a plurality of substrates in alignment, a door element for opening and closing the front opening of the container body and a sealing gasket interposed between the container body and the door element for creating a seal (see Patent literature 1: Japanese Patent Application Laid-open 2000-306988 (see FIGS. 1 to 10)).
  • The container body is a molding of a front open box type, formed of a transparent resin or the like, and is used for in-line transportation and preservation. Flanges for transportation are provided as appropriate on the top, bottom and/or side walls of this container body, so that the substrate storage container can be lifted up or transported along the processing line at high speeds overhead with these flanges engaged by robots and transporters. A window for monitoring substrates is partly formed on the rear side etc., of the container body while a plurality of supporting ribs for supporting substrates at their peripheral edge are arrayed vertically (see Patent literature 2: Japanese Patent Application Laid-open Hei 10-70185 (see FIGS. 12, 14 and 17)).
  • The door element is formed in correspondence with the front of the container body, has a front retainer attached to the inner surface (rear side) facing the container body for holding individual substrates at their front periphery, and is fitted to, and removed from, the container body by a robot. The front retainer is formed of a material being more flexible than that of the supporting ribs, and the contact part with substrates is formed with a multiple number of holding grooves arrayed vertically at regular intervals.
  • Each holding groove has an approximately U-shaped or approximately V-shaped section, and is formed so that the mid height of the groove is set at a position higher than the position at which the supporting ribs holds the substrate. That is, this configuration provides the function of marginally raising the substrates from the supporting ribs when the door element is closed, whereby contact friction between the substrates and supporting ribs can be reduced and the substrates can be prevented from being contaminated with abraded particles.
  • In the substrate storage container of this configuration, when the door element is removed from the container body, substrates are inserted along the supporting ribs into the container body or conversely substrates are taken out from the supporting ribs of the container body.
  • The conventional substrate storage container is configured as described heretofore, and they are transported at high speeds overhead by robot. However, the overhead transport system is difficult to control and high in cost and has a shortcoming that only a small amount of load can be transported at a time. Further, since the installation of overhead transport needs extra space in the height dimension, it is difficult to install it in a factory with a low ceiling.
  • In view of these circumstances, in recent years, techniques for transporting the substrate storage containers using inexpensive conveyors have been proposed and have started to be put into practical use. The conveyor transport, however, entails a drawback that the substrates and the supporting ribs are rubbed against each other due to vibrations during conveyance, causing substrate contamination, which is a new, considerable problem. Particularly, in conveyor transport, there is more than a small possibility that at the joint between one conveyor and another, the bottom of the substrate storage container might collide with the rail portion of the conveyors and run on the track, whereby the substrates and the supporting ribs are rubbed against each other due to vibrations, contaminating the substrates. Further, if a strong impact acts on the storage container during transport, the substrates will be brought into rigorous contact with the interior, backside of the container body, resulting in damage or breakage.
  • To solve the above problems, a method has been proposed, in which small projections that come into point or line contact with the undersurface of the substrate are formed on the top surface of the supporting ribs so as to reduce the contact area. However, when the projection comes into point contact with the substrate, the load of the substrate concentrates on the single point, so that there is a fear of the substrate being easily damaged. Further, since these projections are minute in size, there is the problem that imperfect filling and hence shrink marks and deformation are apt to occur during molding, producing variation in the height at which the substrates are supported. Moreover, since it is difficult to finely adjust the height of the projections, there are problems that the substrates cannot be supported horizontally and that failures to unload the substrate are liable to occur due to variation in the pitch distance.
  • The present invention has been devised in view of the above, it is therefore an object of the present invention to provide a substrate storage container wherein it is possible to prevent the substrates from being contaminated as a result of abrasion between substrates and supporting ribs due to vibrations etc., occurring during conveyor transport and it is possible to prevent the substrates from being damaged or broken by strong contact of the substrates against the container body even when a large impact is applied during conveyor transport, for example.
  • SUMMARY OF THE INVENTION
  • In order to achieve the above object, according to the present invention, a substrate storage container for storing substrates in a container body, includes: a conveyor contact rail provided for the container body or a bottom plate attached to the bottom of the container body, and is characterized in that the conveyor contact rail inhibits vibrations acting on substrates when the container body is transported by a conveyor.
  • In the above configuration, the container body may be configured of a front-open box type that has substrate supporting ribs arranged vertically on both sides therein; a plurality of projections that will come in area contact with the peripheral part of the undersurface of the substrate may be formed on the top surface of each supporting rib so as to extend inwards from the outer side with respect to the width; and both the side edges of each projection maybe inclined so that the projection gradually becomes narrower inwards from the outer side with respect to the width of the container body.
  • Further, the substrate storage container may further include a position restraint member for positioning substrates, disposed in the rear of the supporting ribs, wherein at least the substrate contact area of the position restraint member is formed of a low abrading material different from that of the supporting ribs.
  • It is also preferable that the conveyor contact rail is arranged, at least, on one of the side portion, front portion and rear portion of the bottom plate, its conveyor contact surface is formed to be an essentially smooth and flat plane, and both ends of the bottom surface of the conveyor contact rail is formed to be inclined upwards toward their respective ends.
  • Further, both side edges in the end portions of the conveyor rail may be inclined so that each end of the conveyor contact rail gradually becomes wider from the non end to each end of the conveyor contact rail.
  • Here, though the container body is generally specified in the claims to be a front open boxy type such as FOUP, FOSB and the like, the container may be a top open box type or, maybe an open cassette or a carrier type. Also, the container body, either may be or need not be, partially subjected to a transparency process and/or anti-static process. The opening of the container body is opened and closed by a door element if any. This door element may incorporate a latch mechanism which, by an operation from the outside, can cause multiple engaging claws to project from or retract into the peripheral surface of the door element.
  • Examples of substrates, at least include: a single or multiple number (25 or 26 pieces) of semiconductor wafers (silicon wafers), liquid crystal cells, quartz glass, mask substrates, used in the fields of semiconductor, electricity and electronics. When semiconductor wafers are handled as the precision substrates herein, examples of substrates of course include large-diametric, 300 mm wafers. Also, the conveyor contact surface of the conveyor contact rail may be a smooth and flat plane or an essentially smooth and flat plane.
  • As described heretofore, according to the present invention, it is possible to efficiently prevent and reduce substrate contamination resulting from rubbing between the substrate and supporting ribs due to vibrations and the like during conveyor transport. If, for example, a large impact acts on the substrate storage container during conveyor transport, it is possible to prevent the substrates from being damaged or broken by strong contact between the container body and substrates.
  • DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an illustrative perspective view showing the embodiment of a substrate storage container according to the present invention;
  • FIG. 2 is a bottom view showing the embodiment of a substrate storage container according to the present invention;
  • FIG. 3 is an illustrative sectional view showing a conveyor contact rail in the embodiment of a substrate storage container of the present invention;
  • FIG. 4 is an illustrative perspective view showing an interior wall of a container body in the embodiment of a substrate storage container according to the present invention;
  • FIG. 5 is an illustrative plan view showing a supporting rib in the embodiment of a substrate storage container according to the present invention;
  • FIG. 6 is an illustrative view showing supporting ribs and a position restraint member in the embodiment of a substrate storage container according to the present invention;
  • FIG. 7 is a sectional view cut along a line VII-VII in FIG. 6, showing a state before molding of a position restraint member in the embodiment of a substrate storage container according to the present invention;
  • FIG. 8 is a view, corresponding to FIG. 7, showing a position restraint member and a base plate after molding in the embodiment of a substrate storage container according to the present invention;
  • FIG. 9 is an illustrative front view showing a front retainer in the embodiment of a substrate storage container according to the present invention;
  • FIG. 10 is an illustrative perspective view showing the second embodiment of a substrate storage container according to the present invention; and
  • FIG. 11 is a bottom view showing the second embodiment of a substrate storage container according to the present invention.
  • FIG. 12 is a bottom view showing the third embodiment of a substrate storage container according to the present invention.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Referring the drawings, a preferred embodiment of the present invention will be described hereinbelow. As shown in FIGS. 1 to 9, a substrate storage container in the present embodiment, is composed of a container body 1 for holding a plurality of semiconductor wafers supported in alignment by supporting ribs 2, a door element 20 removably fitted with a sealing gasket 25 interposed therebetween so as to open and close the front opening of the container body 1, a bottom plate 30 removably attached to container body 1 and a pair of conveyor contact rails 40 provided for the bottom plate 30. These conveyor contact rails 40 provide the function of reducing vibrations and impacts acting on the semiconductor wafers when the sealed container body 1 is conveyed by an unillustrated conveyor.
  • As the plural (25, 26 pieces or the like) semiconductor wafers, though not illustrated, 300 mm round-shaped silicon wafers and others can be considered.
  • Container body 1 is formed of, for example a light impermeable forming material into a front-open box type configuration having an opening on the front side, as shown in FIG. 1, with a high enough strength, rigidity and dimensional stability so as not be deformed. Further, this container functions so as to secure the normal operation of automatic transporters such as AGV etc. As the forming material of this container body 1, a material basically consisting of, for example, a lightweight synthetic resin excellent in formability, such as polycarbonate, cycloolefin polymer, polyetherimide, polyether sulfone or the like, with a content of carbon fibers and/or metal fibers for providing electric conductivity, can be considered.
  • As shown in FIGS. 1, 4 and 5, a plurality of supporting ribs 2 for supporting semiconductor wafers horizontally are arranged vertically on both sides inside container body 1, with a pitch of a predetermined distance. A pair of position restraint members 6 for semiconductor wafers are provided left and right in the rear of supporting ribs 2, and the paired position restraint members 6 are laid out on both sides in the rear inside container body 1.
  • Each supporting rib 2 is provided in an shelf-like form that is long in the front-to-rear direction of container body 1 so as to mount and support the semiconductor wafer in a stable and horizontal position, as shown in FIG. 4. These supporting ribs are integrally formed with the interior side of a base plate 3 that is partially flexed. A pair of base plates 3 are insert molded and integrated at both sides inside container body 1 when the container body is molded. Each supporting rib 2 has a slip stopper projection 4, having an approximate triangular shape with its oblique side curved, viewed from top, formed on the top surface thereof, ranging from the front end to the interior. This slip stopper projection 4 abuts the stored semiconductor wafer so as to prevent the wafer from slipping out forwards.
  • Formed in the front and rear on the top surface of each supporting rib are a plurality of projections 5, which are in contact with only the underside periphery (the part within 5 mm from the peripheral edge) of the semiconductor wafer. Here, a plurality of projections 5 is preferably formed so as to contact with only within 3 mm from the peripheral edge of the semiconductor wafer. These projections extend from the outer side to the inner side with respect to the width of container body 1 and both the side edges of each projection 5 are inclined so that the projection 5 becomes tapered from the outer side to the inner side with respect to the width of container body 1 (see FIGS. 4 and 5). That is, each projection 5 is formed in an elongate trapezoidal form, viewed from top and supports the semiconductor wafer horizontally with its flat surface (top).
  • Referring in detail to the projection 5, the edge part is set to range from 0.5 to 5 mm in width while the inclined angle with respect to the width direction is adjusted to fall within 0.5 to 5 degrees, so as to provide the advantage of dimensional adjustment of the height and formability. Each projection 5 is adapted to come into surface contact with only the underside periphery at the part within 5 mm from the peripheral edge of the semiconductor wafer. This is because if the contact part exceeds 5 mm, the projection will come into contact with the chip area of the semiconductor wafer without reducing generation of particles, hence lowering the production yield due to particle contamination.
  • Each position restraint member 6 is molded, essentially in an elongate plate form, of a low abrading material different from that of supporting rib 2 and integrated at the rear of base plate 3, providing the functions of regulating the inserted positions of the semiconductor wafers and holding the periphery of the semiconductor wafers at both sides on the interior side of the container as a front retainer 23 of door element 20 holds the periphery of the semiconductor wafers on the front side of the container, by abutment of inclined facets (see FIG. 4 and FIGS. 6 to 10).
  • As the material for position restraint members 6, thermoplastic resins excellent in abrasion resistance and smoothness, such as polyethylene terephthalate, polybutylene terephthalate, polyether etherketone etc., and thermoplastic resins containing a sliding agent such as fluoro, silicone compounds, etc., and reinforcing materials such as carbon fiber, glass fiber, metal fiber, etc., can be considered.
  • In forming position restraint member 6, multiple supporting ribs 2 and base plate 3 are integrally molded (initial molding) of conductive polycarbonate (primary side resin), then the material for position restraint members (secondary side resin) is filled for molding from the rear side of base plate 3 where no supporting rib 2 is provided. The thus integrated position restraint member 6 with base plate 3 is inserted together with base plate 3 and supporting ribs 2 into the mold for container body 1 when the container body is molded, to thereby complete integration with container body 1. Integration of position restraint members 6 makes it possible to reduce residual water during washing and shorten the time for drying. In the insert molding, the resin for the part that is molded first is called the primary side resin and the resin for the part that is molded second is called the secondary side resin.
  • If it is difficult to fuse position restraint member 6 with base plate 3 (when position restraint member 6 is composed of polybutylene terephthalate, polyether etherketone, fluoro resin, or the like), the forming process is performed as follows.
  • First, a multiple number of through-holes 7 to form the holds between position restraint member 6 and base plate 3 are formed (see FIG. 6) in the rear part of each base plate 3 on which position restraint member 6 is formed. Then position restraint member 6 consisting of the secondary side resin is molded from the rear side of base plate 3 (see FIG. 7) on which no supporting rib 2 exists. In each opening between the front and rear surfaces, a connecting portion 13 that is narrower than the sizes of the openings at the front and rear sides is formed. Thereafter, molding is formed so that the resin fills both the front and rear sides via multiple through-holes 7. Thus, as position restraint member 6 is integrated with base plate 3 so as to hold base plate 3 from the front and rear surfaces, it is possible to strongly attach position restraint member 6 to base plate 3 (see FIG. 8).
  • As shown in FIG. 1, an approximately rectangular, viewed from top, robotic flange 8 is removably attached by screw fitting to the top of container body 1. The substrate storage container is transported through the processing line while this robotic flange 8 is being held by an unillustrated automatic transport mechanism called OHT (overhead hoist transfer). A rim portion 9 for door fitting shown in FIG. 1 is integrally formed so as to project sidewards around the periphery of the front opening of container body 1. The inner stepped surface of this rim portion 9 is formed to be a sealing surface 10, and a pair of engaging holes 11 positioned a predetermined distance apart from each other are formed in both the top and bottom portions of this sealing surface 10.
  • A window for monitoring semiconductor wafers or adjusting the position of semiconductor wafers may be optionally formed on the rear side or on a flank of container body 1. It is preferred that this unillustrated window can be closed in an openable manner by a shutter when it is not needed, that is, during transport or preservation of semiconductor wafers. This shutter may be provided as a slidable curtain that is arranged near the window and covers it. Alternatively, the shutter may be provided as a light shading film that can removably cover the window.
  • As shown in the same drawing, a side handle 12 for manual transport is removably attached to either of the outer side walls of container body 1. Each side handle 12 is provided in an essentially L-shape, having a grip portion parallel to the bottom of container body 1 and a grip portion perpendicular to the bottom of container body 1.
  • As shown in FIG. 1, door element 20 is formed of a synthetic resin similar to that of container body 1 and provided as an essentially rectangular hollow structure which incorporates a latch mechanism 21 which, by a rotational operation from the outside, causes a plurality of engaging claws 22 to project from, and retract into, the peripheral surface thereof. With this latch mechanism 21, each engaging claw 22 fits into engaging hole 11 of container body 1, so as to tightly close the front of container body 1 by locking up the door element.
  • As shown in FIG. 9, a front retainer 23 for individually holding semiconductor wafers at their front peripheral edge is removably attached to the inner face of door element 20. An endless sealing gasket 25 is removably attached around the peripheral surface of door element 20, being fitted by means of projections, grooves and/or the like. This sealing gasket 25 is made in press-contact with sealing surface 10 of container body 1, providing a sealing function.
  • Front retainer 23 is given essentially in a lattice-like configuration and formed of a relatively soft material compared to supporting ribs 2, from a viewpoint of protectively holding the semiconductor wafers in contact. This front retainer is formed in its contact portion with the semiconductor wafers with a plurality of holding grooves 24 arranged vertically in parallel with, and a predetermined distance apart from, each other. Each holding groove 24 has an approximately U-shaped or approximately V-shaped section, and the mid height of the groove is set at a position higher than the position at which the supporting ribs 2 hold the substrate. That is, the semiconductor wafers are marginally raised from the supporting ribs 2 when door element 20 is closed, so that contact friction between the semiconductor wafers and supporting ribs 2 can be reduced and the semiconductor wafers can be prevented from being contaminated with abraded particles.
  • Sealing gasket 25 is molded in a frame-like form of a thermoplastic elastomer, selected from polyolefin, polyester elastomers and the like, fluororubber, silicone rubber or the like. Preferably, this sealing element is molded of a molding material which contains little organic components that would contaminate semiconductor wafers and has a hardness of 80 degrees or lower, based on the K6301A measurement defined by JIS.
  • Bottom plate 30 is essentially formed in a laterally long rectangular shape as shown in FIGS. 1 and 2, and has some through-holes to allow for detection and distinction of the type of the substrate storage container, and is removably attached to the underside at the bottom of container body 1. This bottom plate 30 has positioning elements 31 for processing equipment having an approximately v-shaped section, arranged at both sides in the front and at the center in the rear thereof. These positioning elements 31 are arranged at positions forming a triangle and receive pins of the unillustrated processing equipment, so as to position the substrate storage container. As the substrate storage container has been positioned, semiconductor wafers are loaded from the substrate storage container to the processing equipment and unloaded vice versa.
  • These positioning elements 31 are molded of a material having an excellent abrasion resistance, such as polycarbonate, polybutylene terephthalate, polyether etherketone, polyimide or the like, and provided integrally with or separated from bottom plate 30.
  • The processing equipment herein indicates a device for loading semiconductor wafers from the substrate storage container and transferring them into another in-process container, a device for automatically removing door element 20 from the substrate storage container or mounting container body 1 with its door element 20 open and loading semiconductor wafers thereinto, and loading semiconductor wafers into, or unloading from, another in-process container for implementing various treatments and processes such as oxidation, photoresist application, exposure, etching, cleaning, membrane forming and others.
  • A pair of conveyor contact rails 40 are formed along the left and right sides of bottom plate 30 as shown in FIGS. 1 to 3. The bottom surface, i.e., conveyor contact surface 41 is a smooth, flat plane, with the front and rear ends of conveyor contact rail 40, cut away forming bottom surfaces 42 that are inclined upward towards their respective ends. Each conveyor contact rail 40 is formed of a resin excellent in abrasion resistance and slidability, such as polyether etherketone, polyether sulfone, polycarbonate, polybutylene terephthalate, etc., or one of these resins having carbon fiber, fluoro resin, silicone resin or the like added therein.
  • These conveyor contact rails 40 may be formed separately of the aforementioned material and attached to bottom plate 30 or container body 1, or may be integrally formed as part of bottom plate 30. Conveyor contact rails 40 maybe attached as separate parts to the left and right sides of bottom plate 30. Conveyor contact surface 41 may be attached with an abrasion-resistant coating or rollers.
  • The amount of deformation of conveyor contact surface 41 is preferably limited to 0.3 mm or smaller. This amount of deformation can be determined as the difference between the maximum height and the minimum height from a reference surface of conveyor contact rail 40. The inclined bottom surfaces 42 at both the front and rear of conveyor contact rail 40 are specified to be 5 mm or longer (the length L from the end shown in FIG. 3). The bottom surfaces 42 at both the front and rear of conveyor contact rail 40 are inclined with an angle of inclination θ. This angle of inclination θ is adjusted to 1 to 10 degrees, or preferably 2 to 7 degrees.
  • It is also possible to provide a pair of guides arranged perpendicularly to the length of conveyor contact rails 40 in order to prevent the container from falling off the conveyor. Further, in order to make it easy to load the container into the conveyor rails of the conveyor, the side edges of each end part of conveyor contact rail 40 may be formed to be counter tapered with respect to the horizontal, or in other words, the end part of conveyor contact rail 40 may be formed to gradually become wider from the non end to the end, forming a guiding portion. Moreover, the edges of the inclined bottom surface 42 at the end part of the conveyor contact rail may be rounded.
  • According to the above configuration, it is possible to transport the substrate storage container using low-cost conveyors instead of using overhead transport, hence it is possible to omit the use of a overhead transport system, which is not only expensive but also difficult in control. Further, it is also possible to markedly improve the amount of transport at one time and simply use the substrate storage containers in a factory with a low ceiling.
  • Further, since conveyor contact surfaces 41 of paired conveyor contact rails 40 are each formed with a smooth flat plane, it is possible to significantly reduce the vibrations during conveyance and there is no fear of contaminating semiconductor wafers due to rubbing between semiconductor wafers and supporting ribs 2 resulting from vibrations during transport. Since each semiconductor wafer is supported by flat surfaces of multiple projections 5, the load of the semiconductor wafer will not concentrate on pointed contacts, so that there is no fear of the semiconductor wafers being damaged.
  • Since each projection 5 comes into area contact with the semiconductor wafer's undersurface in the portion within 5 mm from the outer peripheral edge, it is possible to minimize the contract area. As a result it is possible to reduce the level of semiconductor wafer contamination with particles to as low as half the ordinary level. This particle contamination of semiconductor wafers can be checked by a semiconductor wafer inspection system (surfscan system) which classifies impurities by use of optical scattering, or by measuring the particles existing in the cleansing liquid when the semiconductor wafers are washed with pure water, using a liquid particle counter, or by other methods. Moreover, since projections 5 are not of a minute configuration, no short shot, shrink and deformation during molding will occur, hence it possible to effectively prevent the occurrence of variation in the height for supporting the semiconductor wafers. Furthermore, since the edge part of each projection 5 is set to range from 0.5 to 5 mm in width and the inclined angle with respect to the width direction is adjusted to fall within 0.5 to 5 degrees, the height of projection 5 can be finely and easily controlled. Accordingly, there is no fear that the semiconductor wafers cannot be supported horizontally and that the semiconductor wafers fail to be taken out successfully due to variation in the pitch distance.
  • Next, FIGS. 10 and 11 show the second embodiment of the present invention. In this embodiment, provided is a pair of conveyor contact rails 40, which are directed in the lateral direction perpendicular to the opening and closing direction of door element 20, and disposed at the bottom of the rim portion of container body 1 and at the rear of bottom plate 30. The conveyor contact rail 40 of bottom plate 30 is provided in a groove form so as to provide a guiding function.
  • The conveyor rail 40 of bottom plate 30 is constructed such that the side edges of each end part are formed to be counter tapered with respect to the horizontal, or in other words, the end part of conveyor contact rail 40 is formed to gradually become wider from the non end to the end, forming an essentially trapezoidal guiding portion 43, in top view. The other components are the same as the above embodiment, so the description is omitted.
  • Also in this embodiment, the same operation and effect as that of the above embodiment can be expected. In addition, since the depressed, conveyor contact rail 40 of bottom plate 30 provides the guiding function, so that it is obvious that this simple configuration of the substrate storage container is able to effectively prevent itself from falling off the conveyor track.
  • Next, FIG. 12 shows the third embodiment of the present invention. In this embodiment, provided is a pair of conveyor contact rails 40, which are directed in the direction parallel to the opening and closing direction of door element 20, and integrally disposed with the bottom of container body 1 so as to provide a guide function. Here, in the configuration of FIG. 12, in the rear portion of container body 1, instead of the bottom plate 30 which would cover almost total area of bottom surface of the container body 1 as illustrated in the previous embodiments, disposed is an info pad plate 30′ which would cover an area for identifying the container body 1 when mounted on a production equipment. The info pad plate 30′ is provided with a plurality of through holes which can be closed with unillustrated dedicated plugs for each hole. The other components are the same as the above embodiment, so the description is omitted.
  • Also in this embodiment, the same operation and effect as that of the above embodiment can be expected. In addition, since the conveyor contact rail 40 which is integrally disposed with the bottom of container body 1 provides the guiding function, so that it is obvious that this simple configuration of the substrate storage container is able to effectively prevent itself from falling off the conveyor track.

Claims (9)

1. A substrate storage container for storing substrates in a container body, comprising:
a conveyor contact rail provided for the container body or a bottom plate attached to the bottom of the container body, characterized in that the conveyor contact rail inhibits vibrations acting on substrates when the container body is transported by a conveyor.
2. The substrate storage container according to claim 1, wherein the container body is configured of a front-open box type that has substrate supporting ribs arranged vertically on both sides therein;
a plurality of projections that will come in area contact with the peripheral part of the undersurface of the substrate are formed on the top surface of each supporting rib so as to extend inwards from the outer side with respect to the width; and
both the side edges of each projection are inclined so that the projection gradually becomes narrower inwards from the outer side with respect to the width of the container body.
3. The substrate storage container according to claim 2, further including:
a position restraint member for positioning substrates, disposed in the rear of the supporting ribs, wherein at least the substrate contact area of the position restraint member is formed of a low abrading material different from that of the supporting ribs.
4. The substrate storage container according to claim 3, wherein the conveyor contact rail is arranged, at least, on one of the side portion, front portion and rear portion of the bottom plate, its conveyor contact surface is formed to be an essentially smooth and flat plane, and both ends of the bottom surface of the conveyor contact rail is formed to be inclined upwards toward their respective ends.
5. The substrate storage container according to claim 4, wherein both side edges in the end portions of the conveyor rail are inclined so that each end of the conveyor contact rail gradually becomes wider from the non end to each end of the conveyor contact rail.
6. The substrate storage container according to claim 2, wherein the conveyor contact rail is arranged, at least, on one of the side portion, front portion and rear portion of the bottom plate, its conveyor contact surface is formed to be an essentially smooth and flat plane, and both ends of the bottom surface of the conveyor contact rail is formed to be inclined upwards toward their respective ends.
7. The substrate storage container according to claim 6, wherein both side edges in the end portions of the conveyor rail are inclined so that each end of the conveyor contact rail gradually becomes wider from the non end to each end of the conveyor contact rail.
8. The substrate storage container according to claim 1, wherein the conveyor contact rail is arranged, at least, on one of the side portion, front portion and rear portion of the bottom plate, its conveyor contact surface is formed to be an essentially smooth and flat plane, and both ends of the bottom surface of the conveyor contact rail is formed to be inclined upwards toward their respective ends.
9. The substrate storage container according to claim 8, wherein both side edges in the end portions of the conveyor rail are inclined so that each end of the conveyor contact rail gradually becomes wider from the non end to each end of the conveyor contact rail.
US11/319,443 2005-12-29 2005-12-29 Substrate storage container Active 2027-05-31 US8365919B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/319,443 US8365919B2 (en) 2005-12-29 2005-12-29 Substrate storage container

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/319,443 US8365919B2 (en) 2005-12-29 2005-12-29 Substrate storage container

Publications (2)

Publication Number Publication Date
US20070151897A1 true US20070151897A1 (en) 2007-07-05
US8365919B2 US8365919B2 (en) 2013-02-05

Family

ID=38223268

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/319,443 Active 2027-05-31 US8365919B2 (en) 2005-12-29 2005-12-29 Substrate storage container

Country Status (1)

Country Link
US (1) US8365919B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080302701A1 (en) * 2007-06-08 2008-12-11 Lee Po-Shin Reticle pod and reticle transport pod
US20090200250A1 (en) * 2008-02-07 2009-08-13 Multimetrixs, Llc Cleanliness-improved wafer container
US20090208669A1 (en) * 2008-02-15 2009-08-20 Multimetrixs. Llc Apparatus and method for application of a thin barrier layer onto inner surfaces of wafer containers
US20110005967A1 (en) * 2008-01-13 2011-01-13 Entegris, Inc. Methods and apparatuses for large diameter wafer handling
US20120118083A1 (en) * 2009-04-13 2012-05-17 Hirata Corporation Substrate Carrier Measuring Jig, Collision Preventing Jig, and Collision Preventing Method Using the Collision Preventing Jig
WO2020005611A1 (en) * 2018-06-27 2020-01-02 Murata Machinery, Ltd. Substrate carrier and substrate carrier stack

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI431712B (en) * 2011-09-20 2014-03-21 Gudeng Prec Ind Co Ltd Large-sized front opening unified wafer pod
US9960064B2 (en) * 2013-06-19 2018-05-01 Miraial Co., Ltd. Substrate storing container
WO2016046985A1 (en) * 2014-09-26 2016-03-31 ミライアル株式会社 Substrate storing container
US11923224B2 (en) * 2018-09-06 2024-03-05 Mitsubishi Electric Corporation Carrier positioning member and carrier placement platform
TWI751814B (en) * 2020-09-22 2022-01-01 家登精密工業股份有限公司 Central support device for supporting sheets and storage equipment for storing sheets

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4072230A (en) * 1976-04-20 1978-02-07 L. K. Van Keuren Company, Inc. Assembly for supporting delicate equipment during shipping
US5788082A (en) * 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US6223886B1 (en) * 1998-06-24 2001-05-01 Asyst Technologies, Inc. Integrated roller transport pod and asynchronous conveyor
US6267245B1 (en) * 1998-07-10 2001-07-31 Fluoroware, Inc. Cushioned wafer container
US6273261B1 (en) * 1999-04-30 2001-08-14 Shin-Etsu Polymer Co., Ltd. Identification structure of a substrate storage container and method of identifying a substrate storage container
US20010045174A1 (en) * 2000-05-29 2001-11-29 Middlesex Industries S.A. Container for conveying flat objects
US6382419B1 (en) * 1999-04-20 2002-05-07 Shin-Etsu Polymer Co. Ltd. Wafer container box
US6446806B1 (en) * 1998-11-24 2002-09-10 Shin-Etsu Polymer Co., Ltd. Transportation container and method for opening and closing lid thereof
US6499602B2 (en) * 2000-04-17 2002-12-31 Shin-Etsu Polymer Co., Ltd. Support device for a wafer shipping container
US6520338B2 (en) * 1998-07-10 2003-02-18 Entegris, Inc. Wafer carrier having a low tolerance build-up
US6533101B2 (en) * 1998-06-24 2003-03-18 Asyst Technologies, Inc. Integrated transport carrier and conveyor system
US20040099569A1 (en) * 2002-12-02 2004-05-27 Miraial Co., Ltd. Thin plate storage container
US7051887B2 (en) * 2002-10-09 2006-05-30 Hon Hai Precision Ind. Co., Ltd. Supporting column and cassette using the same
US7168153B2 (en) * 2002-10-10 2007-01-30 Maxtor Corporation Method for manufacturing single-sided hard memory disks
US7201276B2 (en) * 2003-11-07 2007-04-10 Entegris, Inc. Front opening substrate container with bottom plate
US7322098B2 (en) * 2002-05-09 2008-01-29 Maxtor Corporation Method of simultaneous two-disk processing of single-sided magnetic recording disks
US7357258B2 (en) * 2003-12-02 2008-04-15 Miraial Co., Ltd. Thin-plate supporting container

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60190625U (en) 1984-05-29 1985-12-17 三甲株式会社 transport container
JPH0559223U (en) 1992-01-10 1993-08-06 日本測定工具株式会社 Measuring unit
JP4372313B2 (en) 2000-06-20 2009-11-25 信越ポリマー株式会社 Substrate storage container

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4072230A (en) * 1976-04-20 1978-02-07 L. K. Van Keuren Company, Inc. Assembly for supporting delicate equipment during shipping
US5788082A (en) * 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US6223886B1 (en) * 1998-06-24 2001-05-01 Asyst Technologies, Inc. Integrated roller transport pod and asynchronous conveyor
US6533101B2 (en) * 1998-06-24 2003-03-18 Asyst Technologies, Inc. Integrated transport carrier and conveyor system
US6520338B2 (en) * 1998-07-10 2003-02-18 Entegris, Inc. Wafer carrier having a low tolerance build-up
US6267245B1 (en) * 1998-07-10 2001-07-31 Fluoroware, Inc. Cushioned wafer container
US6446806B1 (en) * 1998-11-24 2002-09-10 Shin-Etsu Polymer Co., Ltd. Transportation container and method for opening and closing lid thereof
US6382419B1 (en) * 1999-04-20 2002-05-07 Shin-Etsu Polymer Co. Ltd. Wafer container box
US6273261B1 (en) * 1999-04-30 2001-08-14 Shin-Etsu Polymer Co., Ltd. Identification structure of a substrate storage container and method of identifying a substrate storage container
US6499602B2 (en) * 2000-04-17 2002-12-31 Shin-Etsu Polymer Co., Ltd. Support device for a wafer shipping container
US20010045174A1 (en) * 2000-05-29 2001-11-29 Middlesex Industries S.A. Container for conveying flat objects
US7322098B2 (en) * 2002-05-09 2008-01-29 Maxtor Corporation Method of simultaneous two-disk processing of single-sided magnetic recording disks
US7051887B2 (en) * 2002-10-09 2006-05-30 Hon Hai Precision Ind. Co., Ltd. Supporting column and cassette using the same
US7168153B2 (en) * 2002-10-10 2007-01-30 Maxtor Corporation Method for manufacturing single-sided hard memory disks
US20040099569A1 (en) * 2002-12-02 2004-05-27 Miraial Co., Ltd. Thin plate storage container
US7201276B2 (en) * 2003-11-07 2007-04-10 Entegris, Inc. Front opening substrate container with bottom plate
US7357258B2 (en) * 2003-12-02 2008-04-15 Miraial Co., Ltd. Thin-plate supporting container

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080302701A1 (en) * 2007-06-08 2008-12-11 Lee Po-Shin Reticle pod and reticle transport pod
US20110005967A1 (en) * 2008-01-13 2011-01-13 Entegris, Inc. Methods and apparatuses for large diameter wafer handling
US8919563B2 (en) * 2008-01-13 2014-12-30 Entegris, Inc. Methods and apparatus for large diameter wafer handling
US9592930B2 (en) 2008-01-13 2017-03-14 Entegris, Inc. Methods and apparatus for large diameter wafer handling
US20090200250A1 (en) * 2008-02-07 2009-08-13 Multimetrixs, Llc Cleanliness-improved wafer container
US20090208669A1 (en) * 2008-02-15 2009-08-20 Multimetrixs. Llc Apparatus and method for application of a thin barrier layer onto inner surfaces of wafer containers
US20120118083A1 (en) * 2009-04-13 2012-05-17 Hirata Corporation Substrate Carrier Measuring Jig, Collision Preventing Jig, and Collision Preventing Method Using the Collision Preventing Jig
US9111978B2 (en) * 2009-04-13 2015-08-18 Hirata Corporation Substrate carrier measuring jig, collision preventing jig, and collision preventing method using the collision preventing jig
WO2020005611A1 (en) * 2018-06-27 2020-01-02 Murata Machinery, Ltd. Substrate carrier and substrate carrier stack

Also Published As

Publication number Publication date
US8365919B2 (en) 2013-02-05

Similar Documents

Publication Publication Date Title
US8365919B2 (en) Substrate storage container
US7823730B2 (en) Substrate storage container
KR100593217B1 (en) Wafer container box
KR101222792B1 (en) Substrate storage container and method for manufacturing the same
US7523829B2 (en) Precision substrate storage container
US6098809A (en) Storage container for precision substrates
EP1758161B1 (en) Substrate storage container and method of positioning the container
EP1152455B1 (en) Transportation container and method for opening and closing lid thereof
KR100929471B1 (en) Fixing carrier, fixing carrier manufacturing method, method of using fixing carriers and substrate storage container
KR100849276B1 (en) Substrate carrier
US20100307957A1 (en) Reusable resilient cushion for wafer container
JP4159946B2 (en) Substrate storage container
JP4255261B2 (en) Substrate storage container
TW201917076A (en) Transportation container
JP3938233B2 (en) Sealed container
JP4372313B2 (en) Substrate storage container
JP2004304122A (en) Precision board storing vessel
KR101486612B1 (en) Container for processing tool for mounting substrate
JP2001298077A (en) Substrate storage container
TW202036764A (en) Substrate accommodating container
KR100711335B1 (en) Transportation container and method for opening and closing lid thereof
JP4204375B2 (en) Storage container
KR20230120401A (en) Grip unit for OHT vehicle
KR20210119257A (en) Reticle pods and wear-resistant parts
KR20040063469A (en) Wafer cassette loading unloading equipment

Legal Events

Date Code Title Description
AS Assignment

Owner name: SHIN-ETSU POLYMER CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NAKAYAMA, TAKAYUKI;SUMI, ATSUSHI;REEL/FRAME:017425/0397

Effective date: 20051222

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8