US20070080405A1 - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
US20070080405A1
US20070080405A1 US11/542,269 US54226906A US2007080405A1 US 20070080405 A1 US20070080405 A1 US 20070080405A1 US 54226906 A US54226906 A US 54226906A US 2007080405 A1 US2007080405 A1 US 2007080405A1
Authority
US
United States
Prior art keywords
gate electrode
region
film
active region
electrode film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/542,269
Other versions
US7646065B2 (en
Inventor
Naoki Kotani
Gen Okazaki
Shinji Takeoka
Junji Hirase
Akio Sebe
Kazuhiko Aida
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Godo Kaisha IP Bridge 1
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Assigned to MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD. reassignment MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIDA, KAZUHIKO, HIRASE, JUNJI, SEBE, AKIO, TAKEOKA, SHINJI, KOTANI, NAOKI, Okazaki, Gen
Publication of US20070080405A1 publication Critical patent/US20070080405A1/en
Assigned to PANASONIC CORPORATION reassignment PANASONIC CORPORATION CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
Application granted granted Critical
Publication of US7646065B2 publication Critical patent/US7646065B2/en
Assigned to GODO KAISHA IP BRIDGE 1 reassignment GODO KAISHA IP BRIDGE 1 ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PANASONIC CORPORATION (FORMERLY MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.)
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Definitions

  • the present invention relates to semiconductor devices and methods for fabricating the devices, and particularly relates to semiconductor devices including fully-silicided (FUSI) gate electrodes and methods for fabricating the devices.
  • FUSI fully-silicided
  • a film having a high dielectric constant i.e., a high- ⁇ film
  • a gate electrode is fully made of a metal so that reduction of gate leakage current and enhancement of transistor driving ability are both achieved.
  • FIGS. 16A and 16B illustrate a full silicidation process in a method for fabricating a conventional MIS transistor.
  • FIG. 16A is a cross-sectional view in the gate width direction and
  • FIG. 16B is a cross-sectional view in the gate length direction (see, for example, International Electron Device Meeting p. 95, 2004).
  • an isolation region 102 is selectively formed in a semiconductor substrate 101 , thereby forming an active region 101 a .
  • a gate insulating film 103 and a gate electrode film 104 made of polysilicon are deposited.
  • the gate electrode film 104 is patterned such that the ends of the gate electrode film 104 in the gate width direction are located inside the isolation region 102 when viewed from above.
  • an offset sidewall 105 is formed on the side of the gate electrode film 104 .
  • an extension region 106 and a pocket region 107 having a conductivity different from that of the extension region 106 are sequentially formed below the side of the offset sidewall 105 in the active region 101 a .
  • a sidewall 108 is formed at the side of the gate electrode film 104 with the offset sidewall 105 interposed therebetween.
  • a source/drain region 109 is formed below the side of the sidewall 108 in the active region 101 a . Then, only an upper portion of the source/drain region 109 is selectively silicided, thereby forming a silicide layer 110 . Subsequently, an interlayer insulating film 111 is formed on the semiconductor substrate 101 and then is planarized by chemical mechanical polishing (CMP) until the gate electrode film 104 is exposed. Thereafter, an upper portion of the gate electrode film 104 is selectively removed by etching.
  • CMP chemical mechanical polishing
  • a nickel film 112 is deposited by sputtering over the interlayer insulating film 111 and the gate electrode film 104 having a reduced thickness. Subsequently, the nickel film 112 is subjected to heat treatment so that reaction occurs between polysilicon forming the gate electrode film 104 and nickel, thereby forming a gate electrode (FUSI gate electrode) in which the entire gate electrode film 104 is silicided.
  • FUSI gate electrode gate electrode
  • a semiconductor device has a configuration in which silicon is left on purpose in an end (e.g., a contact region) of a gate electrode located above an isolation region, whereas the gate electrode is fully silicided above an active region.
  • a semiconductor device is characterized by including: an isolation region formed in a semiconductor substrate; an active region surrounded by the isolation region in the semiconductor substrate; a gate insulating film formed on the active region; and a gate electrode formed across the boundary between the active region and the isolation region adjacent to the active region, wherein the gate electrode includes a first portion which is located above the active region with the gate insulating film interposed therebetween and is entirely made of a silicide region in a thickness direction and a second portion which is located above the isolation region and is made of a silicon region and the silicide region covering the silicon region.
  • depletion occurs in the silicon region remaining in the second portion, so that the gate electrode capacitance is reduced, as compared to the case of siliciding the entire gate electrode.
  • the silicon region is located above the isolation region and is apart from the boundary between the active region and the isolation region.
  • the silicon region is preferably formed across a portion above the active region.
  • the length in a gate length direction of the second portion of the gate electrode is preferably larger than that of the first portion of the gate electrode.
  • the length in a gate length direction of the first portion of the gate electrode is preferably equal to that of the second portion of the gate electrode.
  • the second portion of the gate electrode is preferably a contact region.
  • the silicon region is preferably made of one of polysilicon and amorphous silicon.
  • the silicide region is preferably made of nickel silicide.
  • the gate insulating film is preferably made of a high-dielectric-constant film.
  • a method for fabricating a semiconductor device is characterized by including the steps of: (a) forming an isolation region in a semiconductor substrate, thereby forming an active region surrounded by the isolation region; (b) forming a gate insulating film on the active region; (c) forming a gate electrode film made of silicon across the boundary between the active region and the isolation region adjacent to the active region, after the step (b); (d) forming a metal film on the gate electrode film; and (e) performing heat treatment on the semiconductor substrate, thereby siliciding the gate electrode film with the metal film and forming a gate electrode, wherein in the step (e), the entire region in a thickness direction of a first portion of the gate electrode film located above the active region is silicided, whereas a second portion of the gate electrode film located above the isolation region is silicided with a silicon region left in a portion of the second portion.
  • the gate electrode is silicided with metal with a silicon region being left in a portion of an end of the gate electrode, so that depletion occurs in the silicon region. Accordingly, the gate electrode capacitance is reduced, as compared to the case of siliciding the entire gate electrode.
  • the gate electrode film is preferably formed such that the length in a gate length direction of the second portion of the gate electrode film is larger than that of the first portion of the gate electrode film.
  • the gate electrode film is preferably formed such that the length in a gate length direction of the first portion of the gate electrode film is equal to that of the second portion of the gate electrode film.
  • the method of the present invention preferably further includes the step (f) of removing an upper portion of the first portion of the gate electrode film, after the step (c) and before the step (d).
  • the method of the present invention preferably further includes the step (g) of removing an upper portion of the metal film located on the second portion of the gate electrode film, after the step (d) and before the step (e).
  • the method of the present invention preferably further includes, after the step (c) and before the step (d), the steps of: (h) forming a first sidewall made of a first insulating film on a side face of the gate electrode film; and (i) implanting impurity ions in the active region using the gate electrode film and the first sidewall as a mask after the step (h), thereby forming an extension region in the active region.
  • the method of the present invention preferably further includes, after the step (i) and before the step (d), the steps of: (j) forming a second sidewall made of a second insulating film at the side face of the gate electrode film with the first sidewall interposed therebetween; and (k) implanting impurity ions in the active region using the gate electrode film, the first sidewall and the second sidewall as a mask after the step (j), thereby forming a source/drain region in the active region.
  • the method of the present invention preferably further includes, after the step (k) and before the step (d), the step (i) of forming a silicide layer on the source/drain region.
  • FIGS. 1A and 1B illustrate a semiconductor device according to a first embodiment of the present invention.
  • FIG. 1A is a plan view and
  • FIG. 1B is a cross-sectional view taken along the line Ib-Ib in FIG. 1A .
  • FIGS. 2A through 2D illustrate cross-sectional structures in respective process steps of a method for fabricating a semiconductor device according to the first embodiment in the order of fabrication.
  • FIG. 2A is a cross-sectional view taken along the line IIa-IIa in FIG. 2B
  • FIG. 2B is a cross-sectional view taken along the line IIb-IIb in FIG. 2A
  • FIG. 2C is a cross-sectional view taken along the line IIc-IIc in FIG. 2D
  • FIG. 2D is a cross-sectional view taken along the line IId-IId in FIG. 2C .
  • FIGS. 3A through 3D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the first embodiment in the order of fabrication.
  • FIG. 3A is a cross-sectional view taken along the line IIIa-IIIa in FIG. 3 B
  • FIG. 3B is a cross-sectional view taken along the line IIIb-IIIb in FIG. 3A
  • FIG. 3C is a cross-sectional view taken along the line IIIc-IIIc in FIG. 3D
  • FIG. 3D is a cross-sectional view taken along the line IIId-IIId in FIG. 3C .
  • FIGS. 4A through 4D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the first embodiment in the order of fabrication.
  • FIG. 4A is a cross-sectional view taken along the line IVa-IVa in FIG. 4B
  • FIG. 4B is a cross-sectional view taken along the line IVb-IVb in FIG. 4A
  • FIG. 4C is a cross-sectional view taken along the line IVc-IVc in FIG. 4D
  • FIG. 4D is a cross-sectional view taken along the line IVd-IVd in FIG. 4C .
  • FIGS. 5A through 5D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the first embodiment in the order of fabrication.
  • FIG. 5A is a cross-sectional view taken along the line Va-Va in FIG. 5B
  • FIG. 5B is a cross-sectional view taken along the line Vb-Vb in FIG. 5A
  • FIG. 5C is a cross-sectional view taken along the line Vc-Vc in FIG. 5D
  • FIG. 5D is a cross-sectional view taken along the line Vd-Vd in FIG. 5C .
  • FIGS. 6A through 6D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the first embodiment in the order of fabrication.
  • FIG. 6A is a cross-sectional view taken along the line VIa-VIa in FIG. 6B
  • FIG. 6B is a cross-sectional view taken along the line VIb-VIb in FIG. 6A
  • FIG. 6C is a cross-sectional view taken along the line VIc-VIc in FIG. 6D
  • FIG. 6D is a cross-sectional view taken along the line VId-VId in FIG. 6C .
  • FIGS. 7A and 7B illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the first embodiment.
  • FIG. 7A is a cross-sectional view taken along the line VIIa-VIIa in FIG. 7B and
  • FIG. 7B is a cross-sectional view taken along the line VIIb-VIIb in FIG. 7A .
  • FIGS. 8A and 8B illustrate a semiconductor device according to a second embodiment of the present invention.
  • FIG. 8A is a plan view and
  • FIG. 8B is a cross-sectional view taken along the line VIIIb-VIIIb in FIG. 8A .
  • FIGS. 9A through 9D illustrate cross-sectional structures in respective process steps of a method for fabricating a semiconductor device according to the second embodiment in the order of fabrication.
  • FIG. 9A is a cross-sectional view taken along the line IXa-IXa in FIG. 9B
  • FIG. 9B is a cross-sectional view taken along the line IXb-IXb in FIG. 9A
  • FIG. 9C is a cross-sectional view taken along the line IXc-IXc in FIG. 9D
  • FIG. 9D is a cross-sectional view taken along the line IXd-IXd in FIG. 9C .
  • FIGS. 10A through 10D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the second embodiment in the order of fabrication.
  • FIG. 10A is a cross-sectional view taken along the line Xa-Xa in FIG. 10B
  • FIG. 10B is a cross-sectional view taken along the line Xb-Xb in FIG. 10A
  • FIG. 10C is a cross-sectional view taken along the line Xc-Xc in FIG. 10D
  • FIG. 10D is a cross-sectional view taken along the line Xd-Xd in FIG. 1C .
  • FIGS. 11A through 11D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the second embodiment in the order of fabrication.
  • FIG. 11A is a cross-sectional view taken along the line XIa-XIa in FIG. 11B
  • FIG. 11B is a cross-sectional view taken along the line XIb-XIb in FIG. 11A
  • FIG. 11C is a cross-sectional view taken along the line XIc-XIc in FIG. 11D
  • FIG. 11D is a cross-sectional view taken along the line XId-XId in FIG. 11C .
  • FIGS. 12A through 12D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the second embodiment in the order of fabrication.
  • FIG. 12A is a cross-sectional view taken along the line XIIa-XIIa in FIG. 12B
  • FIG. 12B is a cross-sectional view taken along the line XIIb-XIIb in FIG. 12A
  • FIG. 12C is a cross-sectional view taken along the line XIIc-XIIc in FIG. 12D
  • FIG. 12D is a cross-sectional view taken along the line XIId-XIId in FIG. 12C .
  • FIGS. 13A and 13B illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the second embodiment.
  • FIG. 13A is a cross-sectional view taken along the line XIIIa-XIIIa in FIG. 13B and
  • FIG. 13B is a cross-sectional view taken along the line XIIIb-XIIIb in FIG. 13A .
  • FIGS. 14A and 14B illustrate a semiconductor device according to a modified example of the second embodiment.
  • FIG. 14A is a plan view and
  • FIG. 14B is a cross-sectional view taken along the line XIVb-XIVb in FIG. 14A .
  • FIGS. 15A through 15D illustrate main portions of cross-sectional structures in respective process steps of a method for fabricating a semiconductor device according to a third embodiment of the present invention.
  • FIG. 15A is a cross-sectional view taken along the line XVa-XVa in FIG. 15B
  • FIG. 15B is a cross-sectional view taken along the line XVb-XVb in FIG. 15A
  • FIG. 15C is a cross-sectional view taken along the line XVc-XVc in FIG. 15D
  • FIG. 15D is a cross-sectional view taken along the line XVd-XVd in FIG. 15C .
  • FIGS. 16A and 16B illustrate a full silicidation process in a method for fabricating a conventional MIS transistor.
  • FIG. 16A is a cross-sectional view in the gate width direction taken along the line XVIa-XVIa in FIG. 16A and
  • FIG. 16B is a cross-sectional view in the gate length direction and taken along the line XVIb-XVIb in FIG. 16A .
  • FIGS. 1A and 1B illustrate a semiconductor device according to the first embodiment.
  • FIG. 1A is a plan view and FIG. 1B is a cross-sectional view taken along the line Ib-Ib in FIG. 1A .
  • an isolation region 12 of shallow trench isolation (STI) and an active region 11 a surrounded by the isolation region 12 are formed in an upper portion of a semiconductor substrate 11 made of, for example, silicon (Si).
  • a silicided gate electrode 15 is formed over the principal surface of the semiconductor substrate 11 to cover the active region 11 a and the isolation region 12 with a gate insulating film 13 of a high- ⁇ film interposed therebetween.
  • the high- ⁇ film may be made of hafnium oxide (HfO 2 ), hafnium silicate (HfSiO) or HfSiON, for example.
  • An end of the gate electrode 15 located above the isolation region 12 is wider, in the gate length direction, than the other portion of the gate electrode 15 located above the active region 11 a and serves as a contact region 15 a , for example.
  • an offset sidewall 16 made of silicon dioxide (SiO 2 ) and a sidewall 17 made of silicon nitride (Si 3 N 4 ) are stacked in this order on the side of the gate electrode 15 .
  • This embodiment is characterized in that the entire portion of the gate electrode 15 located above the active region 11 a is silicided, i.e., has a FUSI (fully silicided) structure, and the contact region 15 a is formed above the isolation region 12 with island polysilicon 14 a left in the center thereof.
  • the island polysilicon 14 a remains in one end of the gate electrode 15 located above the isolation region 12 , whereas the portion of the gate electrode 15 located above the active region 11 a is fully silicided. Accordingly, depletion occurs in the gate electrode 15 , thus reducing the gate electrode capacitance.
  • FIGS. 2A through 2D to FIGS. 7A and 7B illustrate cross-sectional structures in respective process steps of a method for fabricating a semiconductor device according to the first embodiment in the order of fabrication.
  • FIGS. 2A, 2C , 3 A, 3 C, 4 A, 4 C, 5 A, 5 C, 6 A, 6 C and 7 A illustrate cross-sectional structures in the gate width direction.
  • FIGS. 2B, 2D , 3 B, 3 D, 4 B, 4 D, 5 B, 5 D, 6 B, 6 D and 7 B illustrate cross-sectional structures in the gate length direction.
  • an isolation region 12 of STI is selectively formed in an upper portion of a semiconductor substrate 11 , thereby forming an active region 11 a surrounded by the isolation region 12 .
  • a gate insulating film 13 of hafnium oxide with a thickness of 3 nm is formed on the entire principal surface of the semiconductor substrate by, for example, chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • a semiconductor film 14 A of polysilicon with a thickness of 100 nm is formed on the gate insulating film 13 .
  • the semiconductor film 14 A may be made of amorphous silicon, instead of polysilicon.
  • the semiconductor film 14 A is patterned by lithography and dry etching using an etching gas containing chlorine (Cl 2 ) or hydrogen bromide (HBr) as a main component, thereby forming a gate electrode film 14 .
  • the gate electrode film 14 is patterned in such a manner that the middle of the gate electrode film 14 is located above the active region 11 a , both ends thereof are located above the isolation region 12 and the width in the gate length direction of the gate electrode film 14 is large so that one of the ends of the gate electrode film 14 serves as a contact region.
  • the width of the contact region of the gate electrode film 14 located above the isolation region 12 is preferably 1.5 times as large as the width of the gate electrode film 14 located above the active region 11 a or more in the gate length direction.
  • the width in the gate length direction of the gate electrode film 14 located above the active region 11 a is about 65 nm
  • the width of the contact region of the gate electrode film 14 located above the isolation region 12 is set at about 120 nm in consideration of the diameter (e.g., 80 nm) of a contact to be formed and an alignment margin (e.g., 20 nm at each end).
  • a TEOS (tetra-ethyl-ortho-silicate) film 16 A with a thickness of 14 nm is formed over the entire surface of the semiconductor substrate 11 including the gate electrode film 14 .
  • the TEOS film 16 A is etched by etch back using an etching gas containing fluorocarbon as a main component, thereby forming an offset sidewall 16 out of the TEOS film 16 A on each side of the gate electrode film 14 .
  • ions of, for example, arsenic (As) are implanted in the active region 11 a under the conditions that the acceleration energy is 3 keV, the dose is 1.5 ⁇ 10 15 /cm 2 and the tilt angle is 0°, thereby forming an n-type extension region 18 below the side of the offset sidewall 16 in the active region 11 a .
  • ions of, for example, boron (B) are implanted in the active region 11 a by four rotation injection under the conditions that the acceleration energy is 10 keV, the dose is 8.0 ⁇ 10 12 /cm 2 and the tile angle of 25°, thereby forming a p-type pocket region 19 under the n-type extension region 18 and below the offset sidewall 16 in the active region 11 a .
  • the “four rotation injection” refers to an ion implantation process in which the semiconductor substrate 11 is rotated approximately 90° at each time within the principal surface and ion implantation described above is performed once at every approximately ⁇ 90° rotation.
  • a silicon nitride film is deposited by CVD over the entire surface of the semiconductor substrate 11 to cover the gate electrode film 14 and the offset sidewall 16 .
  • etch back is performed on the silicon nitride film using an etching gas containing fluorocarbon as a main component, thereby forming a sidewall 17 out of the silicon nitride film at the side of the gate electrode film 14 with the offset sidewall 16 interposed therebetween.
  • ions of, for example, arsenic (As) are implanted in the active region 11 a under the conditions that the acceleration energy is 20 keV, the dose is 4.0 ⁇ 10 15 /cm 2 and the tilt angle is 0°.
  • ions of, for example, phosphorus (P) are implanted under the conditions that the acceleration energy is 10 keV, the dose is 1.0 ⁇ 10 15 /cm 2 and the tilt angle is 7°, thereby forming an n-type source/drain region 20 below the side of the sidewall 17 in the active region 11 a .
  • the n-type source/drain region 20 has an interface deeper than that of the p-type pocket region 19 and is connected to the n-type extension region 18 .
  • a protective film 21 made of non-doped silicate glass (NSG) and protecting the gate electrode film 14 is deposited by CVD over the entire surface of the semiconductor substrate 11 to cover the gate electrode film 14 provided with the offset sidewall 16 and the sidewall 17 at its side.
  • NSG non-doped silicate glass
  • a resist pattern 22 masking the upper surface of the gate electrode film 14 is formed on the protective film 21 by lithography.
  • the protective film 21 is removed by etching, thereby exposing the surface of the n-type source/drain region 20 .
  • a first metal film made of nickel (Ni) is deposited by sputtering to a thickness of 11 nm over the semiconductor substrate 11 from which the n-type source/drain region 20 is exposed. Then, heat treatment is performed in a nitrogen atmosphere at about 350° C., for example, thereby forming a metal silicide layer 23 made of nickel silicide in an upper portion of the n-type source/drain region 20 . At this time, the gate electrode film 14 made of polysilicon is masked with the protective film 21 , and thus is not silicided.
  • the protective film 21 of NSG on the gate electrode film 14 is selectively removed by etching.
  • USG undoped silicate glass
  • a second metal film 25 made of nickel is deposited by sputtering to a thickness of 95 nm over the interlayer insulating film 24 from which the gate electrode film 14 is exposed.
  • the second metal film 25 is subjected to heat treatment in a nitrogen atmosphere at about 520° C., for example, thereby siliciding the gate electrode film 14 .
  • a gate electrode 15 formed by fully siliciding a portion of the gate electrode film 14 located above the active region 11 a and made of polysilicon is obtained.
  • the width of the contact region 15 a located above the isolation region 12 is larger than the width of the portion of the gate electrode 15 located above the active region 11 a , so that nickel (Ni) is insufficiently supplied.
  • island polysilicon 14 a is formed in a self-aligned manner in the contact region 15 a of the gate electrode 15 which is fully silicided above the active region 11 a.
  • the island polysilicon 14 a is formed inside the contact region 15 a of the gate electrode 15 located above the isolation region 12 , so that depletion occurs in the gate electrode 15 .
  • This depletion reduces the gate electrode capacitance, thus increasing the operation speed of a MIS transistor.
  • the thickness (i.e., 95 nm) of the second metal film 25 made of nickel is smaller than the thickness (i.e., 100 nm) of the gate electrode film 14 made of polysilicon.
  • nickel (Ni) is insufficient when supplied only from a portion of the second metal film 25 located on the gate electrode film 14 . Therefore, to fully silicide the gate electrode film 14 , it is necessary to also supply nickel from a portion of the second metal film 25 located on the interlayer insulating film 24 .
  • the distance from the middle of gate electrode film 14 on the gate insulating film 13 to the portion of the second metal film 25 formed on the interlayer insulating film 24 is short, so that nickel (Ni) is sufficiently supplied from the portion of the second metal film 25 formed on the interlayer insulating film 24 and full silicidation is achieved.
  • the distance from the center of the contact region 15 a in the gate electrode film 14 located above the isolation region 12 to the portion of the second metal film 25 formed on the interlayer insulating film 24 is long, so that nickel (Ni) is insufficiently supplied from the portion of the second metal film 25 formed on the interlayer insulating film 24 . Accordingly, the island polysilicon 14 a remains in a lower portion of the center of the contact region 15 a .
  • the thickness of the second metal film 25 for forming the island polysilicon 14 a in the contact region 15 a may be equal to or smaller than the thickness of the gate electrode film 14 and is preferably in the range from 60% to 100%, both inclusive.
  • the second metal film 25 and the gate electrode film 14 may have the same thickness. In such a case, the entire portion of the second metal film 25 on the gate electrode film 14 does not contribute to silicidation, so that a structure as shown in FIGS. 1A and 1B is obtained.
  • the first metal film and the second metal film 25 for silicidation are not necessarily made of nickel, and may be made of cobalt (Co) or tungsten (W).
  • FIGS. 8A and 8B illustrate a semiconductor device according to the second embodiment.
  • FIG. 8A is a plan view and FIG. 8B is a cross-sectional view taken along the line VIIIb-VIIIb in FIG. 8A .
  • an isolation region 12 of shallow trench isolation (STI) and an active region 11 a surrounded by the isolation region 12 are formed in an upper portion of a semiconductor substrate 11 made of, for example, silicon (Si).
  • a silicided gate electrode 15 is formed over the principal surface of the semiconductor substrate 11 across the boundary between the active region 11 a and the isolation region 12 with a gate insulating film 13 of a high- ⁇ film interposed therebetween.
  • the high- ⁇ film may be made of hafnium oxide (HfO 2 ), hafnium silicate (HfSiO) or HfSiON, for example.
  • An end of the gate electrode 15 located above the isolation region 12 is equal to, in the gate length direction, that of the other portion of the gate electrode 15 located above the active region 11 a and serves as a contact region 15 b , for example.
  • an offset sidewall 16 made of silicon dioxide (SiO 2 ) and a sidewall 17 made of silicon nitride (Si 3 N 4 ) are stacked in this order on the side of the gate electrode 15 .
  • This embodiment is characterized in that the entire portion of the gate electrode 15 located above the active region 11 a is silicided, i.e., has a FUSI structure, and the island polysilicon 14 b remains in a lower portion the contact region 15 b located above the isolation region 12 . In this manner, the island polysilicon 14 b remains on one end of the gate electrode 15 located above the isolation region 12 , whereas the portion of the gate electrode 15 located above the active region 11 a is fully silicided. Accordingly, depletion occurs in the gate electrode 15 , thus reducing the gate electrode capacitance.
  • FIGS. 9A through 9D to FIGS. 13A and 13B illustrate cross-sectional structures in respective process steps of a method for fabricating a semiconductor device according to the second embodiment in the order of fabrication.
  • FIGS. 9A, 9C , 10 A, 10 C, 11 A, 11 C, 12 A, 12 C and 13 A illustrate cross-sectional structures in the gate width direction.
  • FIGS. 9B, 9D , 10 B, 10 D, 11 B, 11 D, 12 B, 12 D and 13 B illustrate cross-sectional structures in the gate length direction.
  • an isolation region 12 of STI is selectively formed in an upper portion of a semiconductor substrate 11 , thereby forming an active region 11 a surrounded by the isolation region 12 .
  • a gate insulating film 13 made of hafnium oxide and having a thickness of 3 nm is formed on the entire principal surface of the semiconductor substrate by, for example, chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • a semiconductor film 14 A of polysilicon with a thickness of 100 nm is formed on the gate insulating film 13 .
  • the semiconductor film 14 A may be made of amorphous silicon, instead of polysilicon.
  • an insulating film 26 A made of silicon oxide and having a thickness of 50 nm is formed on the semiconductor film 14 A.
  • the insulating film 26 A and the semiconductor film 14 A are patterned by lithography and dry etching, thereby forming a protective film 26 and a gate electrode film 14 .
  • the gate electrode film 14 have a shape similar to that of the gate electrode 15 illustrated in FIG. 8A and extends in the gate width direction in such a manner that the middle of the gate electrode film 14 is located above the active region 11 a , both ends thereof are located above the isolation region 12 and one of the ends serves as a contact region.
  • a TEOS (tetra-ethyl-ortho-silicate) film 16 A with a thickness of 14 nm is formed over the entire surface of the semiconductor substrate 11 including the gate electrode film 14 .
  • the TEOS film 16 A is etched by etch back using an etching gas containing fluorocarbon as a main component, thereby forming an offset sidewall 16 out of the TEOS film 16 A on each side of the gate electrode film 14 .
  • ions of, for example, arsenic (As) are implanted in the active region 11 a under the conditions that the acceleration energy is 3 keV, the dose is 1.5 ⁇ 10 15 /cm 2 and the tilt angle is 0°, thereby forming an n-type extension region 18 below the side of the offset sidewall 16 in the active region 11 a .
  • ions of, for example, boron (B) are implanted in the active region 11 a by four rotation injection under the conditions that the acceleration energy is 10 keV, the dose is 8.0 ⁇ 10 12 /cm 2 and the tile angle is 25°, thereby forming a p-type pocket region 19 under the n-type extension region 18 in the active region 11 a .
  • the “four rotation injection” refers to an ion implantation process in which the semiconductor substrate 11 is rotated approximately 90° at each time within the principal surface and ion implantation described above is performed once at every approximately ⁇ 90° rotation.
  • a silicon nitride film is deposited by CVD over the entire surface of the semiconductor substrate 11 to cover the gate electrode film 14 and the offset sidewall 16 .
  • etch back is performed on the silicon nitride film using an etching gas containing fluorocarbon as a main component, thereby forming a sidewall 17 out of the silicon nitride film at the side of the gate electrode film 14 with the offset sidewall 16 interposed therebetween.
  • ions of, for example, arsenic (As) are implanted in the active region 11 a under the conditions that the acceleration energy is 20 keV, the dose is 4.0 ⁇ 10 15 /cm 2 and the tilt angle is 0°.
  • ions of, for example, phosphorus (P) are implanted under the conditions that the acceleration energy is 10 keV, the dose is 1.0 ⁇ 10 15 /cm 2 and the tilt angle is 7°, thereby forming an n-type source/drain region 20 below the side of the sidewall 17 in the active region 11 a .
  • the n-type source/drain region 20 has an interface deeper than that of the p-type pocket region 19 and is connected to the n-type extension region 18 .
  • a first metal film 27 made of nickel (Ni) is deposited by sputtering to a thickness of 11 nm over the semiconductor substrate 11 from which the n-type source/drain region 20 is exposed.
  • heat treatment is performed in a nitrogen atmosphere at about 350° C., for example, thereby forming a metal silicide layer 23 made of nickel silicide in an upper portion of the n-type source/drain region 20 .
  • the gate electrode film 14 made of polysilicon is masked with the protective film 26 , and thus is not silicided.
  • the remaining unreacted first metal film 27 is selectively removed.
  • USG undoped silicate glass
  • reactive ion etching in which C 5 F 8 , O 2 and Ar are supplied at flow rates of 15 ml/min (standard condition), 18 ml/min (standard condition) and 950 ml/min (standard condition), respectively, the pressure is 6.7 Pa, the RF outputs (T/B) are 1800 W/1500 W, and the substrate temperature is 0° C. may be adopted.
  • a resist (not shown) covering a contact region of the gate electrode film 14 located above the isolation region 12 is formed.
  • the gate electrode film 14 is etched by dry etching except for a region thereof covered with the resist so that the resultant thickness of the gate electrode film 14 is 40 nm.
  • the thickness of the contact region of the gate electrode film 14 is 100 nm, whereas the thickness of a portion of the gate electrode film 14 located above the active region 11 a is 40 nm.
  • a second metal film 25 made of nickel is deposited by sputtering to a thickness of 50 nm over the interlayer insulating film 24 from which the gate electrode film 14 is exposed.
  • the second metal film 25 is subjected to heat treatment in a nitrogen atmosphere at about 520° C., for example, thereby siliciding the gate electrode film 14 .
  • a gate electrode 15 formed by fully siliciding a portion of the gate electrode film 14 located above the active region 11 a and made of polysilicon is obtained.
  • the thickness of the contact region 15 b in a portion of the gate electrode film 14 located above the isolation region 12 is larger than the thickness of a portion of the gate electrode film 14 located above the active region 11 a , so that a portion of polysilicon included in the contact region 15 b is not silicided and remains as island polysilicon 14 b.
  • the first metal film 27 and the second metal film 25 for silicidation are not necessarily made of nickel, and may be made of cobalt (Co) or tungsten (W).
  • FIGS. 14A and 14B illustrate a semiconductor device according to a modified example of the second embodiment.
  • FIG. 14A is a plan view and
  • FIG. 14B is a cross-sectional view taken along the line XIVb-XIVb in FIG. 14A .
  • island polysilicon 14 b is formed above an isolation regions 12 located at each end of an active region 11 a , and the island polysilicon 14 b is formed across the end of the active region 11 a.
  • FIGS. 15A through 15D illustrate cross-sectional structures of a main portion in respective process steps of a method for fabricating a semiconductor device according to the third embodiment.
  • FIGS. 15A and 15C are cross-sectional views in the gate width direction.
  • FIGS. 15B and 15D are cross-sectional views in the gate length direction.
  • FIGS. 15A and 15B the same structure as illustrated in FIGS. 12A and 12B is obtained by a method similar to that shown in FIGS. 9A and 9B to FIGS. 12A and 12B .
  • a second metal film 25 made of nickel is deposited by sputtering to a thickness of 95 nm over an interlayer insulating film 24 from which a gate electrode film 14 is exposed. Thereafter, a portion of the second metal film 25 located above a contact region of the gate electrode film 14 is selectively etched using, for example, a chlorine gas so that the thickness of this portion of the second metal film 25 is 40 nm. In this manner, a portion of the second metal film 25 located above an active region 11 a has a thickness of 95 nm, whereas the portion of the second metal film 25 located above the contact region of the gate electrode film 14 is 40 nm.
  • the second metal film 25 in which the thickness of a portion above the contact region is reduced is subjected to heat treatment in a nitrogen atmosphere at about 520° C., for example, thereby siliciding the gate electrode film 14 .
  • a gate electrode 15 formed by fully siliciding a portion of the gate electrode film 14 located above the active region 11 a and made of polysilicon is obtained.
  • the thickness of the portion of the second metal film 25 above the contact region for a gate electrode is smaller than the thickness of the portion of the second metal film 25 located above the active region 11 a . Accordingly, a portion of polysilicon in the contact region of the gate electrode film 14 is not silicided and remains as island polysilicon 14 b .
  • an end (e.g., the contact region 15 b ) of the gate electrode 15 located above the isolation region 12 and another portion of the gate electrode 15 located above the active region 11 a have the same width in the gate length direction.
  • the length in the gate length direction of the end (e.g., the contact region 15 b ) located above the isolation region 12 may be larger than the other portion above the active region 11 a .
  • the length in the gate length direction of a portion to be a contact region formed above the isolation region 12 is larger than that of another portion formed above the active region 11 a.
  • silicidation is performed with a silicon region left in a portion of a gate electrode located above an isolation region and the gate electrode is fully silicided above an active region. Accordingly, the capacitance of the FUSI gate electrode is reduced. Therefore, the present invention is useful for semiconductor devices including gate electrodes to be fully silicided and methods for fabricating such devices.

Abstract

A semiconductor device includes: an isolation region formed in a semiconductor substrate; an active region surrounded by the isolation region in the semiconductor substrate; a gate insulating film formed on the active region; and a gate electrode formed across the boundary between the active region and the isolation region adjacent to the active region. The gate electrode includes a first portion which is located above the active region with the gate insulating film interposed therebetween and is entirely made of a silicide in a thickness direction and a second portion which is located above the isolation region and is made of a silicon region and the silicide region covering the silicon region.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • The disclosure of Japanese Patent Applications No. 2005-293268 filed in Japan on Oct. 6, 2005 and No. 2006-175777 filed in Japan on Jun. 26, 2006 including specification, drawings and claims is incorporated herein by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to semiconductor devices and methods for fabricating the devices, and particularly relates to semiconductor devices including fully-silicided (FUSI) gate electrodes and methods for fabricating the devices.
  • In the field of semiconductor devices, increase of speed and reduction of power consumption are accelerated because of rapid miniaturization of elements in recent years. Accordingly, enhancement of transistor performance is urgently needed. However, conventional miniaturization of elements alone is now insufficient for enhancement of transistor performance.
  • In view of this, in a metal insulator semiconductor (MIS) transistor, a film having a high dielectric constant (i.e., a high-κ film) is used as a gate insulating film and a gate electrode is fully made of a metal so that reduction of gate leakage current and enhancement of transistor driving ability are both achieved.
  • FIGS. 16A and 16B illustrate a full silicidation process in a method for fabricating a conventional MIS transistor. FIG. 16A is a cross-sectional view in the gate width direction and FIG. 16B is a cross-sectional view in the gate length direction (see, for example, International Electron Device Meeting p. 95, 2004). As illustrated in FIGS. 16A and 16B, first, an isolation region 102 is selectively formed in a semiconductor substrate 101, thereby forming an active region 101 a. Then, a gate insulating film 103 and a gate electrode film 104 made of polysilicon are deposited. Thereafter, the gate electrode film 104 is patterned such that the ends of the gate electrode film 104 in the gate width direction are located inside the isolation region 102 when viewed from above. Subsequently, an offset sidewall 105 is formed on the side of the gate electrode film 104. Using the offset sidewall 105 and the gate electrode film 104 as a mask, an extension region 106 and a pocket region 107 having a conductivity different from that of the extension region 106 are sequentially formed below the side of the offset sidewall 105 in the active region 101 a. Thereafter, a sidewall 108 is formed at the side of the gate electrode film 104 with the offset sidewall 105 interposed therebetween. Using the sidewall 108, the offset sidewall 105 and the gate electrode film 104 as a mask, a source/drain region 109 is formed below the side of the sidewall 108 in the active region 101 a. Then, only an upper portion of the source/drain region 109 is selectively silicided, thereby forming a silicide layer 110. Subsequently, an interlayer insulating film 111 is formed on the semiconductor substrate 101 and then is planarized by chemical mechanical polishing (CMP) until the gate electrode film 104 is exposed. Thereafter, an upper portion of the gate electrode film 104 is selectively removed by etching. Then, a nickel film 112 is deposited by sputtering over the interlayer insulating film 111 and the gate electrode film 104 having a reduced thickness. Subsequently, the nickel film 112 is subjected to heat treatment so that reaction occurs between polysilicon forming the gate electrode film 104 and nickel, thereby forming a gate electrode (FUSI gate electrode) in which the entire gate electrode film 104 is silicided.
  • However, in the method for fabricating a conventional semiconductor device, full silicidation, i.e., silicidation of the entire gate electrode, causes a problem in which the capacitance of the gate electrode increases.
  • SUMMARY OF THE INVENTION
  • It is therefore an object of the present invention to reduce the capacitance of a fully-silicided gate electrode.
  • To achieve the object, according to the present invention, a semiconductor device has a configuration in which silicon is left on purpose in an end (e.g., a contact region) of a gate electrode located above an isolation region, whereas the gate electrode is fully silicided above an active region.
  • Specifically, a semiconductor device according to the present invention is characterized by including: an isolation region formed in a semiconductor substrate; an active region surrounded by the isolation region in the semiconductor substrate; a gate insulating film formed on the active region; and a gate electrode formed across the boundary between the active region and the isolation region adjacent to the active region, wherein the gate electrode includes a first portion which is located above the active region with the gate insulating film interposed therebetween and is entirely made of a silicide region in a thickness direction and a second portion which is located above the isolation region and is made of a silicon region and the silicide region covering the silicon region.
  • In the semiconductor device of the present invention, depletion occurs in the silicon region remaining in the second portion, so that the gate electrode capacitance is reduced, as compared to the case of siliciding the entire gate electrode.
  • In the semiconductor device of the present invention, it is preferable that the silicon region is located above the isolation region and is apart from the boundary between the active region and the isolation region.
  • In the semiconductor device of the present invention, the silicon region is preferably formed across a portion above the active region.
  • In the semiconductor device of the present invention, the length in a gate length direction of the second portion of the gate electrode is preferably larger than that of the first portion of the gate electrode.
  • In the semiconductor device of the present invention, the length in a gate length direction of the first portion of the gate electrode is preferably equal to that of the second portion of the gate electrode.
  • In the semiconductor device of the present invention, the second portion of the gate electrode is preferably a contact region.
  • In the semiconductor device of the present invention, the silicon region is preferably made of one of polysilicon and amorphous silicon.
  • In the semiconductor device of the present invention, the silicide region is preferably made of nickel silicide.
  • In the semiconductor device of the present invention, the gate insulating film is preferably made of a high-dielectric-constant film.
  • A method for fabricating a semiconductor device according to the present invention is characterized by including the steps of: (a) forming an isolation region in a semiconductor substrate, thereby forming an active region surrounded by the isolation region; (b) forming a gate insulating film on the active region; (c) forming a gate electrode film made of silicon across the boundary between the active region and the isolation region adjacent to the active region, after the step (b); (d) forming a metal film on the gate electrode film; and (e) performing heat treatment on the semiconductor substrate, thereby siliciding the gate electrode film with the metal film and forming a gate electrode, wherein in the step (e), the entire region in a thickness direction of a first portion of the gate electrode film located above the active region is silicided, whereas a second portion of the gate electrode film located above the isolation region is silicided with a silicon region left in a portion of the second portion.
  • With the method for fabricating a semiconductor device according to the present invention, the gate electrode is silicided with metal with a silicon region being left in a portion of an end of the gate electrode, so that depletion occurs in the silicon region. Accordingly, the gate electrode capacitance is reduced, as compared to the case of siliciding the entire gate electrode.
  • In the method of the present invention, in the step (c), the gate electrode film is preferably formed such that the length in a gate length direction of the second portion of the gate electrode film is larger than that of the first portion of the gate electrode film.
  • In the method of the present invention, in the step (c), the gate electrode film is preferably formed such that the length in a gate length direction of the first portion of the gate electrode film is equal to that of the second portion of the gate electrode film.
  • The method of the present invention preferably further includes the step (f) of removing an upper portion of the first portion of the gate electrode film, after the step (c) and before the step (d).
  • The method of the present invention preferably further includes the step (g) of removing an upper portion of the metal film located on the second portion of the gate electrode film, after the step (d) and before the step (e).
  • The method of the present invention preferably further includes, after the step (c) and before the step (d), the steps of: (h) forming a first sidewall made of a first insulating film on a side face of the gate electrode film; and (i) implanting impurity ions in the active region using the gate electrode film and the first sidewall as a mask after the step (h), thereby forming an extension region in the active region.
  • The method of the present invention preferably further includes, after the step (i) and before the step (d), the steps of: (j) forming a second sidewall made of a second insulating film at the side face of the gate electrode film with the first sidewall interposed therebetween; and (k) implanting impurity ions in the active region using the gate electrode film, the first sidewall and the second sidewall as a mask after the step (j), thereby forming a source/drain region in the active region.
  • The method of the present invention preferably further includes, after the step (k) and before the step (d), the step (i) of forming a silicide layer on the source/drain region.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B illustrate a semiconductor device according to a first embodiment of the present invention. FIG. 1A is a plan view and FIG. 1B is a cross-sectional view taken along the line Ib-Ib in FIG. 1A.
  • FIGS. 2A through 2D illustrate cross-sectional structures in respective process steps of a method for fabricating a semiconductor device according to the first embodiment in the order of fabrication. FIG. 2A is a cross-sectional view taken along the line IIa-IIa in FIG. 2B, FIG. 2B is a cross-sectional view taken along the line IIb-IIb in FIG. 2A, FIG. 2C is a cross-sectional view taken along the line IIc-IIc in FIG. 2D, and FIG. 2D is a cross-sectional view taken along the line IId-IId in FIG. 2C.
  • FIGS. 3A through 3D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the first embodiment in the order of fabrication. FIG. 3A is a cross-sectional view taken along the line IIIa-IIIa in FIG. 3B, FIG. 3B is a cross-sectional view taken along the line IIIb-IIIb in FIG. 3A, FIG. 3C is a cross-sectional view taken along the line IIIc-IIIc in FIG. 3D, and FIG. 3D is a cross-sectional view taken along the line IIId-IIId in FIG. 3C.
  • FIGS. 4A through 4D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the first embodiment in the order of fabrication. FIG. 4A is a cross-sectional view taken along the line IVa-IVa in FIG. 4B, FIG. 4B is a cross-sectional view taken along the line IVb-IVb in FIG. 4A, FIG. 4C is a cross-sectional view taken along the line IVc-IVc in FIG. 4D, and FIG. 4D is a cross-sectional view taken along the line IVd-IVd in FIG. 4C.
  • FIGS. 5A through 5D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the first embodiment in the order of fabrication. FIG. 5A is a cross-sectional view taken along the line Va-Va in FIG. 5B, FIG. 5B is a cross-sectional view taken along the line Vb-Vb in FIG. 5A, FIG. 5C is a cross-sectional view taken along the line Vc-Vc in FIG. 5D, and FIG. 5D is a cross-sectional view taken along the line Vd-Vd in FIG. 5C.
  • FIGS. 6A through 6D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the first embodiment in the order of fabrication. FIG. 6A is a cross-sectional view taken along the line VIa-VIa in FIG. 6B, FIG. 6B is a cross-sectional view taken along the line VIb-VIb in FIG. 6A, FIG. 6C is a cross-sectional view taken along the line VIc-VIc in FIG. 6D, and FIG. 6D is a cross-sectional view taken along the line VId-VId in FIG. 6C.
  • FIGS. 7A and 7B illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the first embodiment. FIG. 7A is a cross-sectional view taken along the line VIIa-VIIa in FIG. 7B and FIG. 7B is a cross-sectional view taken along the line VIIb-VIIb in FIG. 7A.
  • FIGS. 8A and 8B illustrate a semiconductor device according to a second embodiment of the present invention. FIG. 8A is a plan view and FIG. 8B is a cross-sectional view taken along the line VIIIb-VIIIb in FIG. 8A.
  • FIGS. 9A through 9D illustrate cross-sectional structures in respective process steps of a method for fabricating a semiconductor device according to the second embodiment in the order of fabrication. FIG. 9A is a cross-sectional view taken along the line IXa-IXa in FIG. 9B, FIG. 9B is a cross-sectional view taken along the line IXb-IXb in FIG. 9A, FIG. 9C is a cross-sectional view taken along the line IXc-IXc in FIG. 9D, and FIG. 9D is a cross-sectional view taken along the line IXd-IXd in FIG. 9C.
  • FIGS. 10A through 10D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the second embodiment in the order of fabrication. FIG. 10A is a cross-sectional view taken along the line Xa-Xa in FIG. 10B, FIG. 10B is a cross-sectional view taken along the line Xb-Xb in FIG. 10A, FIG. 10C is a cross-sectional view taken along the line Xc-Xc in FIG. 10D, and FIG. 10D is a cross-sectional view taken along the line Xd-Xd in FIG. 1C.
  • FIGS. 11A through 11D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the second embodiment in the order of fabrication. FIG. 11A is a cross-sectional view taken along the line XIa-XIa in FIG. 11B, FIG. 11B is a cross-sectional view taken along the line XIb-XIb in FIG. 11A, FIG. 11C is a cross-sectional view taken along the line XIc-XIc in FIG. 11D, and FIG. 11D is a cross-sectional view taken along the line XId-XId in FIG. 11C.
  • FIGS. 12A through 12D illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the second embodiment in the order of fabrication. FIG. 12A is a cross-sectional view taken along the line XIIa-XIIa in FIG. 12B, FIG. 12B is a cross-sectional view taken along the line XIIb-XIIb in FIG. 12A, FIG. 12C is a cross-sectional view taken along the line XIIc-XIIc in FIG. 12D, and FIG. 12D is a cross-sectional view taken along the line XIId-XIId in FIG. 12C.
  • FIGS. 13A and 13B illustrate cross-sectional structures in respective process steps of the method for fabricating a semiconductor device according to the second embodiment. FIG. 13A is a cross-sectional view taken along the line XIIIa-XIIIa in FIG. 13B and FIG. 13B is a cross-sectional view taken along the line XIIIb-XIIIb in FIG. 13A.
  • FIGS. 14A and 14B illustrate a semiconductor device according to a modified example of the second embodiment. FIG. 14A is a plan view and FIG. 14B is a cross-sectional view taken along the line XIVb-XIVb in FIG. 14A.
  • FIGS. 15A through 15D illustrate main portions of cross-sectional structures in respective process steps of a method for fabricating a semiconductor device according to a third embodiment of the present invention. FIG. 15A is a cross-sectional view taken along the line XVa-XVa in FIG. 15B, FIG. 15B is a cross-sectional view taken along the line XVb-XVb in FIG. 15A, FIG. 15C is a cross-sectional view taken along the line XVc-XVc in FIG. 15D, and FIG. 15D is a cross-sectional view taken along the line XVd-XVd in FIG. 15C.
  • FIGS. 16A and 16B illustrate a full silicidation process in a method for fabricating a conventional MIS transistor. FIG. 16A is a cross-sectional view in the gate width direction taken along the line XVIa-XVIa in FIG. 16A and FIG. 16B is a cross-sectional view in the gate length direction and taken along the line XVIb-XVIb in FIG. 16A.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Embodiment 1
  • A first embodiment of the present invention will be described with reference to the drawings.
  • FIGS. 1A and 1B illustrate a semiconductor device according to the first embodiment. FIG. 1A is a plan view and FIG. 1B is a cross-sectional view taken along the line Ib-Ib in FIG. 1A. As illustrated in FIGS. 1A and 1B, an isolation region 12 of shallow trench isolation (STI) and an active region 11 a surrounded by the isolation region 12 are formed in an upper portion of a semiconductor substrate 11 made of, for example, silicon (Si).
  • As illustrated in FIG. 1B, a silicided gate electrode 15 is formed over the principal surface of the semiconductor substrate 11 to cover the active region 11 a and the isolation region 12 with a gate insulating film 13 of a high-κ film interposed therebetween. The high-κ film may be made of hafnium oxide (HfO2), hafnium silicate (HfSiO) or HfSiON, for example.
  • An end of the gate electrode 15 located above the isolation region 12 is wider, in the gate length direction, than the other portion of the gate electrode 15 located above the active region 11 a and serves as a contact region 15 a, for example.
  • As illustrated in FIGS. 1A and 1B, an offset sidewall 16 made of silicon dioxide (SiO2) and a sidewall 17 made of silicon nitride (Si3N4) are stacked in this order on the side of the gate electrode 15.
  • This embodiment is characterized in that the entire portion of the gate electrode 15 located above the active region 11 a is silicided, i.e., has a FUSI (fully silicided) structure, and the contact region 15 a is formed above the isolation region 12 with island polysilicon 14 a left in the center thereof. In this manner, the island polysilicon 14 a remains in one end of the gate electrode 15 located above the isolation region 12, whereas the portion of the gate electrode 15 located above the active region 11 a is fully silicided. Accordingly, depletion occurs in the gate electrode 15, thus reducing the gate electrode capacitance.
  • Hereinafter, a method for fabricating a semiconductor device thus configured will be described with reference to the drawings.
  • FIGS. 2A through 2D to FIGS. 7A and 7B illustrate cross-sectional structures in respective process steps of a method for fabricating a semiconductor device according to the first embodiment in the order of fabrication. FIGS. 2A, 2C, 3A, 3C, 4A, 4C, 5A, 5C, 6A, 6C and 7A illustrate cross-sectional structures in the gate width direction. FIGS. 2B, 2D, 3B, 3D, 4B, 4D, 5B, 5D, 6B, 6D and 7B illustrate cross-sectional structures in the gate length direction.
  • First, as illustrated in FIGS. 2A and 2B, an isolation region 12 of STI is selectively formed in an upper portion of a semiconductor substrate 11, thereby forming an active region 11 a surrounded by the isolation region 12. Thereafter, a gate insulating film 13 of hafnium oxide with a thickness of 3 nm is formed on the entire principal surface of the semiconductor substrate by, for example, chemical vapor deposition (CVD). Subsequently, a semiconductor film 14A of polysilicon with a thickness of 100 nm is formed on the gate insulating film 13. The semiconductor film 14A may be made of amorphous silicon, instead of polysilicon.
  • Next, as illustrated in FIGS. 2C and 2D, the semiconductor film 14A is patterned by lithography and dry etching using an etching gas containing chlorine (Cl2) or hydrogen bromide (HBr) as a main component, thereby forming a gate electrode film 14. As illustrated in FIG. 1A, the gate electrode film 14 is patterned in such a manner that the middle of the gate electrode film 14 is located above the active region 11 a, both ends thereof are located above the isolation region 12 and the width in the gate length direction of the gate electrode film 14 is large so that one of the ends of the gate electrode film 14 serves as a contact region. In this case, the width of the contact region of the gate electrode film 14 located above the isolation region 12 is preferably 1.5 times as large as the width of the gate electrode film 14 located above the active region 11 a or more in the gate length direction. For example, if the width in the gate length direction of the gate electrode film 14 located above the active region 11 a is about 65 nm, the width of the contact region of the gate electrode film 14 located above the isolation region 12 is set at about 120 nm in consideration of the diameter (e.g., 80 nm) of a contact to be formed and an alignment margin (e.g., 20 nm at each end). Subsequently, a TEOS (tetra-ethyl-ortho-silicate) film 16A with a thickness of 14 nm is formed over the entire surface of the semiconductor substrate 11 including the gate electrode film 14.
  • Then, as illustrated in FIGS. 3A and 3B, the TEOS film 16A is etched by etch back using an etching gas containing fluorocarbon as a main component, thereby forming an offset sidewall 16 out of the TEOS film 16A on each side of the gate electrode film 14. Using the gate electrode film 14 and the offset sidewall 16 as a mask, ions of, for example, arsenic (As) are implanted in the active region 11 a under the conditions that the acceleration energy is 3 keV, the dose is 1.5×1015/cm2 and the tilt angle is 0°, thereby forming an n-type extension region 18 below the side of the offset sidewall 16 in the active region 11 a. Thereafter, ions of, for example, boron (B) are implanted in the active region 11 a by four rotation injection under the conditions that the acceleration energy is 10 keV, the dose is 8.0×1012/cm2 and the tile angle of 25°, thereby forming a p-type pocket region 19 under the n-type extension region 18 and below the offset sidewall 16 in the active region 11 a. The “four rotation injection” refers to an ion implantation process in which the semiconductor substrate 11 is rotated approximately 90° at each time within the principal surface and ion implantation described above is performed once at every approximately −90° rotation.
  • Thereafter, as illustrated in FIGS. 3C and 3D, a silicon nitride film is deposited by CVD over the entire surface of the semiconductor substrate 11 to cover the gate electrode film 14 and the offset sidewall 16. Subsequently, etch back is performed on the silicon nitride film using an etching gas containing fluorocarbon as a main component, thereby forming a sidewall 17 out of the silicon nitride film at the side of the gate electrode film 14 with the offset sidewall 16 interposed therebetween. Using the gate electrode film 14, the offset sidewall 16 and the sidewall 17 as a mask, ions of, for example, arsenic (As) are implanted in the active region 11 a under the conditions that the acceleration energy is 20 keV, the dose is 4.0×1015/cm2 and the tilt angle is 0°. Subsequently, ions of, for example, phosphorus (P) are implanted under the conditions that the acceleration energy is 10 keV, the dose is 1.0×1015/cm2 and the tilt angle is 7°, thereby forming an n-type source/drain region 20 below the side of the sidewall 17 in the active region 11 a. The n-type source/drain region 20 has an interface deeper than that of the p-type pocket region 19 and is connected to the n-type extension region 18.
  • Subsequently, as illustrated in FIGS. 4A and 4B, a protective film 21 made of non-doped silicate glass (NSG) and protecting the gate electrode film 14 is deposited by CVD over the entire surface of the semiconductor substrate 11 to cover the gate electrode film 14 provided with the offset sidewall 16 and the sidewall 17 at its side.
  • Then, as illustrated in FIGS. 4C and 4D, a resist pattern 22 masking the upper surface of the gate electrode film 14 is formed on the protective film 21 by lithography. Using the resist pattern 22 as a mask, the protective film 21 is removed by etching, thereby exposing the surface of the n-type source/drain region 20.
  • Thereafter, as illustrated in FIGS. 5A and 5B, after the resist pattern 22 is removed, a first metal film made of nickel (Ni) is deposited by sputtering to a thickness of 11 nm over the semiconductor substrate 11 from which the n-type source/drain region 20 is exposed. Then, heat treatment is performed in a nitrogen atmosphere at about 350° C., for example, thereby forming a metal silicide layer 23 made of nickel silicide in an upper portion of the n-type source/drain region 20. At this time, the gate electrode film 14 made of polysilicon is masked with the protective film 21, and thus is not silicided.
  • Subsequently, as illustrated in FIGS. 5C and 5D, the protective film 21 of NSG on the gate electrode film 14 is selectively removed by etching.
  • Then, as illustrated in FIGS. 6A and 6B, an interlayer insulating film 24 made of undoped silicate glass (USG), which is undoped silicon oxide, is deposited by plasma CVD over the entire surface of the semiconductor substrate 11 including the gate electrode film 14. Subsequently, the interlayer insulating film 24 is planarized by CMP until the upper surface of the gate electrode film 14 is exposed.
  • Thereafter, as illustrated in FIGS. 6C and 6D, a second metal film 25 made of nickel is deposited by sputtering to a thickness of 95 nm over the interlayer insulating film 24 from which the gate electrode film 14 is exposed.
  • Then, as illustrated in FIGS. 7A and 7B, the second metal film 25 is subjected to heat treatment in a nitrogen atmosphere at about 520° C., for example, thereby siliciding the gate electrode film 14. In this manner, a gate electrode 15 formed by fully siliciding a portion of the gate electrode film 14 located above the active region 11 a and made of polysilicon is obtained. At this time, as illustrated in FIGS. 1A and 1B, the width of the contact region 15 a located above the isolation region 12 is larger than the width of the portion of the gate electrode 15 located above the active region 11 a, so that nickel (Ni) is insufficiently supplied. Accordingly, island polysilicon 14 a is formed in a self-aligned manner in the contact region 15 a of the gate electrode 15 which is fully silicided above the active region 11 a.
  • As described above, in this embodiment, the island polysilicon 14 a is formed inside the contact region 15 a of the gate electrode 15 located above the isolation region 12, so that depletion occurs in the gate electrode 15. This depletion reduces the gate electrode capacitance, thus increasing the operation speed of a MIS transistor.
  • In this embodiment, the thickness (i.e., 95 nm) of the second metal film 25 made of nickel is smaller than the thickness (i.e., 100 nm) of the gate electrode film 14 made of polysilicon. In this case, nickel (Ni) is insufficient when supplied only from a portion of the second metal film 25 located on the gate electrode film 14. Therefore, to fully silicide the gate electrode film 14, it is necessary to also supply nickel from a portion of the second metal film 25 located on the interlayer insulating film 24. In a portion of the gate electrode film 14 having a small patterning width above the active region 11 a, the distance from the middle of gate electrode film 14 on the gate insulating film 13 to the portion of the second metal film 25 formed on the interlayer insulating film 24 is short, so that nickel (Ni) is sufficiently supplied from the portion of the second metal film 25 formed on the interlayer insulating film 24 and full silicidation is achieved. On the other hand, in a portion of the gate electrode film 14 to be the contact region 15 a having a wide patterning width above the isolation region 12, the distance from the center of the contact region 15 a in the gate electrode film 14 located above the isolation region 12 to the portion of the second metal film 25 formed on the interlayer insulating film 24 is long, so that nickel (Ni) is insufficiently supplied from the portion of the second metal film 25 formed on the interlayer insulating film 24. Accordingly, the island polysilicon 14 a remains in a lower portion of the center of the contact region 15 a. Therefore, the thickness of the second metal film 25 for forming the island polysilicon 14 a in the contact region 15 a may be equal to or smaller than the thickness of the gate electrode film 14 and is preferably in the range from 60% to 100%, both inclusive. The second metal film 25 and the gate electrode film 14 may have the same thickness. In such a case, the entire portion of the second metal film 25 on the gate electrode film 14 does not contribute to silicidation, so that a structure as shown in FIGS. 1A and 1B is obtained.
  • The first metal film and the second metal film 25 for silicidation are not necessarily made of nickel, and may be made of cobalt (Co) or tungsten (W).
  • Embodiment 2
  • Hereinafter, a second embodiment of the present invention will be described with reference to the drawings.
  • FIGS. 8A and 8B illustrate a semiconductor device according to the second embodiment. FIG. 8A is a plan view and FIG. 8B is a cross-sectional view taken along the line VIIIb-VIIIb in FIG. 8A. As illustrated in FIGS. 8A and 8B, an isolation region 12 of shallow trench isolation (STI) and an active region 11 a surrounded by the isolation region 12 are formed in an upper portion of a semiconductor substrate 11 made of, for example, silicon (Si).
  • As illustrated in FIG. 8B, a silicided gate electrode 15 is formed over the principal surface of the semiconductor substrate 11 across the boundary between the active region 11 a and the isolation region 12 with a gate insulating film 13 of a high-κ film interposed therebetween. The high-κ film may be made of hafnium oxide (HfO2), hafnium silicate (HfSiO) or HfSiON, for example.
  • An end of the gate electrode 15 located above the isolation region 12 is equal to, in the gate length direction, that of the other portion of the gate electrode 15 located above the active region 11 a and serves as a contact region 15 b, for example.
  • As illustrated in FIGS. 8A and 8B, an offset sidewall 16 made of silicon dioxide (SiO2) and a sidewall 17 made of silicon nitride (Si3N4) are stacked in this order on the side of the gate electrode 15.
  • This embodiment is characterized in that the entire portion of the gate electrode 15 located above the active region 11 a is silicided, i.e., has a FUSI structure, and the island polysilicon 14 b remains in a lower portion the contact region 15 b located above the isolation region 12. In this manner, the island polysilicon 14 b remains on one end of the gate electrode 15 located above the isolation region 12, whereas the portion of the gate electrode 15 located above the active region 11 a is fully silicided. Accordingly, depletion occurs in the gate electrode 15, thus reducing the gate electrode capacitance.
  • Hereinafter, a method for fabricating a semiconductor device with the foregoing configuration will be described with reference to the drawings.
  • FIGS. 9A through 9D to FIGS. 13A and 13B illustrate cross-sectional structures in respective process steps of a method for fabricating a semiconductor device according to the second embodiment in the order of fabrication. FIGS. 9A, 9C, 10A, 10C, 11A, 11C, 12A, 12C and 13A illustrate cross-sectional structures in the gate width direction. FIGS. 9B, 9D, 10B, 10D, 11B, 11D, 12B, 12D and 13B illustrate cross-sectional structures in the gate length direction.
  • First, as illustrated in FIGS. 9A and 9B, an isolation region 12 of STI is selectively formed in an upper portion of a semiconductor substrate 11, thereby forming an active region 11 a surrounded by the isolation region 12. Thereafter, a gate insulating film 13 made of hafnium oxide and having a thickness of 3 nm is formed on the entire principal surface of the semiconductor substrate by, for example, chemical vapor deposition (CVD). Subsequently, a semiconductor film 14A of polysilicon with a thickness of 100 nm is formed on the gate insulating film 13. The semiconductor film 14A may be made of amorphous silicon, instead of polysilicon. Thereafter, an insulating film 26A made of silicon oxide and having a thickness of 50 nm is formed on the semiconductor film 14A.
  • Next, as illustrated in FIGS. 9C and 9D, the insulating film 26A and the semiconductor film 14A are patterned by lithography and dry etching, thereby forming a protective film 26 and a gate electrode film 14. The gate electrode film 14 have a shape similar to that of the gate electrode 15 illustrated in FIG. 8A and extends in the gate width direction in such a manner that the middle of the gate electrode film 14 is located above the active region 11 a, both ends thereof are located above the isolation region 12 and one of the ends serves as a contact region. Subsequently, a TEOS (tetra-ethyl-ortho-silicate) film 16A with a thickness of 14 nm is formed over the entire surface of the semiconductor substrate 11 including the gate electrode film 14.
  • Then, as illustrated in FIGS. 10A and 10B, the TEOS film 16A is etched by etch back using an etching gas containing fluorocarbon as a main component, thereby forming an offset sidewall 16 out of the TEOS film 16A on each side of the gate electrode film 14. Using the gate electrode film 14 and the offset sidewall 16 as a mask, ions of, for example, arsenic (As) are implanted in the active region 11 a under the conditions that the acceleration energy is 3 keV, the dose is 1.5×1015/cm2 and the tilt angle is 0°, thereby forming an n-type extension region 18 below the side of the offset sidewall 16 in the active region 11 a. Thereafter, ions of, for example, boron (B) are implanted in the active region 11 a by four rotation injection under the conditions that the acceleration energy is 10 keV, the dose is 8.0×1012/cm2 and the tile angle is 25°, thereby forming a p-type pocket region 19 under the n-type extension region 18 in the active region 11 a. The “four rotation injection” refers to an ion implantation process in which the semiconductor substrate 11 is rotated approximately 90° at each time within the principal surface and ion implantation described above is performed once at every approximately −90° rotation.
  • Thereafter, as illustrated in FIGS. 10C and 10D, a silicon nitride film is deposited by CVD over the entire surface of the semiconductor substrate 11 to cover the gate electrode film 14 and the offset sidewall 16. Subsequently, etch back is performed on the silicon nitride film using an etching gas containing fluorocarbon as a main component, thereby forming a sidewall 17 out of the silicon nitride film at the side of the gate electrode film 14 with the offset sidewall 16 interposed therebetween. Using the gate electrode film 14, the offset sidewall 16 and the sidewall 17 as a mask, ions of, for example, arsenic (As) are implanted in the active region 11 a under the conditions that the acceleration energy is 20 keV, the dose is 4.0×1015/cm2 and the tilt angle is 0°. Subsequently, ions of, for example, phosphorus (P) are implanted under the conditions that the acceleration energy is 10 keV, the dose is 1.0×1015/cm2 and the tilt angle is 7°, thereby forming an n-type source/drain region 20 below the side of the sidewall 17 in the active region 11 a. The n-type source/drain region 20 has an interface deeper than that of the p-type pocket region 19 and is connected to the n-type extension region 18.
  • Then, as illustrated in FIGS. 11A and 11B, a first metal film 27 made of nickel (Ni) is deposited by sputtering to a thickness of 11 nm over the semiconductor substrate 11 from which the n-type source/drain region 20 is exposed.
  • Thereafter, as illustrated in FIGS. 11C and 11D, heat treatment is performed in a nitrogen atmosphere at about 350° C., for example, thereby forming a metal silicide layer 23 made of nickel silicide in an upper portion of the n-type source/drain region 20. At this time, the gate electrode film 14 made of polysilicon is masked with the protective film 26, and thus is not silicided. Then, the remaining unreacted first metal film 27 is selectively removed.
  • Then, as illustrated in FIGS. 12A and 12B, an interlayer insulating film 24 made of undoped silicate glass (USG), which is undoped silicon oxide, is deposited by plasma CVD over the entire surface of the semiconductor substrate 11 including the gate electrode film 14. Subsequently, the interlayer insulating film 24 is planarized by CMP until the protective film 26 is exposed. Thereafter, the protective film 26 and the interlayer insulating film 24 are etched using dry etching or wet etching under conditions for selectively etching silicon oxide with respect to silicon nitride and polysilicon. At this time, the interlayer insulating film 24 is not necessarily etched, and only the protective film 26 may be selectively etched. To selectively etch the silicon oxide film, in the case of dry etching, reactive ion etching in which C5F8, O2 and Ar are supplied at flow rates of 15 ml/min (standard condition), 18 ml/min (standard condition) and 950 ml/min (standard condition), respectively, the pressure is 6.7 Pa, the RF outputs (T/B) are 1800 W/1500 W, and the substrate temperature is 0° C. may be adopted.
  • Thereafter, as illustrated in FIGS. 12C and 12D, a resist (not shown) covering a contact region of the gate electrode film 14 located above the isolation region 12 is formed. Subsequently, the gate electrode film 14 is etched by dry etching except for a region thereof covered with the resist so that the resultant thickness of the gate electrode film 14 is 40 nm. In this manner, the thickness of the contact region of the gate electrode film 14 is 100 nm, whereas the thickness of a portion of the gate electrode film 14 located above the active region 11 a is 40 nm. Thereafter, a second metal film 25 made of nickel is deposited by sputtering to a thickness of 50 nm over the interlayer insulating film 24 from which the gate electrode film 14 is exposed.
  • Then, as illustrated in FIGS. 13A and 13B, the second metal film 25 is subjected to heat treatment in a nitrogen atmosphere at about 520° C., for example, thereby siliciding the gate electrode film 14. In this manner, a gate electrode 15 formed by fully siliciding a portion of the gate electrode film 14 located above the active region 11 a and made of polysilicon is obtained. At this time, the thickness of the contact region 15 b in a portion of the gate electrode film 14 located above the isolation region 12 is larger than the thickness of a portion of the gate electrode film 14 located above the active region 11 a, so that a portion of polysilicon included in the contact region 15 b is not silicided and remains as island polysilicon 14 b.
  • The first metal film 27 and the second metal film 25 for silicidation are not necessarily made of nickel, and may be made of cobalt (Co) or tungsten (W).
  • (Modified Example of Embodiment 2)
  • FIGS. 14A and 14B illustrate a semiconductor device according to a modified example of the second embodiment. FIG. 14A is a plan view and FIG. 14B is a cross-sectional view taken along the line XIVb-XIVb in FIG. 14A.
  • As illustrated in FIGS. 14A and 14B, in a modified example of the second embodiment, island polysilicon 14 b is formed above an isolation regions 12 located at each end of an active region 11 a, and the island polysilicon 14 b is formed across the end of the active region 11 a.
  • Embodiment 3
  • Hereinafter, a third embodiment of the present invention will be described with reference to the drawings.
  • In the third embodiment, another example of the method for fabricating a semiconductor device according to the second embodiment is described. In this embodiment, only aspects different from those of the second embodiment are described.
  • FIGS. 15A through 15D illustrate cross-sectional structures of a main portion in respective process steps of a method for fabricating a semiconductor device according to the third embodiment. FIGS. 15A and 15C are cross-sectional views in the gate width direction. FIGS. 15B and 15D are cross-sectional views in the gate length direction.
  • First, as illustrated in FIGS. 15A and 15B, the same structure as illustrated in FIGS. 12A and 12B is obtained by a method similar to that shown in FIGS. 9A and 9B to FIGS. 12A and 12B.
  • Next, as illustrated in FIGS. 15C and 15D, a second metal film 25 made of nickel is deposited by sputtering to a thickness of 95 nm over an interlayer insulating film 24 from which a gate electrode film 14 is exposed. Thereafter, a portion of the second metal film 25 located above a contact region of the gate electrode film 14 is selectively etched using, for example, a chlorine gas so that the thickness of this portion of the second metal film 25 is 40 nm. In this manner, a portion of the second metal film 25 located above an active region 11 a has a thickness of 95 nm, whereas the portion of the second metal film 25 located above the contact region of the gate electrode film 14 is 40 nm.
  • Thereafter, as illustrated in FIGS. 13A and 13B, the second metal film 25 in which the thickness of a portion above the contact region is reduced is subjected to heat treatment in a nitrogen atmosphere at about 520° C., for example, thereby siliciding the gate electrode film 14. In this manner, a gate electrode 15 formed by fully siliciding a portion of the gate electrode film 14 located above the active region 11 a and made of polysilicon is obtained. At this time, the thickness of the portion of the second metal film 25 above the contact region for a gate electrode is smaller than the thickness of the portion of the second metal film 25 located above the active region 11 a. Accordingly, a portion of polysilicon in the contact region of the gate electrode film 14 is not silicided and remains as island polysilicon 14 b.
  • In the second and third embodiments and the modified example of the second embodiment, an end (e.g., the contact region 15 b) of the gate electrode 15 located above the isolation region 12 and another portion of the gate electrode 15 located above the active region 11 a have the same width in the gate length direction. Alternatively, as in the first embodiment, the length in the gate length direction of the end (e.g., the contact region 15 b) located above the isolation region 12 may be larger than the other portion above the active region 11 a. In this case, in the process step shown in FIGS. 9C and 9D, the length in the gate length direction of a portion to be a contact region formed above the isolation region 12 is larger than that of another portion formed above the active region 11 a.
  • As described above, in a semiconductor device and a method for fabricating the device according to the present invention, silicidation is performed with a silicon region left in a portion of a gate electrode located above an isolation region and the gate electrode is fully silicided above an active region. Accordingly, the capacitance of the FUSI gate electrode is reduced. Therefore, the present invention is useful for semiconductor devices including gate electrodes to be fully silicided and methods for fabricating such devices.

Claims (17)

1. A semiconductor device, comprising:
an isolation region formed in a semiconductor substrate;
an active region surrounded by the isolation region in the semiconductor substrate;
a gate insulating film formed on the active region; and
a gate electrode formed across the boundary between the active region and the isolation region adjacent to the active region,
wherein the gate electrode includes a first portion which is located above the active region with the gate insulating film interposed therebetween and is entirely made of a silicide region in a thickness direction and a second portion which is located above the isolation region and is made of a silicon region and the silicide region covering the silicon region.
2. The semiconductor device of claim 1, wherein the silicon region is located above the isolation region and is apart from the boundary between the active region and the isolation region.
3. The semiconductor device of claim 1, wherein the silicon region is formed across a portion above the active region.
4. The semiconductor device of claim 1, wherein the length in a gate length direction of the second portion of the gate electrode is larger than that of the first portion of the gate electrode.
5. The semiconductor device of claim 1, wherein the length in a gate length direction of the first portion of the gate electrode is equal to that of the second portion of the gate electrode.
6. The semiconductor device of claim 1, wherein the second portion of the gate electrode is a contact region.
7. The semiconductor device of claim 1, wherein the silicon region is made of one of polysilicon and amorphous silicon.
8. The semiconductor device of claim 1, wherein the silicide region is made of nickel silicide.
9. The semiconductor device of claim 1, wherein the gate insulating film is made of a high-dielectric-constant film.
10. A method for fabricating a semiconductor device, the method comprising the steps of:
(a) forming an isolation region in a semiconductor substrate, thereby forming an active region surrounded by the isolation region;
(b) forming a gate insulating film on the active region;
(c) forming a gate electrode film made of silicon across the boundary between the active region and the isolation region adjacent to the active region, after the step (b);
(d) forming a metal film on the gate electrode film; and
(e) performing heat treatment on the semiconductor substrate, thereby siliciding the gate electrode film with the metal film and forming a gate electrode,
wherein in the step (e), the entire region in a thickness direction of a first portion of the gate electrode film located above the active region is silicided, whereas a second portion of the gate electrode film located above the isolation region is silicided with a silicon region left in a portion of the second portion.
11. The method of claim 10, wherein in the step (c), the gate electrode film is formed such that the length in a gate length direction of the second portion of the gate electrode film is larger than that of the first portion of the gate electrode film.
12. The method of claim 10, wherein in the step (c), the gate electrode film is formed such that the length in a gate length direction of the first portion of the gate electrode film is equal to that of the second portion of the gate electrode film.
13. The method of claim 10, further comprising the step (f) of removing an upper portion of the first portion of the gate electrode film, after the step (c) and before the step (d).
14. The method of claim 10, further comprising the step (g) of removing an upper portion of the metal film located on the second portion of the gate electrode film, after the step (d) and before the step (e).
15. The method of claim 10, further comprising, after the step (c) and before the step (d), the steps of:
(h) forming a first sidewall made of a first insulating film on a side face of the gate electrode film; and
(i) implanting impurity ions in the active region using the gate electrode film and the first sidewall as a mask after the step (h), thereby forming an extension region in the active region.
16. The method of claim 15, further comprising, after the step (i) and before the step (d), the steps of:
(j) forming a second sidewall made of a second insulating film at the side face of the gate electrode film with the first sidewall interposed therebetween; and
(k) implanting impurity ions in the active region using the gate electrode film, the first sidewall and the second sidewall as a mask after the step (j), thereby forming a source/drain region in the active region.
17. The method of claim 16, further comprising, after the step (k) and before the step (d), the step (l) of forming a silicide layer on the source/drain region.
US11/542,269 2005-10-06 2006-10-04 Semiconductor device including fully-silicided (FUSI) gate electrodes Active 2027-08-30 US7646065B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005-293268 2005-10-06
JP2005293268 2005-10-06

Publications (2)

Publication Number Publication Date
US20070080405A1 true US20070080405A1 (en) 2007-04-12
US7646065B2 US7646065B2 (en) 2010-01-12

Family

ID=37910393

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/542,269 Active 2027-08-30 US7646065B2 (en) 2005-10-06 2006-10-04 Semiconductor device including fully-silicided (FUSI) gate electrodes

Country Status (2)

Country Link
US (1) US7646065B2 (en)
CN (1) CN1945852A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980718B2 (en) * 2012-08-14 2015-03-17 Semiconductor Manufacturing International Corp. PMOS transistors and fabrication method
US20200126870A1 (en) * 2018-10-22 2020-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fusi gated device formation

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109634015A (en) * 2018-12-29 2019-04-16 惠科股份有限公司 Array substrate and its display panel

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5847411A (en) * 1996-04-11 1998-12-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a channel region including a vacancy-introduced polysilicon layer
US20020020860A1 (en) * 2000-08-10 2002-02-21 Masatoshi Arai Semiconductor memory device and method for fabricating the same
US6426532B1 (en) * 1998-06-30 2002-07-30 Sharp Kabushiki Kaisha Semiconductor device and method of manufacture thereof
US20060163662A1 (en) * 2005-01-27 2006-07-27 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
US7109516B2 (en) * 2002-06-07 2006-09-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator finFET device structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5847411A (en) * 1996-04-11 1998-12-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a channel region including a vacancy-introduced polysilicon layer
US6426532B1 (en) * 1998-06-30 2002-07-30 Sharp Kabushiki Kaisha Semiconductor device and method of manufacture thereof
US20020020860A1 (en) * 2000-08-10 2002-02-21 Masatoshi Arai Semiconductor memory device and method for fabricating the same
US7109516B2 (en) * 2002-06-07 2006-09-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator finFET device structures
US20060163662A1 (en) * 2005-01-27 2006-07-27 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980718B2 (en) * 2012-08-14 2015-03-17 Semiconductor Manufacturing International Corp. PMOS transistors and fabrication method
US20200126870A1 (en) * 2018-10-22 2020-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fusi gated device formation
US11133226B2 (en) * 2018-10-22 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. FUSI gated device formation
US11823959B2 (en) 2018-10-22 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. FUSI gated device formation

Also Published As

Publication number Publication date
US7646065B2 (en) 2010-01-12
CN1945852A (en) 2007-04-11

Similar Documents

Publication Publication Date Title
US7465996B2 (en) Semiconductor device and method for fabricating the same
US7420244B2 (en) Semiconductor device having a fin structure and method of manufacturing the same
CN108666273B (en) Semiconductor device with a plurality of semiconductor chips
US7176522B2 (en) Semiconductor device having high drive current and method of manufacturing thereof
US7964918B2 (en) Semiconductor device and method for manufacturing the same
KR101521948B1 (en) Semiconductor device and method of manufacturing the same
US20070075374A1 (en) Semicondutor device and method for fabricating the same
US8871585B2 (en) Manufacturing method of semiconductor device and semiconductor device
US8883577B2 (en) Semiconductor device and producing method thereof
US20070108530A1 (en) Semiconductor device and method for manufacturing the same
US20080128825A1 (en) Semiconductor device and method for fabricating the same
US8350332B2 (en) Semiconductor device and method of manufacturing the same
US8569136B2 (en) Manufacturing method of semiconductor device
US8766335B2 (en) Semiconductor device
US8471341B2 (en) Semiconductor device and method for fabricating the same
US7646065B2 (en) Semiconductor device including fully-silicided (FUSI) gate electrodes
US20080079088A1 (en) Semiconductor device and method for manufacturing the same
US7732280B2 (en) Semiconductor device having offset spacer and method of forming the same
US7709911B2 (en) Semiconductor device having silicide transistors and non-silicide transistors formed on the same substrate and method for fabricating the same
CN114497037A (en) Semiconductor device with a plurality of semiconductor chips
US7964917B2 (en) Semiconductor device including liner insulating film
KR100724574B1 (en) Semiconductor device having etch stop layer and fabricating method thereof
US20080142884A1 (en) Semiconductor device
JP2007129186A (en) Semiconductor device and its manufacturing method
WO2012077256A1 (en) Semiconductor device and method for manufacturing same

Legal Events

Date Code Title Description
AS Assignment

Owner name: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KOTANI, NAOKI;OKAZAKI, GEN;TAKEOKA, SHINJI;AND OTHERS;REEL/FRAME:018781/0454;SIGNING DATES FROM 20060823 TO 20060903

AS Assignment

Owner name: PANASONIC CORPORATION, JAPAN

Free format text: CHANGE OF NAME;ASSIGNOR:MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.;REEL/FRAME:021897/0671

Effective date: 20081001

Owner name: PANASONIC CORPORATION,JAPAN

Free format text: CHANGE OF NAME;ASSIGNOR:MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.;REEL/FRAME:021897/0671

Effective date: 20081001

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: GODO KAISHA IP BRIDGE 1, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:PANASONIC CORPORATION (FORMERLY MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.);REEL/FRAME:032152/0514

Effective date: 20140117

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12