US20050230352A1 - Method and compositions for hardening photoresist in etching processes - Google Patents

Method and compositions for hardening photoresist in etching processes Download PDF

Info

Publication number
US20050230352A1
US20050230352A1 US11/157,782 US15778205A US2005230352A1 US 20050230352 A1 US20050230352 A1 US 20050230352A1 US 15778205 A US15778205 A US 15778205A US 2005230352 A1 US2005230352 A1 US 2005230352A1
Authority
US
United States
Prior art keywords
wafer
photoresist material
plasma
etching
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/157,782
Inventor
Yousun Taylor
Wendy Nguyen
Chris Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US11/157,782 priority Critical patent/US20050230352A1/en
Publication of US20050230352A1 publication Critical patent/US20050230352A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Definitions

  • the present invention relates generally to etching wafers, and more particularly to a method and composition for use in etching wafers used in the production of semiconductor devices.
  • Photolithography is widely used for patterning photoresist material in the production of semiconductor devices. It is desirable to perform photolithography with light of a small wavelength to allow a reduction in the design rule to create smaller semiconductor devices. For example, 193 nm lithography using an Argon Fluoride (ArF) light source may be used to obtain 0.1 ⁇ m to 0.07 ⁇ m sizes. After a pattern of photoresist material has been provided on a wafer, the exposed layer of the wafer can be etched.
  • ArF Argon Fluoride
  • etching can have deleterious effects on the fabrication of devices from the wafer.
  • the photoresist material itself can be substantially removed which can expose the area that the photoresist is intended to protect.
  • the etchant can cause the photoresist material to deform or twist, which in turn causes the features resulting from etching to be deformed.
  • the intended feature of a straight track could be well defined by photoresist material.
  • etching to define the actual track can result in a wavy track actually being formed owing to the twisting and deformation of the photoresist material caused by the etching mechanism.
  • the inability to control the shape of the features being etched can result, in the worst case, in device failure, or at least in non-reproducibility.
  • a method for etching a wafer having a pattern of photoresist material thereon includes curing the photoresist material with a bromine containing plasma. Then the etching of the wafer is carried out.
  • a method for curing a pattern of photoresist material on a wafer includes providing a bromine containing plasma.
  • the photoresist material is exposed to the plasma.
  • a layer of the wafer below the photoresist material is not etched through.
  • a composition of a plasma for curing a photoresist material on a wafer in a high density plasma processing device including bromine.
  • a method for etching a wafer having a pattern of photoresist material thereon includes providing an etchant composition.
  • the etchant composition generates a plasma active to etch a layer of the wafer below the photoresist material.
  • a bromine containing plasma is also provided. The bromine containing plasma is active to harden the photoresist material.
  • a composition for etching a wafer having a pattern of photoresist material thereon is also disclosed.
  • the composition comprises a fluorocarbon or a fluorohydrocarbon and a bromine containing molecule.
  • FIG. 1 is a schematic cross sectional view of a wafer on which a method according to the invention can be used;
  • FIG. 2 is a flow chart illustrating the steps of an example of the method of the invention.
  • FIG. 3 is a schematic cross sectional view of a wafer on which a method according to the invention can be used;
  • FIG. 4 is a flow chart illustrating the steps of a further example of the method of the invention.
  • FIGS. 5A , B and C respectively show electron microscope pictures of cross sectional and perspective views of a wafer etched according to the method illustrated in FIG. 2 ;
  • FIGS. 6A , B and C respectively show electron microscope pictures of cross sectional and perspective views of a wafer etched according to the method illustrated in FIG. 4 .
  • FIG. 1 shows a schematic cross section through a wafer 100 at a stage during the fabrication of a semiconductor device.
  • a feature to be fabricated in the device is defined by 193 nm photoresist material 102 .
  • 193 nm photoresist material is used to refer to a photoresist material that can be patterned by radiation of wavelength 193 nm.
  • Suitable such materials inlcude alicyclic methacrylate (acrylate) as provided under the trade names PAR 707, PAR 723 and PAR 710 by Sumitomo Corporation.
  • Suitable 193 nm photoresist materials include cyclic olefin/maleic anhydride (COMA) as provided by JSR Corporation, Shipley Company, LLC and Tokyo Ohka Kogya Co., Ltd., and similar.
  • Photoresist feature 102 is approximately 2600 ⁇ thick. Typically, the thickness of the 193 nm photoresist material layer is between approximately 2200 ⁇ and 3300 ⁇ .
  • Photo imaging processes known in the art may be used to create the photoresist feature, which forms the photoresist mask.
  • Photoresist feature 102 is above a layer 104 of anti reflection coating (“ARC”), which is typically between approximately 300 and 800 ⁇ thick.
  • the ARC includes bottom antireflective coating (BARC), and is typically a hydrocarbon based material similar to that of the photoresist material.
  • the wafer 100 includes a hardmask layer 106 , which may be made of silicon nitride (SiN), which is typically approximately 500 to 2000 ⁇ thick. In the alternative, the hard mask layer may be TEOS (tetra ethyl oxysilicate), silicon oxide, or a composite of the above materials.
  • TEOS tetra ethyl oxysilicate
  • a layer of tungsten (W) 108 and a layer of tungsten nitride (WN) 110 can optionally be included to improve device speed, followed by a layer 112 of polysilicon material.
  • a thin layer of gate oxide 114 is included, above a silicon substrate 116 . Such a wafer substrate is an intermediate product in the fabrication of a EDRAM or DRAM device.
  • the photoresist material 102 defines a track feature, which is to be propagated onto the wafer as illustrated by dashed lines 118 , 120 by etching of the wafer.
  • the feature that the photoresist material is patterned to produce will depend on the design of the chip. Different types of features can be defined by the photoresist material.
  • the photoresist material can define a hole or a ‘T’ or ‘U’ shaped feature.
  • FIG. 2 shows a flow chart illustrating a method 200 by which the feature can be etched. The method can be carried out using any plasma etching tool or device capable of producing a high density plasma. Suitable tools include the TCP family of plasma processing devices as provided by Lam Research Corporation of Fremont, Calif. The DPS family of tools and similar as provided by Applied Materials, Inc, the SCCM tools provided by Tokyo Electron Limited and the ECR family of tools as provided by Hitachi Ltd are also suitable.
  • the method 200 is an essentially two step method.
  • the photoresist material 102 is hardened and otherwise stabilized without significant etching of the wafer.
  • the wafer is actually etched so as to purposefully remove material from layers below and unprotected by the photoresist material. Any material removed by the bromine containing plasma is not intended, or should not be sufficient, to remove an entire layer of the wafer below the photoresist material layer.
  • the main etch step etches the layer of the wafer in which the structural feature being etched is defined.
  • bromine containing gas is introduced into the plasma processing chamber of the device 212 .
  • the bromine containing gas is hydrogen bromide (HBr).
  • the plasma processing tool is operated under conditions to allow a high density plasma to be struck in the chamber and sustained 214 .
  • a high density plasma is considered to be a plasma having a density of greater than approximately 1 ⁇ 10 10 ions/cm 3 .
  • the high density plasma can have a density in the range of approximately 1 ⁇ 10 10 to 1 ⁇ 10 13 ions/cm 3 .
  • the photoresist material is exposed to the plasma, and bromine species in the plasma is active to cure the photoresist material 216 so that it is harder and more physically robust.
  • a high density plasma is used in the photoresist curing, pre-main etch step 216 , with the plasma processing device operated at a low pressure and high power.
  • the HBr in the curing step does not carry out significant etching of the wafer, but rather strengthens the photoresist material 102 .
  • hydrogen bromide is the preferred source of bromine species for the plasma
  • other bromine containing molecules can be used in the curing plasma, such as SiBr 4 , CH 3 Br, Br 2 , C 2 H 5 Br and higher bromine containing hydrocarbons.
  • additional molecules can be included in the plasma gas mixture, such as inert gases.
  • such a mixture for curing would not have significant amounts of active etchants such as hydrocarbons and fluorine containing molecules.
  • An example of suitable operating conditions for the HBr cure step would be a plasma processing chamber pressure of approximately 5 mT, a power of 1200 W, substantially 0 bias voltage applied to a chuck electrode, a HBr gas flow rate of 100sccm (standard cubic centimeters per minute) and a cure time of approximately 60 seconds.
  • a bias potential of between 0 to 500V can be used, of between 0 to 250V is preferred and of between 0 to 30V is most preferred.
  • Equivalent bias power ranges are approximately 0 to 740 W, 0 to 350 W and 0 to 40 W respectively.
  • Insubstantial removal of material can also be considered to occur based on the amount of photoresist material that is removed during the curing step. Not more than approximately 600 ⁇ of photoresist material can be lost, preferably not more than approximately 500 ⁇ are lost, more preferably not more than approximately 400 ⁇ are lost and most preferably not more than 300 ⁇ of photoresist material are lost.
  • the proportion of photoresist material lost out of the thickness of photoresist material originally present can be not more than approximately 30%, preferably not more than 12% and more preferably not more than 5%.
  • Insubstantial removal of material can also be considered to occur based on the amount of the ARC layer material that is removed during the curing step. Not more than 85% of the ARC layer can be removed, preferably not more than 75%, more preferably not more than 70% and most preferably not more than 60%. Substantial etching can be considered to have occurred if etching through the ARC layer occurs during the pre-main etch curing step.
  • the HBr plasma is pumped from the plasma chamber and the etchant gas mixture is introduced 218 into the plasma chamber so as to start the main etch of the feature.
  • the composition of the etchant gas mixture is selected so as to effectively etch the intended layer of the wafer.
  • the next layer to be etched is the ARC layer 104 .
  • a suitable etchant gas mixture is CF 4 at a flow rate of 40 sccm and He at a flow rate of 120 sccm, although other fluorocarbons providing a source of fluorine for etching the ARC material can be used.
  • a mixture of HBr and O 2 or a mixture of Cl 2 and O 2 can be used to etch through the ARC layer.
  • the ARC material can be organic or inorganic.
  • Example operating parameters for the plasma etching device are a plasma chamber pressure of 7 mT, TCP power of 600 W, a 100V bias voltage applied to the chuck electrode (equivalent to ⁇ 46 W bias power) and an end point of +30% over etch.
  • the ARC layer is etched as part of the main etching step 220 , which actually forms the feature defined by the photoresist material.
  • the etch of the ARC layer can be carried out before the curing of the photoresist.
  • the main etch through the layers under and not protected by the photoresist is then carried out after curing of the photoresist.
  • a photoresist trimming step can be included in the method.
  • the curing step can then be carried out before the ARC etch and trim steps, or after the ARC etch and trim steps or between the ARC etch and trim steps.
  • the main etch 220 can include a number of steps in which different etch chemistries and operating parameters are used to etch the different layers of the wafer. Steps 218 and 220 are repeated, as indicated by step 221 , for each different main etch step required.
  • the etchant gas composition for the hardmask 106 etch step comprises CF 4 at a flow rate of 40 sccm, CH 2 F 2 at a flow rate of 20 sccm and He at a flow rate of 80 sccm.
  • Suitable plasma processing device operating parameters are a pressure of 7 mT, TCP power of 1000 W and chuck bias voltage of 400V (equivalent to a bias power of 300 W).
  • Other gases may be used in the hardmask etching gas composition, including CHF 3 in place of CH 2 F 2 , and the addition of oxygen and/or NF 3 .
  • tungsten 108 , tungsten nitride 110 and other layers can then all be etched as required using etching chemistries that are well known to persons of skill in this art.
  • FIG. 5A shows an electron microscope picture of a cross section 502 through the photoresist and SiN layers of a wafer etched according to the above described method and FIG. 5B shows a perspective view 504 .
  • a 1400 ⁇ deep layer of photoresist material 506 is present on the SiN hard mask layer 508 , and a straight, well defined feature has been etched as shown in FIG. 5C .
  • FIG. 3 shows a cross section through a further wafer 120 illustrating a further embodiment of the invention.
  • the wafer includes a 193 nm photoresist feature 122 , which has been patterned on an ARC layer 124 .
  • a layer of polysilicon material 126 is present on a thin layer of gate oxide 128 above a silicon substrate 130 .
  • This arrangement of layers of a wafer illustrates an intermediate step in the fabrication of many different devices.
  • the intermediate step could be a step in the fabrication of a memory device, a logic device or an eDRAM.
  • the method of etching is essentially the same as that described previously with reference to FIGS. 2 and 1 except that a different etching chemistry is used during the main etch step for the polysilicon layer 126 .
  • the etchant gas composition comprises CF 4 , chlorine, HBr, oxygen and helium.
  • the etching chemistry for polysilicon is well know to persons of ordinary skill in the art and need not be described further.
  • FIG. 4 shows a flow chart illustrating a further embodiment of the method 300 for etching a feature while using HBr to cure the 193 nm photoresist material.
  • the method begins 310 with introducing HBr into a plasma processing device 312 .
  • the HBr acts as a source of Br species in the plasma, which is active to cure the photoresist material 122 .
  • An etchant gas mixture is also introduced 314 into the plasma processing chamber at the same time as the HBr.
  • the composition of the etchant gas will depend on the wafer layer being etched, but will include at least one source of etchant species, such as fluorine species when CF 4 or other fluorocarbons are used as the etchant gas.
  • hydrofluorocarbons can be used as the source of etchant species.
  • a high density plasma is then struck and sustained 316 by operating the plasma processing device under low pressure, and high power conditions.
  • the bromine species present in the plasma is active to cure the photoresist material while the fluorine species is active as an etchant to etch away layers of the wafer below the photoresist layer.
  • the etch of the feature can be carried out 318 and the hardening of the photoresist feature prevents its deformation and helps to ensure the propagation of a well defined featured into the wafer.
  • the etch method terminates 320 once the desired end point is reached.
  • FIG. 6A shows an electron microscope picture of a cross section 601 through a wafer like that shown in FIG. 1 after a SiN hardmask layer etch with the addition of HBr.
  • FIG. 6B shows a perspective view along etched trenches 602 and
  • FIG. 6C shows the resulting etch profile 603 for the SiN layer.
  • the addition of HBr to cure the 193 nm photoresist material results in a significant amount of the photoresist layer material 604 being present after completion of the hardmask etch.
  • FIG. 6B shows there is a significant absence of any twisting of the photoresist material and well defined, straight lines are etched.
  • This method does not provide as good a SiN layer etch profile as the first method 200 described, but is still obviates photoresist deformation and collapse.
  • the invention has been described above in connection with 193 nm photoresist materials, but the invention can also be used with other deep Ultra Violet (DUV) photoresist materials, including 248 nm.
  • DUV deep Ultra Violet
  • the step of curing the photoresist material should be either before etching the feature in the underlying layer or concomitant with etching the feature in the underlying layer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A method for etching a wafer having a pattern of photoresist material thereon is disclosed. The method includes curing the photoresist material with a bromine containing plasma. Then a main etch of the wafer is carried out. A method for curing a pattern of photoresist material on a wafer is also disclosed. The curing method includes providing a bromine containing plasma and exposing the photoresist material to the plasma, such that a layer of the wafer below the photoresist material is not etched through. A composition of a plasma for curing a photoresist material on a wafer in a high density plasma processing device includes bromine.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to etching wafers, and more particularly to a method and composition for use in etching wafers used in the production of semiconductor devices.
  • BACKGROUND OF THE INVENTION
  • Photolithography is widely used for patterning photoresist material in the production of semiconductor devices. It is desirable to perform photolithography with light of a small wavelength to allow a reduction in the design rule to create smaller semiconductor devices. For example, 193 nm lithography using an Argon Fluoride (ArF) light source may be used to obtain 0.1 μm to 0.07 μm sizes. After a pattern of photoresist material has been provided on a wafer, the exposed layer of the wafer can be etched.
  • A large number of different chemicals and combinations of chemicals have been used in the past to provide active species in a plasma for etching wafers. There is a complex interplay between the composition of the etchant gas, the material being etched, and the operating conditions of the plasma processing device in which the etching is carried out. Different species of the plasma can be active in providing different effects on the wafer being processed. Hydrogen bromide has been used in the past as an etchant for etching a polysilicon layer in a wafer, with the bromine species in the plasma being active in etching the polysilicon material.
  • However, etching can have deleterious effects on the fabrication of devices from the wafer. For example, the photoresist material itself can be substantially removed which can expose the area that the photoresist is intended to protect. Also the etchant can cause the photoresist material to deform or twist, which in turn causes the features resulting from etching to be deformed. For example, the intended feature of a straight track could be well defined by photoresist material. However, etching to define the actual track can result in a wavy track actually being formed owing to the twisting and deformation of the photoresist material caused by the etching mechanism. The inability to control the shape of the features being etched can result, in the worst case, in device failure, or at least in non-reproducibility.
  • In view of the foregoing, it is desirable to be able to use a low wavelength photoresist material for defining features which are well reproduced by an etch process.
  • SUMMARY OF THE INVENTION
  • A method for etching a wafer having a pattern of photoresist material thereon is disclosed. The method includes curing the photoresist material with a bromine containing plasma. Then the etching of the wafer is carried out.
  • A method for curing a pattern of photoresist material on a wafer is also disclosed. The method includes providing a bromine containing plasma. The photoresist material is exposed to the plasma. A layer of the wafer below the photoresist material is not etched through.
  • A composition of a plasma for curing a photoresist material on a wafer in a high density plasma processing device, including bromine.
  • A method for etching a wafer having a pattern of photoresist material thereon is also disclosed. The method includes providing an etchant composition. The etchant composition generates a plasma active to etch a layer of the wafer below the photoresist material. A bromine containing plasma is also provided. The bromine containing plasma is active to harden the photoresist material.
  • A composition for etching a wafer having a pattern of photoresist material thereon is also disclosed. The composition comprises a fluorocarbon or a fluorohydrocarbon and a bromine containing molecule.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention, together with further advantages thereof, may best be understood by reference to the following description taken in conjunction with the accompanying drawings in which:
  • FIG. 1 is a schematic cross sectional view of a wafer on which a method according to the invention can be used;
  • FIG. 2 is a flow chart illustrating the steps of an example of the method of the invention;
  • FIG. 3 is a schematic cross sectional view of a wafer on which a method according to the invention can be used;
  • FIG. 4 is a flow chart illustrating the steps of a further example of the method of the invention;
  • FIGS. 5A, B and C respectively show electron microscope pictures of cross sectional and perspective views of a wafer etched according to the method illustrated in FIG. 2; and
  • FIGS. 6A, B and C respectively show electron microscope pictures of cross sectional and perspective views of a wafer etched according to the method illustrated in FIG. 4.
  • In the Figures, like reference numerals refer to like components and elements.
  • DETAILED DESCRIPTION OF THE INVENTION
  • An embodiment of the invention will now be described with reference to FIGS. 1 and 2. FIG. 1 shows a schematic cross section through a wafer 100 at a stage during the fabrication of a semiconductor device. A feature to be fabricated in the device is defined by 193 nm photoresist material 102. Herein, 193 nm photoresist material is used to refer to a photoresist material that can be patterned by radiation of wavelength 193 nm. Suitable such materials inlcude alicyclic methacrylate (acrylate) as provided under the trade names PAR 707, PAR 723 and PAR 710 by Sumitomo Corporation. Other suitable 193 nm photoresist materials include cyclic olefin/maleic anhydride (COMA) as provided by JSR Corporation, Shipley Company, LLC and Tokyo Ohka Kogya Co., Ltd., and similar. Photoresist feature 102 is approximately 2600 Å thick. Typically, the thickness of the 193 nm photoresist material layer is between approximately 2200 Å and 3300 Å. Photo imaging processes known in the art may be used to create the photoresist feature, which forms the photoresist mask.
  • Photoresist feature 102 is above a layer 104 of anti reflection coating (“ARC”), which is typically between approximately 300 and 800 Å thick. The ARC includes bottom antireflective coating (BARC), and is typically a hydrocarbon based material similar to that of the photoresist material. The wafer 100 includes a hardmask layer 106, which may be made of silicon nitride (SiN), which is typically approximately 500 to 2000 Å thick. In the alternative, the hard mask layer may be TEOS (tetra ethyl oxysilicate), silicon oxide, or a composite of the above materials. A layer of tungsten (W) 108 and a layer of tungsten nitride (WN) 110 can optionally be included to improve device speed, followed by a layer 112 of polysilicon material. A thin layer of gate oxide 114 is included, above a silicon substrate 116. Such a wafer substrate is an intermediate product in the fabrication of a EDRAM or DRAM device.
  • The photoresist material 102 defines a track feature, which is to be propagated onto the wafer as illustrated by dashed lines 118, 120 by etching of the wafer. The feature that the photoresist material is patterned to produce will depend on the design of the chip. Different types of features can be defined by the photoresist material. For example the photoresist material can define a hole or a ‘T’ or ‘U’ shaped feature. FIG. 2 shows a flow chart illustrating a method 200 by which the feature can be etched. The method can be carried out using any plasma etching tool or device capable of producing a high density plasma. Suitable tools include the TCP family of plasma processing devices as provided by Lam Research Corporation of Fremont, Calif. The DPS family of tools and similar as provided by Applied Materials, Inc, the SCCM tools provided by Tokyo Electron Limited and the ECR family of tools as provided by Hitachi Ltd are also suitable.
  • The method 200 is an essentially two step method. In the first step, the photoresist material 102 is hardened and otherwise stabilized without significant etching of the wafer. In a subsequent step, the wafer is actually etched so as to purposefully remove material from layers below and unprotected by the photoresist material. Any material removed by the bromine containing plasma is not intended, or should not be sufficient, to remove an entire layer of the wafer below the photoresist material layer. The main etch step etches the layer of the wafer in which the structural feature being etched is defined.
  • At the beginning 210 of the method, bromine containing gas is introduced into the plasma processing chamber of the device 212. In this example, the bromine containing gas is hydrogen bromide (HBr). The plasma processing tool is operated under conditions to allow a high density plasma to be struck in the chamber and sustained 214. A high density plasma is considered to be a plasma having a density of greater than approximately 1×1010 ions/cm3. The high density plasma can have a density in the range of approximately 1×1010 to 1×1013 ions/cm3. The photoresist material is exposed to the plasma, and bromine species in the plasma is active to cure the photoresist material 216 so that it is harder and more physically robust. A high density plasma is used in the photoresist curing, pre-main etch step 216, with the plasma processing device operated at a low pressure and high power. The HBr in the curing step does not carry out significant etching of the wafer, but rather strengthens the photoresist material 102. Although hydrogen bromide is the preferred source of bromine species for the plasma, other bromine containing molecules can be used in the curing plasma, such as SiBr4, CH3Br, Br2, C2H5Br and higher bromine containing hydrocarbons. Also, although the plasma is preferably purely HBr, additional molecules can be included in the plasma gas mixture, such as inert gases. Preferably, such a mixture for curing would not have significant amounts of active etchants such as hydrocarbons and fluorine containing molecules.
  • An example of suitable operating conditions for the HBr cure step would be a plasma processing chamber pressure of approximately 5 mT, a power of 1200 W, substantially 0 bias voltage applied to a chuck electrode, a HBr gas flow rate of 100sccm (standard cubic centimeters per minute) and a cure time of approximately 60 seconds.
  • It is preferred if substantially no material is removed during the curing step. However, some degree of removal of material from the ARC layer 104 and from the photoresist material 102 can occur during the curing step, prior to the main etch.
  • Although a zero volt bias potential on the chuck holding the wafer helps to minimize any removal of material, a bias potential of between 0 to 500V can be used, of between 0 to 250V is preferred and of between 0 to 30V is most preferred. Equivalent bias power ranges are approximately 0 to 740 W, 0 to 350 W and 0 to 40 W respectively.
  • Insubstantial removal of material can also be considered to occur based on the amount of photoresist material that is removed during the curing step. Not more than approximately 600 Å of photoresist material can be lost, preferably not more than approximately 500 Å are lost, more preferably not more than approximately 400 Å are lost and most preferably not more than 300 Å of photoresist material are lost. The proportion of photoresist material lost out of the thickness of photoresist material originally present can be not more than approximately 30%, preferably not more than 12% and more preferably not more than 5%.
  • Insubstantial removal of material can also be considered to occur based on the amount of the ARC layer material that is removed during the curing step. Not more than 85% of the ARC layer can be removed, preferably not more than 75%, more preferably not more than 70% and most preferably not more than 60%. Substantial etching can be considered to have occurred if etching through the ARC layer occurs during the pre-main etch curing step.
  • After the curing step 216 has been completed, the HBr plasma is pumped from the plasma chamber and the etchant gas mixture is introduced 218 into the plasma chamber so as to start the main etch of the feature. The composition of the etchant gas mixture is selected so as to effectively etch the intended layer of the wafer. For wafer 100, the next layer to be etched is the ARC layer 104. A suitable etchant gas mixture is CF4 at a flow rate of 40 sccm and He at a flow rate of 120 sccm, although other fluorocarbons providing a source of fluorine for etching the ARC material can be used. In other embodiments, a mixture of HBr and O2 or a mixture of Cl2 and O2 can be used to etch through the ARC layer. The ARC material can be organic or inorganic. Example operating parameters for the plasma etching device are a plasma chamber pressure of 7 mT, TCP power of 600 W, a 100V bias voltage applied to the chuck electrode (equivalent to ˜46 W bias power) and an end point of +30% over etch. The ARC layer is etched as part of the main etching step 220, which actually forms the feature defined by the photoresist material.
  • In another embodiment of the invention, the etch of the ARC layer can be carried out before the curing of the photoresist. The main etch through the layers under and not protected by the photoresist is then carried out after curing of the photoresist.
  • In another embodiment, a photoresist trimming step can be included in the method. The curing step can then be carried out before the ARC etch and trim steps, or after the ARC etch and trim steps or between the ARC etch and trim steps.
  • The main etch 220 can include a number of steps in which different etch chemistries and operating parameters are used to etch the different layers of the wafer. Steps 218 and 220 are repeated, as indicated by step 221, for each different main etch step required. The etchant gas composition for the hardmask 106 etch step comprises CF4 at a flow rate of 40 sccm, CH2F2 at a flow rate of 20 sccm and He at a flow rate of 80 sccm. Suitable plasma processing device operating parameters are a pressure of 7 mT, TCP power of 1000 W and chuck bias voltage of 400V (equivalent to a bias power of 300 W). Other gases may be used in the hardmask etching gas composition, including CHF3 in place of CH2F2, and the addition of oxygen and/or NF3.
  • The tungsten 108, tungsten nitride 110 and other layers can then all be etched as required using etching chemistries that are well known to persons of skill in this art.
  • It has been found that curing the photoresist material using a bromine containing plasma prior to etching the feature has the effects of reducing the collapse and the twisting of the photoresist material. This results in the feature etched in the wafer being as well defined as the original photoresist material and thereby obviates the problems associated with etching of the feature resulting in deformed features being generated. For example, FIG. 5A shows an electron microscope picture of a cross section 502 through the photoresist and SiN layers of a wafer etched according to the above described method and FIG. 5B shows a perspective view 504. As can be seen a 1400 Å deep layer of photoresist material 506 is present on the SiN hard mask layer 508, and a straight, well defined feature has been etched as shown in FIG. 5C.
  • FIG. 3 shows a cross section through a further wafer 120 illustrating a further embodiment of the invention. The wafer includes a 193 nm photoresist feature 122, which has been patterned on an ARC layer 124. A layer of polysilicon material 126 is present on a thin layer of gate oxide 128 above a silicon substrate 130. This arrangement of layers of a wafer illustrates an intermediate step in the fabrication of many different devices. For example, the intermediate step could be a step in the fabrication of a memory device, a logic device or an eDRAM.
  • The method of etching is essentially the same as that described previously with reference to FIGS. 2 and 1 except that a different etching chemistry is used during the main etch step for the polysilicon layer 126. The etchant gas composition comprises CF4, chlorine, HBr, oxygen and helium. The etching chemistry for polysilicon is well know to persons of ordinary skill in the art and need not be described further.
  • FIG. 4 shows a flow chart illustrating a further embodiment of the method 300 for etching a feature while using HBr to cure the 193 nm photoresist material. The method begins 310 with introducing HBr into a plasma processing device 312. The HBr acts as a source of Br species in the plasma, which is active to cure the photoresist material 122. An etchant gas mixture is also introduced 314 into the plasma processing chamber at the same time as the HBr. The composition of the etchant gas will depend on the wafer layer being etched, but will include at least one source of etchant species, such as fluorine species when CF4 or other fluorocarbons are used as the etchant gas. In other embodiments of the invention, hydrofluorocarbons can be used as the source of etchant species. A high density plasma is then struck and sustained 316 by operating the plasma processing device under low pressure, and high power conditions. The bromine species present in the plasma is active to cure the photoresist material while the fluorine species is active as an etchant to etch away layers of the wafer below the photoresist layer. Hence the etch of the feature can be carried out 318 and the hardening of the photoresist feature prevents its deformation and helps to ensure the propagation of a well defined featured into the wafer. The etch method terminates 320 once the desired end point is reached.
  • FIG. 6A shows an electron microscope picture of a cross section 601 through a wafer like that shown in FIG. 1 after a SiN hardmask layer etch with the addition of HBr. FIG. 6B shows a perspective view along etched trenches 602 and FIG. 6C shows the resulting etch profile 603 for the SiN layer. As can be seen in FIG. 6A the addition of HBr to cure the 193 nm photoresist material results in a significant amount of the photoresist layer material 604 being present after completion of the hardmask etch. As FIG. 6B shows there is a significant absence of any twisting of the photoresist material and well defined, straight lines are etched. There is some tapering of the profile of the SiN layer 606, as shown in FIG. 6C. This method does not provide as good a SiN layer etch profile as the first method 200 described, but is still obviates photoresist deformation and collapse.
  • The invention has been described above in connection with 193 nm photoresist materials, but the invention can also be used with other deep Ultra Violet (DUV) photoresist materials, including 248 nm.
  • Other steps can be added to the method of the invention as required in order to fabricate a particular device. However, the step of curing the photoresist material should be either before etching the feature in the underlying layer or concomitant with etching the feature in the underlying layer.
  • Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Therefore, the described embodiments should be taken as illustrative and not restrictive, and the invention should not be limited to the details given herein but should be defined by the following claims and there full scope of equivalents.

Claims (6)

1-13. (canceled)
14. A composition of a high density plasma for curing a photoresist material on a wafer in a plasma processing tool, the composition including bromine.
15. (canceled)
16. A composition for etching a wafer having a pattern of photoresist material thereon, comprising: a fluorocarbon and a bromine containing molecule.
17. The composition, as recited in claim 14, wherein the composition is essentially pure bromine.
18. The composition, as recited in claim 17, further comprising a composition for etching the wafer under the photoresist material, comprising a fluorocarbon and a bromine containing molecule.
US11/157,782 2002-08-14 2005-06-20 Method and compositions for hardening photoresist in etching processes Abandoned US20050230352A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/157,782 US20050230352A1 (en) 2002-08-14 2005-06-20 Method and compositions for hardening photoresist in etching processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/219,995 US6923920B2 (en) 2002-08-14 2002-08-14 Method and compositions for hardening photoresist in etching processes
US11/157,782 US20050230352A1 (en) 2002-08-14 2005-06-20 Method and compositions for hardening photoresist in etching processes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/219,995 Division US6923920B2 (en) 2002-08-14 2002-08-14 Method and compositions for hardening photoresist in etching processes

Publications (1)

Publication Number Publication Date
US20050230352A1 true US20050230352A1 (en) 2005-10-20

Family

ID=31886605

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/219,995 Expired - Lifetime US6923920B2 (en) 2002-08-14 2002-08-14 Method and compositions for hardening photoresist in etching processes
US11/157,782 Abandoned US20050230352A1 (en) 2002-08-14 2005-06-20 Method and compositions for hardening photoresist in etching processes

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/219,995 Expired - Lifetime US6923920B2 (en) 2002-08-14 2002-08-14 Method and compositions for hardening photoresist in etching processes

Country Status (8)

Country Link
US (2) US6923920B2 (en)
EP (1) EP1529308A1 (en)
JP (1) JP2005535936A (en)
KR (1) KR100990064B1 (en)
CN (1) CN100423191C (en)
AU (1) AU2003257999A1 (en)
TW (1) TWI307121B (en)
WO (1) WO2004017390A1 (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6962878B2 (en) * 2003-04-17 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce photoresist mask line dimensions
US7005386B1 (en) * 2003-09-05 2006-02-28 Advanced Micro Devices, Inc. Method for reducing resist height erosion in a gate etch process
JP2005109068A (en) * 2003-09-30 2005-04-21 Sanyo Electric Co Ltd Semiconductor device and manufacturing method thereof
US20050147926A1 (en) * 2004-01-02 2005-07-07 Nanya Technology Corporation Method for processing photoresist
US20060154184A1 (en) * 2005-01-12 2006-07-13 International Business Machines Corporation Method for reducing feature line edge roughness
KR100674967B1 (en) * 2005-04-06 2007-01-26 삼성전자주식회사 Method of forming photoresist patterns having fine pitch using double patterning technique
US7390753B2 (en) * 2005-11-14 2008-06-24 Taiwan Semiconductor Mfg. Co., Ltd. In-situ plasma treatment of advanced resists in fine pattern definition
JP5362176B2 (en) * 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
JP5128421B2 (en) 2008-09-04 2013-01-23 東京エレクトロン株式会社 Plasma processing method and resist pattern modification method
JP5544914B2 (en) * 2010-02-15 2014-07-09 大日本印刷株式会社 Method for manufacturing a reflective mask
KR101348655B1 (en) * 2010-03-24 2014-01-08 한국전자통신연구원 Microfluid control device and method for manufacturing the same
JP5142236B1 (en) * 2011-11-15 2013-02-13 エルシード株式会社 Etching method
US9105587B2 (en) * 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
JP6017928B2 (en) * 2012-11-09 2016-11-02 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
CN103021925A (en) * 2012-12-21 2013-04-03 上海宏力半导体制造有限公司 STI (shallow trench isolation) manufacturing process, trench etching method and photoresist processing method
CN106662816B (en) * 2014-07-08 2020-10-23 东京毅力科创株式会社 Negative tone developer compatible photoresist compositions and methods of use
JP6736314B2 (en) * 2015-06-30 2020-08-05 エイブリック株式会社 Method of manufacturing semiconductor device
CN107564803B (en) * 2017-08-31 2020-04-17 京东方科技集团股份有限公司 Etching method, process equipment, thin film transistor device and manufacturing method thereof

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5749389A (en) * 1993-12-22 1998-05-12 Liquid Air Corporation Purgeable connection for gas supply cabinet
US5843835A (en) * 1996-04-01 1998-12-01 Winbond Electronics Corporation Damage free gate dielectric process during gate electrode plasma etching
US5976769A (en) * 1995-07-14 1999-11-02 Texas Instruments Incorporated Intermediate layer lithography
US6103632A (en) * 1997-10-22 2000-08-15 Applied Material Inc. In situ Etching of inorganic dielectric anti-reflective coating from a substrate
US6121154A (en) * 1997-12-23 2000-09-19 Lam Research Corporation Techniques for etching with a photoresist mask
US6121155A (en) * 1998-12-04 2000-09-19 Advanced Micro Devices Integrated circuit fabrication critical dimension control using self-limiting resist etch
US6299788B1 (en) * 1999-03-29 2001-10-09 Mosel Vitelic Inc. Silicon etching process
US20010050413A1 (en) * 1999-04-15 2001-12-13 Li Li Method of controlling striations and CD loss in contact oxide etch
US6426300B2 (en) * 1999-12-30 2002-07-30 Hyundai Electronics Industries Co., Ltd. Method for fabricating semiconductor device by using etching polymer
US20020160320A1 (en) * 2001-03-28 2002-10-31 Advanced Micro Devices, Inc. Process for forming sub-lithographic photoresist features by modification of the photoresist surface
US6638666B2 (en) * 2000-05-25 2003-10-28 Toppan Printing Co., Ltd. Substrate for a transfer mask, transfer mask, and method of manufacturing the transfer mask
US6673498B1 (en) * 2001-11-02 2004-01-06 Lsi Logic Corporation Method for reticle formation utilizing metal vaporization

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09270420A (en) 1996-03-29 1997-10-14 Nippon Steel Corp Manufacture of semiconductor device
JP3484317B2 (en) * 1997-03-19 2004-01-06 沖電気工業株式会社 Method for manufacturing semiconductor device
JP2001237218A (en) 2000-02-21 2001-08-31 Nec Corp Method of manufacturing semiconductor device

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5749389A (en) * 1993-12-22 1998-05-12 Liquid Air Corporation Purgeable connection for gas supply cabinet
US5976769A (en) * 1995-07-14 1999-11-02 Texas Instruments Incorporated Intermediate layer lithography
US5843835A (en) * 1996-04-01 1998-12-01 Winbond Electronics Corporation Damage free gate dielectric process during gate electrode plasma etching
US6103632A (en) * 1997-10-22 2000-08-15 Applied Material Inc. In situ Etching of inorganic dielectric anti-reflective coating from a substrate
US6121154A (en) * 1997-12-23 2000-09-19 Lam Research Corporation Techniques for etching with a photoresist mask
US6121155A (en) * 1998-12-04 2000-09-19 Advanced Micro Devices Integrated circuit fabrication critical dimension control using self-limiting resist etch
US6299788B1 (en) * 1999-03-29 2001-10-09 Mosel Vitelic Inc. Silicon etching process
US20010050413A1 (en) * 1999-04-15 2001-12-13 Li Li Method of controlling striations and CD loss in contact oxide etch
US6426300B2 (en) * 1999-12-30 2002-07-30 Hyundai Electronics Industries Co., Ltd. Method for fabricating semiconductor device by using etching polymer
US6638666B2 (en) * 2000-05-25 2003-10-28 Toppan Printing Co., Ltd. Substrate for a transfer mask, transfer mask, and method of manufacturing the transfer mask
US20020160320A1 (en) * 2001-03-28 2002-10-31 Advanced Micro Devices, Inc. Process for forming sub-lithographic photoresist features by modification of the photoresist surface
US6630288B2 (en) * 2001-03-28 2003-10-07 Advanced Micro Devices, Inc. Process for forming sub-lithographic photoresist features by modification of the photoresist surface
US6673498B1 (en) * 2001-11-02 2004-01-06 Lsi Logic Corporation Method for reticle formation utilizing metal vaporization

Also Published As

Publication number Publication date
TW200407998A (en) 2004-05-16
AU2003257999A1 (en) 2004-03-03
US20040079727A1 (en) 2004-04-29
KR100990064B1 (en) 2010-10-26
JP2005535936A (en) 2005-11-24
CN100423191C (en) 2008-10-01
CN1689142A (en) 2005-10-26
US6923920B2 (en) 2005-08-02
KR20050047091A (en) 2005-05-19
WO2004017390A1 (en) 2004-02-26
TWI307121B (en) 2009-03-01
EP1529308A1 (en) 2005-05-11

Similar Documents

Publication Publication Date Title
US20050230352A1 (en) Method and compositions for hardening photoresist in etching processes
US5007982A (en) Reactive ion etching of silicon with hydrogen bromide
KR101476435B1 (en) Method for multi-layer resist plasma etch
US6156629A (en) Method for patterning a polysilicon gate in deep submicron technology
US20080233730A1 (en) Method for fabricating semiconductor device
KR20070107017A (en) Line edge roughness reduction compatible with trimming
US20070090446A1 (en) Hardmask etch for gate polyetch
JP2001308076A (en) Method of manufacturing semiconductor device
US5994234A (en) Method for dry-etching a polycide film
US20020045331A1 (en) Method of producing a semiconductor device using feature trimming
JP2004031944A (en) Forming method of very narrow width transistor gate element by photolithography
US10868244B2 (en) Multiple hard mask patterning to fabricate 20nm and below MRAM devices
TWI336490B (en) Method for fabricating a semiconductor device
JP2000091318A (en) Manufacture of semiconductor device
JP3248072B2 (en) Oxide film etching method
US20220263018A1 (en) Multiply Spin-Coated Ultra-Thick Hybrid Hard Mask for Sub 60nm MRAM Devices
JPH1098029A (en) Processing method for etching anti-reflection organic coating from substrate
JP2003209046A (en) Resist pattern forming method and semiconductor device manufacturing method
US20070161255A1 (en) Method for etching with hardmask
JP2001358133A (en) Method for performing anisotropic plasma etching using fluorochemical substance that is non- chlorofluorocarbon
US6379872B1 (en) Etching of anti-reflective coatings
US6537906B1 (en) Methods for fabricating semiconductor devices
US20060046494A1 (en) Method for fabricating semiconductor device
JP2006324615A (en) Method of forming conductive line of semiconductor element
JPH0766176A (en) Dry etching method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION