US20030190801A1 - Method for forming a metal extrusion free via - Google Patents

Method for forming a metal extrusion free via Download PDF

Info

Publication number
US20030190801A1
US20030190801A1 US10/404,491 US40449103A US2003190801A1 US 20030190801 A1 US20030190801 A1 US 20030190801A1 US 40449103 A US40449103 A US 40449103A US 2003190801 A1 US2003190801 A1 US 2003190801A1
Authority
US
United States
Prior art keywords
metal
barrier
dielectric
layer
titanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/404,491
Inventor
Alfred Griffin
Antonietta Oliva
Adel Sayed
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/404,491 priority Critical patent/US20030190801A1/en
Publication of US20030190801A1 publication Critical patent/US20030190801A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to the manufacture of semiconductor devices, and more particularly to a method for forming vias in semiconductor devices.
  • a continuing trend in semiconductor manufacturing is to make more powerful and complex integrated circuit devices in a smaller area. Manufacturers achieve this objective by making individual feature sizes smaller and by locating these features closer together. Millions of active and passive devices, such as transistors, capacitors, and resistors are formed on a semiconductor substrate, such as silicon. These devices are isolated from each other on the substrate and later are interconnected to form functional circuits. The quality of these interconnecting structures drastically affects the performance, and reliability of the completed circuits.
  • interconnections are fabricated as a multilayer structure having alternating layers of patterned metal and dielectric materials.
  • the dielectric layers frequently a form of silicon oxide, serve to separate the conductors, both vertically and horizontally, and very small, vertical metal filled vias provide a means of interconnection between the metal levels. Performance of the interconnections and dielectric must be precise and predictable in order to provide a stable device.
  • the metal conductors may include a base layer, a bulk conductor layer, and a capping layer, and the sum of these layers is referred to as a metal stack.
  • the metal stack is formed on a dielectric layer, typically by sputtering, and then through the use of photolithographic techniques is etched to define the interconnecting structure.
  • Aluminum and aluminum alloys are often used as the bulk conductors in metal stacks.
  • a simplistic via structure typically includes a horizontal metal interconnection layer 101 , most frequently comprised of aluminum, copper, or an aluminum alloy, one or more dielectric layers 102 , usually some form of silicon dioxide, and a conductive metal plug 103 in the via, such as tungsten (W).
  • the metal level may be comprised of multiple layers of material, including currently popular titanium (Ti) and titanium nitride (TiN) which sandwich the conductive interconnection metal.
  • the layers 104 , 105 serve multiple purposes which may include adhesion promotion, anti-reflection, and an aid in defining grain structures. Also, it has been found that for proper orientation and formation of the TiN layer, it is necessary to first provide a clean surface of a titanium metal layer 105 which acts as a seed layer.
  • a barrier layer 107 is usually provided between the metal interconnection layer and via plug.
  • a typical via-barrier layer 107 such as CVD deposited TiN which conforms to the inner surfaces of the via prior to forming the tungsten plug.
  • FIG. 2 A schematic drawing of a metal extrusion failure is illustrated in FIG. 2.
  • the metal conductor 201 having a relatively high coefficient of thermal expansion is constrained and put into a state of compression by the dielectric layers 202 of the via which have a much lower expansion coefficient.
  • some of the metal stress may be relieved by an extrusion 206 through the barrier layers 204 into the base of the via. While it is agreed that the failure is related to a thermally induced compressive stress in the metal line which causes the metal to extrude into the via to relieve stress, a clear solution for elimination of the defect has not been previously identified.
  • the method is applicable to different metal stacks, and in turn yield and reliability of the device is significantly enhanced.
  • FIG. 1 is a cross sectional view of a via structure. (prior art)
  • FIG. 2 is a cross section of a via having a metal extrusion through the barrier layers.
  • FIG. 3 is a cross section of via of a preferred embodiment of the current invention.
  • FIG. 4 a is a cross section of a metal stack of a preferred embodiment of the current invention.
  • FIG. 4 b is a cross section through a reacted metal stack and etched via.
  • FIG. 4 c is a cross section through a reacted metal stack and filled via of the current invention.
  • FIG. 3 The cross section of a via known to prior art, as illustrated in FIG. 1, is similar to that of the current invention. However, in practice, it should be recognized that the layers are not perfectly smooth, that the thickness of each layer may not be uniform, and that the features may not be as well aligned, as shown. Further, a more detailed look at a cross section of a via of a preferred embodiment of the current invention, as shown in FIG. 3 includes a reacted layer of titanium aluminide 304 in the metal stack.
  • the titanium aluminide primarily existing as TiAl 3 , has advantages of serving as a via etch stop, an electromigration resistance improvement, and as a barrier against aluminum extrusions or hillocks.
  • titanium aluminide layer are not novel, and are not a subject of the invention; but integrity of the layer, that of the total via, and of the via barrier against metal extrusions is critical, and is the subject of the invention.
  • the metallurgical junctions may be unstable due to the presence of metal extrusions and the aluminide interface.
  • the disturbed interface can react and form voids under the via due to a volume reduction associated with the titanium aluminide reaction.
  • aluminum in the interconnection line can diffuse away from the junction and result in mechanically induced stress migration.
  • the metal stack includes the interconnection line 301 of aluminum (Al) doped with copper (Cu), and sandwiched between reactive titanium (Ti) sticking layers.
  • a titanium nitride (TiN) 306 or silicon oxynitride layer on the first surface 311 of the metal stack serves as an anti-reflective coating (ARC).
  • a sticking layer of titanium 308 lies directly under the CVD deposited titanium nitride via barrier layer 307 which covers both the side walls and bottom of the etched via hole.
  • the via has been patterned and etched into a dielectric material 302 , comprised of one or more layers of an oxide.
  • the via hole in filled with a tungsten plug 303 .
  • Process steps involved in via formation for a multilevel integrated circuit device of the current invention are as follows.
  • the metal stack as shown in FIG. 4 a, is deposited on an interlayer dielectric substrate.
  • the stack includes a titanium layer 402 of approximately 140 Angstroms thickness on one major surface 461 of the clean, oxide free aluminum alloy layer 401 , and about 200 Angstroms of titanium on the second surface 462 .
  • a TiN, silicon oxynitride or other antireflective coating (ARC) 406 is deposited atop the exposed surface.
  • the aluminum interconnection line 401 is reacted with a very clean, and oxide free titanium to form a layer of titanium aluminide 412 (FIG. 4 b ) when annealed at 425° C.
  • the metal stack is patterned and etched using known technology.
  • an interlayer dielectric 402 preferably includes deposited layers of high density plasma (HDP) 4021 , and a tetraethyl ortho silicate (TEOS) 4022 oxides which surround the patterned metal line 401 .
  • the dielectric is patterned and etched to form a via-hole 410 using existing technology, and is subsequently planarized by chemical mechanical polish.
  • a typical metal degas process at 350° C. follows.
  • a titanium seed layer 408 is deposited at a relatively low temperature in the via, and insitu a TiN film is CVD deposited to provide the via-barrier 407 prior to filling the via hole with CVD deposited tungsten plug 403 .
  • thermo excursion during high temperature CVD TiN via-barrier deposition which must be carefully controlled to avoid excessive compressive stress on the metal from breaking through the relatively thin via-barrier layer.
  • temperature of the substrate and of the via-barrier deposition is controlled to about 380° C. for successful elimination of metal extrusion through the barrier.
  • the tungsten plug will confine the metal expansion and preclude extrusion through the via-barrier once it has been deposited.
  • the key to elimination of metal extrusions in etched via holes is to control the process temperatures, so that the relatively high expansion metal is not excessively stressed by the confining low expansion dielectric layers, to the point that the metal breaks through the via-barrier layer prior to filling the via.
  • Besser and Cheung U.S. Pat. No. 5,789,315, which is included herein by reference, recognized a significant decrease in stress to near zero on the metal lines if the interlayer oxides were deposited at 380° C. However, they further related the presence of metal extrusions to controlling the metal degas process to a temperature which is lower than that of the oxide deposition. This does not preclude a high level of stress from being introduced during subsequent processes, and in particular, during deposition of the relatively thin via-barrier layer which is the layer violated by the extruded metal.
  • the deposition temperature of the via-barrier must be controlled to less than 400° C., and preferably to near 380° C. It has been found that at CVD TiN deposition temperature of 400° C., metal extrusions are not entirely eliminated; however, at 380° C. metal extrusions are completely eliminated. Heretofore, CVD deposition temperature of TiN has typically been recommended by reactor manufacturers to be greater than 400° C.
  • Via test structures which allow resistance measurement of single vias have been used to quantify the impact of reduction in titanium nitride via barrier deposition temperature to approximately 380° C. Based on parametric data from a large number of process lots comparing the via barrier deposition temperature, the resistance of those test devices having via barrier deposition at 380° C. were near the target value, with no out of range values, whereas the devices processed at greater than 400° C. had a lower probability of meeting the target value, and had a number of devices outside the acceptable range.
  • the preferred embodiment of this invention includes CVD deposition of TiN via-barrier within the range of 380° C. to 390° C. This process has been accomplished without decreasing the reactor throughput by reducing preheat time. Substrate temperature control, and reduction in preheat time are assisted by incorporating a heated N 2 gas impingement of the wafer backside.
  • the preheat technique is not a subject of the current invention, and alternate techniques may be equally effective in speeding the reactor throughput. This technique is offered as one example.
  • the controlled temperature via-barrier process is described with respect to a preferred embodiment, however it should be recognized that it is applicable to alternate metallization stacks, including among others Ti/TiN, TiN, TiN/Ti on both conductor surfaces.
  • the invention is not restricted to a given barrier thickness, but further, it is applicable to a variety of different materials, and via configurations.
  • the interconnection metals of choice will be high expansion materials such as aluminum, having a thermal coefficient of expansion (CTE) of about 26PPM, copper of about 17PPM, or various alloys thereof, which are considerably more thermally expansive that silicon and silicon dioxide having CTE in the range of 2.2 to 2.5 PPM.
  • CTE thermal coefficient of expansion
  • the deposition temperature of the via-barrier would be controlled to one wherein the metal stress level approaches zero.
  • Thicker via barrier layers may add a margin of safety to the process, but are not a cure for aluminum extrusion into the via, whereas controlling the deposition temperature of the via-barrier eliminates the cause, and thus provides a process for avoiding the presence of metal extrusions into the via hole.

Abstract

A process whereby elimination of metal extrusion through the via-barrier layer into the base of etched via holes is accomplished by controlling the process temperature of the via-barrier deposition to less than 400° C., and preferably to about 380° C. By eliminating the cause of metal extrusions, i.e., excessive thermally induced stresses on the metal confined biaxially by the dielectric via walls, the resulting defect-free vias are independent of the barrier thickness. The method is applicable to different metal stacks, and in turn, yield and reliability of the device is significantly enhanced.

Description

    FIELD OF THE INVENTION
  • The present invention relates to the manufacture of semiconductor devices, and more particularly to a method for forming vias in semiconductor devices. [0001]
  • BACKGROUND OF THE INVENTION
  • A continuing trend in semiconductor manufacturing is to make more powerful and complex integrated circuit devices in a smaller area. Manufacturers achieve this objective by making individual feature sizes smaller and by locating these features closer together. Millions of active and passive devices, such as transistors, capacitors, and resistors are formed on a semiconductor substrate, such as silicon. These devices are isolated from each other on the substrate and later are interconnected to form functional circuits. The quality of these interconnecting structures drastically affects the performance, and reliability of the completed circuits. [0002]
  • Often the interconnections are fabricated as a multilayer structure having alternating layers of patterned metal and dielectric materials. The dielectric layers, frequently a form of silicon oxide, serve to separate the conductors, both vertically and horizontally, and very small, vertical metal filled vias provide a means of interconnection between the metal levels. Performance of the interconnections and dielectric must be precise and predictable in order to provide a stable device. [0003]
  • In multilevel structures, the metal conductors may include a base layer, a bulk conductor layer, and a capping layer, and the sum of these layers is referred to as a metal stack. The metal stack is formed on a dielectric layer, typically by sputtering, and then through the use of photolithographic techniques is etched to define the interconnecting structure. Aluminum and aluminum alloys are often used as the bulk conductors in metal stacks. [0004]
  • As a result of the small size and complexity of vias, particularly for 0.35 micron and smaller technology, fabrication and integrity often present a significant challenge to the manufacture, yield, and reliability of modern ultra large scale integrated circuits. [0005]
  • A simplistic via structure, as shown in FIG. 1, typically includes a horizontal [0006] metal interconnection layer 101, most frequently comprised of aluminum, copper, or an aluminum alloy, one or more dielectric layers 102, usually some form of silicon dioxide, and a conductive metal plug 103 in the via, such as tungsten (W). In complex devices, the metal level may be comprised of multiple layers of material, including currently popular titanium (Ti) and titanium nitride (TiN) which sandwich the conductive interconnection metal. The layers 104, 105 serve multiple purposes which may include adhesion promotion, anti-reflection, and an aid in defining grain structures. Also, it has been found that for proper orientation and formation of the TiN layer, it is necessary to first provide a clean surface of a titanium metal layer 105 which acts as a seed layer.
  • However, due to the interaction of aluminum and/or copper with other materials, a [0007] barrier layer 107 is usually provided between the metal interconnection layer and via plug. A typical via-barrier layer 107, such as CVD deposited TiN which conforms to the inner surfaces of the via prior to forming the tungsten plug.
  • Obviously, misalignment of the metal stack can lead to exposure of the plug metal, and in turn to corrosion during subsequent processing. Poor coverage of the metal by the barrier metal can lead to voids in the interconnection resulting from the tungsten source gas interacting with aluminum. A number of other via failure mechanisms have been disclosed, along with proposed corrective procedures. [0008]
  • A more subtle yield loss related to via integrity has plagued the industry, wherein via resistance may be marginally high, but more importantly an instability in the operating frequency has lead to both yield and operating failures of the device. Such subtle failures are difficult to detect and to control, but stress induced extrusion of aluminum into the via has been identified in “Reflow of AlCu into Vias during CVD TiN Barrier Deposition”, A, Oliva, et. al., ISRM2000, and Besser, et al in U.S. Pat. No. 5,789,315 (1998) as one contributor to such device reliability degradation. [0009]
  • A schematic drawing of a metal extrusion failure is illustrated in FIG. 2. The [0010] metal conductor 201 having a relatively high coefficient of thermal expansion is constrained and put into a state of compression by the dielectric layers 202 of the via which have a much lower expansion coefficient. During thermal excursions, some of the metal stress may be relieved by an extrusion 206 through the barrier layers 204 into the base of the via. While it is agreed that the failure is related to a thermally induced compressive stress in the metal line which causes the metal to extrude into the via to relieve stress, a clear solution for elimination of the defect has not been previously identified.
  • SUMMARY OF THE INVENTION
  • It is an object of the current invention to provide a method for elimination of metal extrusions through the barrier layers of etched via holes in multilevel integrated circuit devices. [0011]
  • It is an object of the invention to identify the root cause of stress induced extrusions into vias, and to provide a method for eliminating the source of the failure. [0012]
  • It is an object of the invention to provide an improved manufacturing process for via barrier formation. [0013]
  • It is an objective of the invention to provide a manufacturing process which does not slow throughput. [0014]
  • It is an object of the invention to provide a manufacturing process for elimination of metal extrusions through the barrier layer, and into the via which is not related, and dependent upon a second variable or process. [0015]
  • It is an object of the invention to provide a method for manufacture of semiconductor devices which improves yield and reliability. [0016]
  • It is an object of the invention to provide a method for elimination of stress induced metal extrusions into vias which is applicable to different metal stacks. [0017]
  • It is further an objective of the invention to provide a method of eliminating stress induced metal extrusions into vias which is not dependent on barrier thickness. [0018]
  • It is an object of the invention to provide an interconnection metallization, including titanium aluminide, wherein good integrity of the via barrier against metal extrusions is exhibited. [0019]
  • The above and other objectives of the invention will be met by disclosing a process whereby metal extrusion through the via barrier layer into the base of an etched via holes is eliminated. Temperature of the in process wafer, and that of the via barrier deposition is controlled at less than 400° C., and preferably to about 380° C., thereby decreasing compressive stresses on the metal at the process step where the failure is manifested. [0020]
  • By eliminating the cause of metal extrusions, i.e., excessive thermally induced stresses on the metal confined biaxially by the dielectric via walls, the resulting defect free vias are independent of the barrier thickness, the method is applicable to different metal stacks, and in turn yield and reliability of the device is significantly enhanced. [0021]
  • BRIEF DESCRIPTION OF THE FIGURES
  • FIG. 1 is a cross sectional view of a via structure. (prior art) [0022]
  • FIG. 2 is a cross section of a via having a metal extrusion through the barrier layers. (Prior art) [0023]
  • FIG. 3 is a cross section of via of a preferred embodiment of the current invention. [0024]
  • FIG. 4[0025] a is a cross section of a metal stack of a preferred embodiment of the current invention.
  • FIG. 4[0026] b is a cross section through a reacted metal stack and etched via.
  • FIG. 4[0027] c is a cross section through a reacted metal stack and filled via of the current invention.
  • DETAILED DESCRIPTION OF THE DRAWINGS
  • The cross section of a via known to prior art, as illustrated in FIG. 1, is similar to that of the current invention. However, in practice, it should be recognized that the layers are not perfectly smooth, that the thickness of each layer may not be uniform, and that the features may not be as well aligned, as shown. Further, a more detailed look at a cross section of a via of a preferred embodiment of the current invention, as shown in FIG. 3 includes a reacted layer of [0028] titanium aluminide 304 in the metal stack. The titanium aluminide, primarily existing as TiAl3, has advantages of serving as a via etch stop, an electromigration resistance improvement, and as a barrier against aluminum extrusions or hillocks. These advantages of the titanium aluminide layer are not novel, and are not a subject of the invention; but integrity of the layer, that of the total via, and of the via barrier against metal extrusions is critical, and is the subject of the invention. Lacking integrity of the reacted metal layer and the via barrier, the metallurgical junctions may be unstable due to the presence of metal extrusions and the aluminide interface. The disturbed interface can react and form voids under the via due to a volume reduction associated with the titanium aluminide reaction. Further, aluminum in the interconnection line can diffuse away from the junction and result in mechanically induced stress migration.
  • In the preferred embodiment, the metal stack includes the [0029] interconnection line 301 of aluminum (Al) doped with copper (Cu), and sandwiched between reactive titanium (Ti) sticking layers. A titanium nitride (TiN) 306 or silicon oxynitride layer on the first surface 311 of the metal stack serves as an anti-reflective coating (ARC).
  • A sticking layer of [0030] titanium 308 lies directly under the CVD deposited titanium nitride via barrier layer 307 which covers both the side walls and bottom of the etched via hole. The via has been patterned and etched into a dielectric material 302, comprised of one or more layers of an oxide. The via hole in filled with a tungsten plug 303.
  • Process steps involved in via formation for a multilevel integrated circuit device of the current invention are as follows. The metal stack, as shown in FIG. 4[0031] a, is deposited on an interlayer dielectric substrate. The stack includes a titanium layer 402 of approximately 140 Angstroms thickness on one major surface 461 of the clean, oxide free aluminum alloy layer 401, and about 200 Angstroms of titanium on the second surface 462. A TiN, silicon oxynitride or other antireflective coating (ARC) 406 is deposited atop the exposed surface. The aluminum interconnection line 401 is reacted with a very clean, and oxide free titanium to form a layer of titanium aluminide 412 (FIG. 4b) when annealed at 425° C. The metal stack is patterned and etched using known technology.
  • As shown in FIG. 4[0032] b, an interlayer dielectric 402 preferably includes deposited layers of high density plasma (HDP) 4021, and a tetraethyl ortho silicate (TEOS) 4022 oxides which surround the patterned metal line 401. The dielectric is patterned and etched to form a via-hole 410 using existing technology, and is subsequently planarized by chemical mechanical polish. A typical metal degas process at 350° C. follows.
  • In FIG. 4[0033] c, a titanium seed layer 408 is deposited at a relatively low temperature in the via, and insitu a TiN film is CVD deposited to provide the via-barrier 407 prior to filling the via hole with CVD deposited tungsten plug 403.
  • It is the thermal excursion during high temperature CVD TiN via-barrier deposition which must be carefully controlled to avoid excessive compressive stress on the metal from breaking through the relatively thin via-barrier layer. According to this invention, temperature of the substrate and of the via-barrier deposition is controlled to about 380° C. for successful elimination of metal extrusion through the barrier. The tungsten plug will confine the metal expansion and preclude extrusion through the via-barrier once it has been deposited. [0034]
  • The process step wherein the metal extrusion into the via occurs has been confirmed by analyses showing Al/Cu, Ti, and F in the anomalous extrusion. Further, transmission electron micrograph (TEM) analyses shows that the titanium layer was underneath the extrusion, indicating that the extrusion occurred during barrier deposition. Further, CVD TiN is found on top of the metal extrusions, thus confirming that extrusions do not occur as a function of the CVD W plug deposition, but do occur during CVD titanium nitride deposition, which typically is a high temperature process. [0035]
  • Therefore, the key to elimination of metal extrusions in etched via holes is to control the process temperatures, so that the relatively high expansion metal is not excessively stressed by the confining low expansion dielectric layers, to the point that the metal breaks through the via-barrier layer prior to filling the via. [0036]
  • Besser and Cheung, U.S. Pat. No. 5,789,315, which is included herein by reference, recognized a significant decrease in stress to near zero on the metal lines if the interlayer oxides were deposited at 380° C. However, they further related the presence of metal extrusions to controlling the metal degas process to a temperature which is lower than that of the oxide deposition. This does not preclude a high level of stress from being introduced during subsequent processes, and in particular, during deposition of the relatively thin via-barrier layer which is the layer violated by the extruded metal. [0037]
  • According to this invention, the deposition temperature of the via-barrier must be controlled to less than 400° C., and preferably to near 380° C. It has been found that at CVD TiN deposition temperature of 400° C., metal extrusions are not entirely eliminated; however, at 380° C. metal extrusions are completely eliminated. Heretofore, CVD deposition temperature of TiN has typically been recommended by reactor manufacturers to be greater than 400° C. [0038]
  • Via test structures which allow resistance measurement of single vias have been used to quantify the impact of reduction in titanium nitride via barrier deposition temperature to approximately 380° C. Based on parametric data from a large number of process lots comparing the via barrier deposition temperature, the resistance of those test devices having via barrier deposition at 380° C. were near the target value, with no out of range values, whereas the devices processed at greater than 400° C. had a lower probability of meeting the target value, and had a number of devices outside the acceptable range. [0039]
  • The preferred embodiment of this invention includes CVD deposition of TiN via-barrier within the range of 380° C. to 390° C. This process has been accomplished without decreasing the reactor throughput by reducing preheat time. Substrate temperature control, and reduction in preheat time are assisted by incorporating a heated N[0040] 2 gas impingement of the wafer backside. The preheat technique is not a subject of the current invention, and alternate techniques may be equally effective in speeding the reactor throughput. This technique is offered as one example.
  • The controlled temperature via-barrier process is described with respect to a preferred embodiment, however it should be recognized that it is applicable to alternate metallization stacks, including among others Ti/TiN, TiN, TiN/Ti on both conductor surfaces. The invention is not restricted to a given barrier thickness, but further, it is applicable to a variety of different materials, and via configurations. [0041]
  • For the specific via-barrier deposition temperature of 380° C., it is expected that the interconnection metals of choice will be high expansion materials such as aluminum, having a thermal coefficient of expansion (CTE) of about 26PPM, copper of about 17PPM, or various alloys thereof, which are considerably more thermally expansive that silicon and silicon dioxide having CTE in the range of 2.2 to 2.5 PPM. For alternate material combinations, the deposition temperature of the via-barrier would be controlled to one wherein the metal stress level approaches zero. [0042]
  • Thicker via barrier layers may add a margin of safety to the process, but are not a cure for aluminum extrusion into the via, whereas controlling the deposition temperature of the via-barrier eliminates the cause, and thus provides a process for avoiding the presence of metal extrusions into the via hole. [0043]
  • Many variations and modifications of the described embodiments will become apparent to those skilled in the art; it is therefore, the intent that the intended claims be interpreted as broadly as possible in view of prior art in order to include all such variations. [0044]

Claims (12)

What is claimed is:
1- A method for making a multilevel integrated circuit device without formation of metal extrusions into a via including the steps of:
providing a semiconductor substrate having a dielectric layer,
depositing a metal stack, patterning and etching to form interconnection lines,
depositing one or more dielectric layers, patterning and etching the dielectric to form a via hole to contact an interconnection line,
depositing a seed layer and a via-barrier layer at a controlled temperature, low enough to substantially eliminate stress on the metal interconnection line, and filling the via hole with a metal plug.
2- A process as in claim 1 wherein said temperature of via barrier deposition is controlled to between 380° C. and 390° C.
3- A process as in claim 1 wherein said metal stack comprises a conductive layer of an aluminum alloy sandwiched between layers of titanium, and titanium nitride in various combinations thereof.
4- A process as in claim 1 wherein said metal stack includes reacted titanium aluminide.
5- A process as in claim 1 wherein the dielectric comprises a silicon dioxide, HDP, TEOS or other conformal dielectric coating.
6- A process as in claim 1 wherein said via-barrier is a CVD deposited TiN.
7- A process as in claim 1 wherein the seed layer is Ti.
8- A process as in claim 1 wherein said metal plug is CVD deposited tungsten.
9- A process as in claim 1 which further includes an antireflective coating on said interconnection lines conductor.
10- A process as in claim 8 wherein said antireflective coating comprises TiN.
11- A process as in claim 8 wherein said antireflective coating comprises silicon oxynitride.
12- An integrated circuit device having a multi-level metallization structure thereon made using the process of claim 1.
US10/404,491 2002-03-06 2003-04-01 Method for forming a metal extrusion free via Abandoned US20030190801A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/404,491 US20030190801A1 (en) 2002-03-06 2003-04-01 Method for forming a metal extrusion free via

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/091,789 US6617231B1 (en) 2002-03-06 2002-03-06 Method for forming a metal extrusion free via
US10/404,491 US20030190801A1 (en) 2002-03-06 2003-04-01 Method for forming a metal extrusion free via

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/091,789 Division US6617231B1 (en) 2002-03-06 2002-03-06 Method for forming a metal extrusion free via

Publications (1)

Publication Number Publication Date
US20030190801A1 true US20030190801A1 (en) 2003-10-09

Family

ID=27754010

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/091,789 Expired - Lifetime US6617231B1 (en) 2002-03-06 2002-03-06 Method for forming a metal extrusion free via
US10/404,491 Abandoned US20030190801A1 (en) 2002-03-06 2003-04-01 Method for forming a metal extrusion free via

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/091,789 Expired - Lifetime US6617231B1 (en) 2002-03-06 2002-03-06 Method for forming a metal extrusion free via

Country Status (3)

Country Link
US (2) US6617231B1 (en)
EP (1) EP1343203A3 (en)
JP (1) JP2004031911A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090107812A1 (en) * 2007-10-24 2009-04-30 David Cecil Hays Electrical connection through a substrate to a microelectromechanical device
US20110027930A1 (en) * 2008-03-11 2011-02-03 The Royal Institution For The Advancement Of Learning/Mcgill University Low Temperature Wafer Level Processing for MEMS Devices
US20140291802A1 (en) * 2013-03-29 2014-10-02 International Business Machines Corporation Semiconductor structures with metal lines

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100463178B1 (en) * 2002-04-19 2004-12-23 아남반도체 주식회사 Formation method of stacking structure of metal line in semiconductor device
KR100467783B1 (en) * 2002-12-20 2005-01-25 동부아남반도체 주식회사 Formation method of plug in semiconductor device
US7064056B2 (en) * 2003-06-13 2006-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer stack to prevent Ti diffusion
JP4447419B2 (en) * 2004-09-29 2010-04-07 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
DE102007004860B4 (en) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale A method of making a copper-based metallization layer having a conductive overcoat by an improved integration scheme
US8232648B2 (en) 2010-06-01 2012-07-31 International Business Machines Corporation Semiconductor article having a through silicon via and guard ring
US9573806B2 (en) 2013-03-11 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure with a capping structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5360995A (en) * 1993-09-14 1994-11-01 Texas Instruments Incorporated Buffered capped interconnect for a semiconductor device
US5981382A (en) * 1998-03-13 1999-11-09 Texas Instruments Incorporated PVD deposition process for CVD aluminum liner processing
US6153504A (en) * 1999-08-16 2000-11-28 Advanced Micro Devices, Inc. Method of using a silicon oxynitride ARC for final metal layer

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0450106A1 (en) * 1990-03-30 1991-10-09 Siemens Aktiengesellschaft Process and apparatus for forming a titanium nitride layer by chemical vapour depositing for highly integrated circuits
US5635763A (en) * 1993-03-22 1997-06-03 Sanyo Electric Co., Ltd. Semiconductor device having cap-metal layer
JP2809193B2 (en) * 1996-05-02 1998-10-08 日本電気株式会社 Semiconductor device
US5970378A (en) * 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US7510961B2 (en) * 1997-02-14 2009-03-31 Micron Technology, Inc. Utilization of energy absorbing layer to improve metal flow and fill in a novel interconnect structure
US6010965A (en) * 1997-12-18 2000-01-04 Advanced Micro Devices, Inc. Method of forming high integrity vias
JP3459372B2 (en) * 1999-03-18 2003-10-20 株式会社神戸製鋼所 Method of forming wiring film
US6333261B1 (en) * 2000-06-01 2001-12-25 United Microelectronics Corp. Method for preventing aluminum intrusions
US6403478B1 (en) * 2000-08-31 2002-06-11 Chartered Semiconductor Manufacturing Company Low pre-heat pressure CVD TiN process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5360995A (en) * 1993-09-14 1994-11-01 Texas Instruments Incorporated Buffered capped interconnect for a semiconductor device
US5981382A (en) * 1998-03-13 1999-11-09 Texas Instruments Incorporated PVD deposition process for CVD aluminum liner processing
US6153504A (en) * 1999-08-16 2000-11-28 Advanced Micro Devices, Inc. Method of using a silicon oxynitride ARC for final metal layer

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090107812A1 (en) * 2007-10-24 2009-04-30 David Cecil Hays Electrical connection through a substrate to a microelectromechanical device
US7915696B2 (en) 2007-10-24 2011-03-29 General Electric Company Electrical connection through a substrate to a microelectromechanical device
US20110027930A1 (en) * 2008-03-11 2011-02-03 The Royal Institution For The Advancement Of Learning/Mcgill University Low Temperature Wafer Level Processing for MEMS Devices
US8409901B2 (en) * 2008-03-11 2013-04-02 The Royal Institution For The Advancement Of Learning/Mcgill University Low temperature wafer level processing for MEMS devices
US9193583B2 (en) 2008-03-11 2015-11-24 The Royal Institution For The Advancement Of Learning/Mcgill University Low-temperature wafer level processing for MEMS devices
US20140291802A1 (en) * 2013-03-29 2014-10-02 International Business Machines Corporation Semiconductor structures with metal lines
US9087839B2 (en) * 2013-03-29 2015-07-21 International Business Machines Corporation Semiconductor structures with metal lines

Also Published As

Publication number Publication date
US6617231B1 (en) 2003-09-09
JP2004031911A (en) 2004-01-29
EP1343203A2 (en) 2003-09-10
US20030170975A1 (en) 2003-09-11
EP1343203A3 (en) 2007-04-11

Similar Documents

Publication Publication Date Title
US6984874B2 (en) Semiconductor device with metal fill by treatment of mobility layers including forming a refractory metal nitride using TMEDT
US5614437A (en) Method for fabricating reliable metallization with Ta-Si-N barrier for semiconductors
US6143672A (en) Method of reducing metal voidings in 0.25 μm AL interconnect
US5700718A (en) Method for increased metal interconnect reliability in situ formation of titanium aluminide
US20060205209A1 (en) Enhanced barrier liner formation for vias
US7635644B2 (en) Semiconductor device including metal interconnection and method for forming metal interconnection
US6617231B1 (en) Method for forming a metal extrusion free via
US5747879A (en) Interface between titanium and aluminum-alloy in metal stack for integrated circuit
US7018878B2 (en) Metal structures for integrated circuits and methods for making the same
US7629239B2 (en) Method of fabricating a semiconductor device with a dopant region in a lower wire
US5926736A (en) Low temperature aluminum reflow for multilevel metallization
US7323409B2 (en) Method for forming a void free via
US6395629B1 (en) Interconnect method and structure for semiconductor devices
US6566263B1 (en) Method of forming an HDP CVD oxide layer over a metal line structure for high aspect ratio design rule
JPH08139190A (en) Manufacture of semiconductor device
CN113611656B (en) Method for manufacturing copper damascene structure
US20010053596A1 (en) Method of fabricating vias
KR20000005933A (en) Method for the fabrication of contacts in an integrated circuit device
JPH08111455A (en) Formation of wiring
JPH0555226A (en) Semiconductor device and manufacture thereof
JPH118304A (en) Manufacture of semiconductor device
JPH11297699A (en) Diffusion barrier layer and its manufacture
JPH1140516A (en) Manufacture of semiconductor device
JPH08255833A (en) Manufacture of semiconductor device
JP2000195947A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION