US20030049918A1 - Method for improving the electrical isolation between the contact and gate in a self-aligned contact mosfet device structure - Google Patents

Method for improving the electrical isolation between the contact and gate in a self-aligned contact mosfet device structure Download PDF

Info

Publication number
US20030049918A1
US20030049918A1 US10/266,713 US26671302A US2003049918A1 US 20030049918 A1 US20030049918 A1 US 20030049918A1 US 26671302 A US26671302 A US 26671302A US 2003049918 A1 US2003049918 A1 US 2003049918A1
Authority
US
United States
Prior art keywords
layer
metal silicide
contact
silicide layer
etchant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/266,713
Inventor
Yun-Hung Shen
Hsueh-Heng Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US10/266,713 priority Critical patent/US20030049918A1/en
Publication of US20030049918A1 publication Critical patent/US20030049918A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Definitions

  • the present invention relates to processes used to fabricate semiconductor devices, and more specifically to a process used to fabricate a self-aligned contact structure, where one of the components of the self-aligned contact structure is a metal silicide layer.
  • metals are formed into patterned layers to make electrical connections to and between individual devices on a silicon substrate, such as sources, drains, and gates of field effect transistors (FET's).
  • Metal layers, dielectric layers, and other structures, such as gate structures may be deposited over the substrate.
  • a surface is blanketed with metal and the deposited metal is then patterned to form the desired interconnection configuration.
  • aluminum is the most widely used material, but other refractory materials are being used, tungsten in particular.
  • Blanket layers of metal can be deposited by low pressure chemical vapor deposition (LPCVD) and the patterning of metal layers can be accomplished by conventional lithographic and etching techniques.
  • LPCVD low pressure chemical vapor deposition
  • a method of forming self aligned contacts is often used.
  • a self aligned contact is formed by patterning layers of structures around a contact area so that when a metal layer is formed over the structures and the contact region, the metal forms an electrical connection with the impurity regions in the substrate, e.g. a source or drain region.
  • self aligned contacts often suffer from several problems., such as poor metal contact with the substrate and also because of poor electrical isolation between the contact and the gate electrode.
  • FIGS. 1 through 4 A conventional process for forming a self aligned contact with a metal layer is shown in FIGS. 1 through 4.
  • gate structures 28 , and 30 are formed on a semiconductor substrate 10 using conventional processes which are commonly known to those skilled in the art. Therefore only the elements will be described, not the processes.
  • the gate structures 28 , 30 are comprised of gate oxide layer 16 , gate 18 ,top oxide layer 20 (also referred to as self aligned contact oxide layer), and oxide sidewall spacers 24 .
  • the substrate 10 has two silicon substrate diffusions, a N ⁇ diffusion (referred to as a lightly doped source or drain) 12 and a N+diffusion (referred to as a heavily doped source or drain) 14 .
  • an inter-poly oxide layer 32 is formed on the device surface.
  • the term “device surface” is used herein to include all layers and structures formed on the substrate.
  • portions of the inter-poly oxide 32 between the gate structures 28 , 30 are etched (called a self-aligned contact etch) to expose the oxide sidewalls 24 and the contact area 26 , as illustrated in FIG. 3.
  • a polysilicon layer 34 is formed with a thickness in the range of 500 to 600 angstroms on the device surface, as illustrated in FIG. 4.
  • the polysilicon layer 34 is then implanted with impurity ions to increase its conductivity.
  • Polysilicon layer 34 is deposited between the metal layer 36 and the oxide layers 24 , 32 to prevent the pealing of the metal layer 34 form the device surface.
  • a metal layer 36 preferably tungsten silicide, is formed over the polysilicon layer 34 .
  • the tungsten silicide layer 36 forms an electrical connection with the substrate and the underlying source/drain region 12 , 14 in the contact area 26 .
  • This process is self aligning since the tungsten silicide connections contacts 26 to the source/drain diffusion 12 , 14 are defined using the oxide sidewall spacers 24 as the mask. This self aligning contact process eliminates less precise and more costly lithography process steps.
  • Another object of the invention is to provide a more electrically stable SAC contact.
  • An object of the invention is to provide an improved integrated circuit device which includes a self aligned contact between two gate structures on a semiconductor.
  • an improved method of fabricating a polycide self aligned contact structure for MOSFET devices on a semiconductor substrate in which the electrical isolation between the contact and the gate structure is greatly improved.
  • a first polysilicon layer is formed on the substrate, followed by a first metal silicide layer, and a first insulator layer.
  • the top first insulator layer is patterned anisotropically to form openings that define gate structures.
  • the exposed metal silicide layer is dip etched in an etchant for metal silicide to form an undercut beneath the overlying peripheral edge of the first insulator layer.
  • the anisotropic patterning is continued through metal silicide layer and the underlying first polysilicon layer.
  • a first conductivity imparting dopant is implanted to form lightly doped source and drain regions.
  • a second insulator layer is deposited on the surface and into the openings, including into the undercuts formed during the dip etch.
  • the second insulator layer is anisotropically etched to form sidewall spacers in the openings.
  • a second implant is made forming heavily doped source and drain regions.
  • the conventional metal silicide contacts, and an interconnect metallization structure are thereafter formed.
  • FIGS. 1 through 4 show the conventional process for forming a self aligned metal contact.
  • FIGS. 5A through 5D depict electrical isolation problems prevalent in self aligned contact structure produced in accordance with prior art fabrication techniques
  • FIGS. 6 through 9 depicts cross sectional views that illustrate the process of the invention.
  • FIG. 10 depicts a completed SAC structure produced by the method of the invention.
  • FIGS. 5 A-D there is illustrated the electrical isolation problems of SAC structure produced by conventional fabrication techniques.
  • the basic FET contact structure is shown which is produced by depositing a gate oxide layer 16 , a polysilicon layer, a first polysilicon layer 18 , a metal silicide layer 20 , typically tungsten silicide, and a first insulator layer, typically silicon oxide, on a semiconductor substrate 10 .
  • the aforedescribed layers, all deposited by conventional techniques are then patterned using conventional masking and anisotropic etching techniques. This produces a structure depicted in FIG. 5A.
  • the edges of polysilicon layer 18 are oxidized, and also the edges of tungsten silicide layer 20 .
  • This oxidation results in a thickened layer portion 21 of electrically conductive tungsten silicon that protrudes beyond the original surface of layer 20 , as shown in FIG. 5B.
  • Thickened layer portion 21 will typically have a conductivity of 30 ⁇ ohm-cm, and a thickness in the range of 800-1200 Angstrom, following a normal pre-implant oxidation step.
  • FIG. 5C When the sidewall spacers 24 are added, by depositing an insulating layer and anistropically etching, the result is depicted in FIG. 5C. Note that the horizontal thickness of spacer 24 is diminished at layer 20 . In the normal fabrication procedure, another layer of silicon oxide is deposited on the surface of the device and a self aligned contact opening is made. This etching removes additional material from the top portions of sidewalls 24 , which further reduces the thickness of sidewalls 24 , as illustrated by dotted lines 25 in FIG. 5D. The reduced thickness of spacer 24 ,in the region of the metal silicide gate contact 20 , increases the potential for shorting when the source/drain contact (not shown) is formed.
  • FIGS. 6 - 10 the method of the invention for fabricating SAC structures having improved electrical isolation will be described.
  • a semiconductor substrate 10 having a gate insulator layer 16 , a first polysilicon layer 20 , a first metal silicide layer 22 , preferably of tungsten silicide, and a first insulator layer 22 , typically of silicon nitride.
  • the metal silicide layer 20 will typically have a thickness in the range of 1000-1200 Angstrom.
  • the first insulator layer 22 will typically have a thickness in the range of 2000-3000 Angstrom.
  • Normally field oxide regions are formed to provide electrical isolation of the individual elements. This structure is well known and will not be described.
  • a photoresist layer 23 is deposited, exposed and developed to define the desired metallurgy configuration.
  • the first insulator layer 22 is anisotropically etched to expose the top surface of metal silicide layer 20 .
  • the metal silicide layer 20 is dip etched in an etchant for metal silicide so that an undercut 40 is formed beneath the edge of first insulating layer 22 .
  • Any suitable etchant can be used.
  • a preferred etchant for tungsten silicide consists of 1 part NH 4 OH, 1 part H 2 O 2 , and 5 parts H 2 O, by volume.
  • the etchant can be applied at a temperature in the range of 25 to 35 degrees C., more preferably at room temperature, for a time in the range of 20 to 25 minutes.
  • the undercut 40 extends beneath the edge of layer 22 a distance in the range of 200 to 350 Angstrom.
  • the remaining layers 20 , 18 , and 16 are patterned by anisostropic ion etching to complete the gate structures.
  • anisostropic ion etching results in openings that have vertical sidewalls and is achieved by ion bombardment of exposed material.
  • conductivity imparting dopants are implanted to form the lightly doped source/drain regions 42 .
  • the sidewall spacers 24 are then formed by depositing a second insulator layer on the substrate surface that extends into the openings formed by the patterning of the layers 18 , 20 , and 22 , and then anisotropically etching the insulating layers.
  • the spacers 24 are typically silicon oxide or silicon nitride.
  • a conductivity imparting dopant is then implanted through the openings defined by the spacers 24 to form heavily doped source/drain regions 14 , as indicated in FIG. 9.
  • a third insulating layer 32 is then deposited on the surface and an opening made over region 14 , using a rough mask to define the general region of the opening.
  • This opening formed by anisotropic ion etching is the self aligned contact ( SAC ) opening.
  • SAC self aligned contact
  • the top surfaces of spacers 24 are further eroded, as indicated by dotted lines 46 that indicate the spacer 24 shape before the etch step.
  • the undercuts 40 in layer 20 will increase the thickness of spacers 24 and thereby reduce the likelihood that a breakdown or short will develop between the contact and the gate electrode. Even though a metal silicon layer should develop during processing. it will form in the recess undercut 40 . This will preserve a greater spacer 24 thickness in the critical region where it is thinned during the SAC etch.
  • FIG. 10 there is shown the complete source/drain SAC structure consisting of a thin barrier layer of amorphous silicon or polysilicon 48 and a conductive layer 50 , typically a doped polysilicon layer, or a metal silicide layer.
  • the device is completed by depositing another dielectric layer, forming openings over the contact structures, and forming an interconnect metallization structure joining the contacts.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method for fabricating a polycide self aligned contact for MOSFET devices in which the electrical isolation between the source/drain contact and gate structure is improved. In the method a gate insulator layer, a polysilicon layer, a metal silicide layer and an insulating layer are deposited on a semiconductor substrate. The insulator layer is patterned and anisotropically etched to expose the underlying metal silicide layer. The metal silicide layer is then dip etched to form an undercut beneath the insulating layer. The metal silicide and polysilicon layers are patterned with an anisotropic etch, dopants introduced into the opening to form lightly doped source/drain regions, and sidewall spacers formed on the sidewalls of the etched layers. After a dopant is introduced to form heavily doped source/drain regions, a contact structure is formed in the opening defined by the sidewall spacers.

Description

    BACKGROUND OF THE INVENTION
  • (1) Field of the Invention [0001]
  • The present invention relates to processes used to fabricate semiconductor devices, and more specifically to a process used to fabricate a self-aligned contact structure, where one of the components of the self-aligned contact structure is a metal silicide layer. [0002]
  • (2) Description of the Prior art [0003]
  • In semiconductor integrated circuit manufacturing, metals are formed into patterned layers to make electrical connections to and between individual devices on a silicon substrate, such as sources, drains, and gates of field effect transistors (FET's). Metal layers, dielectric layers, and other structures, such as gate structures may be deposited over the substrate. In the simplest method, a surface is blanketed with metal and the deposited metal is then patterned to form the desired interconnection configuration. In the current semiconductor processes, aluminum is the most widely used material, but other refractory materials are being used, tungsten in particular. Blanket layers of metal can be deposited by low pressure chemical vapor deposition (LPCVD) and the patterning of metal layers can be accomplished by conventional lithographic and etching techniques. [0004]
  • To form more accurate contacts between buried devices in the substrate, such as source and drain impurity regions, a method of forming self aligned contacts (SAC) is often used. A self aligned contact is formed by patterning layers of structures around a contact area so that when a metal layer is formed over the structures and the contact region, the metal forms an electrical connection with the impurity regions in the substrate, e.g. a source or drain region. However, self aligned contacts often suffer from several problems., such as poor metal contact with the substrate and also because of poor electrical isolation between the contact and the gate electrode. [0005]
  • A conventional process for forming a self aligned contact with a metal layer is shown in FIGS. 1 through 4. As shown in FIG. 1, [0006] gate structures 28, and 30 are formed on a semiconductor substrate 10 using conventional processes which are commonly known to those skilled in the art. Therefore only the elements will be described, not the processes. The gate structures 28, 30 are comprised of gate oxide layer 16, gate 18,top oxide layer 20 (also referred to as self aligned contact oxide layer), and oxide sidewall spacers 24. The substrate 10 has two silicon substrate diffusions, a N−diffusion (referred to as a lightly doped source or drain) 12 and a N+diffusion (referred to as a heavily doped source or drain) 14.
  • Referring to FIG. 2, an [0007] inter-poly oxide layer 32 is formed on the device surface. The term “device surface” is used herein to include all layers and structures formed on the substrate. Next, portions of the inter-poly oxide 32 between the gate structures 28, 30 are etched (called a self-aligned contact etch) to expose the oxide sidewalls 24 and the contact area 26, as illustrated in FIG. 3.
  • Subsequently, a [0008] polysilicon layer 34 is formed with a thickness in the range of 500 to 600 angstroms on the device surface, as illustrated in FIG. 4. The polysilicon layer 34 is then implanted with impurity ions to increase its conductivity. Polysilicon layer 34 is deposited between the metal layer 36 and the oxide layers 24, 32 to prevent the pealing of the metal layer 34 form the device surface. A metal layer 36, preferably tungsten silicide, is formed over the polysilicon layer 34. The tungsten silicide layer 36 forms an electrical connection with the substrate and the underlying source/ drain region 12, 14 in the contact area 26. This process is self aligning since the tungsten silicide connections contacts 26 to the source/ drain diffusion 12, 14 are defined using the oxide sidewall spacers 24 as the mask. This self aligning contact process eliminates less precise and more costly lithography process steps.
  • There are numerous patents that describe the self aligned contact structure and suggest various improvements thereto. U.S. Pat. No. 5,480,814 and 5,795,827 describe processes for reducing the contact resistance to the source/drain regions. U.S. Pat. No. 5,923,988 describes and claims a process for forming an improved self aligned contact which employs various reactants for forming tungsten silicide layers combined with a dual anneal to improve the contact. US Patent describes a process for forming a SAC which used a dual spacer structure. [0009]
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide an method to for forming a self aligned contact with an improved electrical isolation between the self aligned contact and the gate electrode. [0010]
  • Another object of the invention is to provide a more electrically stable SAC contact. [0011]
  • An object of the invention is to provide an improved integrated circuit device which includes a self aligned contact between two gate structures on a semiconductor. [0012]
  • In accordance with the above objectives, there is provided an improved method of fabricating a polycide self aligned contact structure for MOSFET devices on a semiconductor substrate in which the electrical isolation between the contact and the gate structure is greatly improved. In the process a first polysilicon layer is formed on the substrate, followed by a first metal silicide layer, and a first insulator layer. The top first insulator layer is patterned anisotropically to form openings that define gate structures. The exposed metal silicide layer is dip etched in an etchant for metal silicide to form an undercut beneath the overlying peripheral edge of the first insulator layer. The anisotropic patterning is continued through metal silicide layer and the underlying first polysilicon layer. A first conductivity imparting dopant is implanted to form lightly doped source and drain regions. A second insulator layer is deposited on the surface and into the openings, including into the undercuts formed during the dip etch. The second insulator layer is anisotropically etched to form sidewall spacers in the openings. A second implant is made forming heavily doped source and drain regions. The conventional metal silicide contacts, and an interconnect metallization structure are thereafter formed.[0013]
  • BRIEF DESCRIPTION OF THEM DRAWINGS
  • The objects and other advantages of this invention are best described in the preferred embodiment with reference to the attached drawings that include: [0014]
  • FIGS. 1 through 4 show the conventional process for forming a self aligned metal contact. [0015]
  • FIGS. 5A through 5D depict electrical isolation problems prevalent in self aligned contact structure produced in accordance with prior art fabrication techniques [0016]
  • FIGS. 6 through 9 depicts cross sectional views that illustrate the process of the invention. [0017]
  • FIG. 10 depicts a completed SAC structure produced by the method of the invention.[0018]
  • DESCRIPTION OF PREFERRED EMBODIMENTS
  • Referred now to the FIGS. Of the drawing, and in FIGS. [0019] 5A-D in particular, there is illustrated the electrical isolation problems of SAC structure produced by conventional fabrication techniques. As shown in FIG. 5A, the basic FET contact structure is shown which is produced by depositing a gate oxide layer 16, a polysilicon layer, a first polysilicon layer 18, a metal silicide layer 20, typically tungsten silicide, and a first insulator layer, typically silicon oxide, on a semiconductor substrate 10. The aforedescribed layers, all deposited by conventional techniques are then patterned using conventional masking and anisotropic etching techniques. This produces a structure depicted in FIG. 5A. When the contact structure is subjected to the normal pre-implant oxidation step to form oxide layer 17 on the substrate 10 surface, the edges of polysilicon layer 18 are oxidized, and also the edges of tungsten silicide layer 20. This oxidation results in a thickened layer portion 21 of electrically conductive tungsten silicon that protrudes beyond the original surface of layer 20, as shown in FIG. 5B. Thickened layer portion 21 will typically have a conductivity of 30 μohm-cm, and a thickness in the range of 800-1200 Angstrom, following a normal pre-implant oxidation step.
  • When the [0020] sidewall spacers 24 are added, by depositing an insulating layer and anistropically etching, the result is depicted in FIG. 5C. Note that the horizontal thickness of spacer 24 is diminished at layer 20. In the normal fabrication procedure, another layer of silicon oxide is deposited on the surface of the device and a self aligned contact opening is made. This etching removes additional material from the top portions of sidewalls 24, which further reduces the thickness of sidewalls 24, as illustrated by dotted lines 25 in FIG. 5D. The reduced thickness of spacer 24,in the region of the metal silicide gate contact 20, increases the potential for shorting when the source/drain contact (not shown) is formed.
  • Referring now to FIGS. [0021] 6-10, the method of the invention for fabricating SAC structures having improved electrical isolation will be described. In FIG. 6 there is shown a semiconductor substrate 10 having a gate insulator layer 16, a first polysilicon layer 20, a first metal silicide layer 22, preferably of tungsten silicide, and a first insulator layer 22, typically of silicon nitride. The metal silicide layer 20 will typically have a thickness in the range of 1000-1200 Angstrom. The first insulator layer 22 will typically have a thickness in the range of 2000-3000 Angstrom. Normally field oxide regions (not shown) are formed to provide electrical isolation of the individual elements. This structure is well known and will not be described. The field oxide regions are illustrated in FIG. 10 however. After the various layers have been formed, a photoresist layer 23 is deposited, exposed and developed to define the desired metallurgy configuration. As shown in FIG. 6, the first insulator layer 22 is anisotropically etched to expose the top surface of metal silicide layer 20. As indicated in FIG. 7. The metal silicide layer 20 is dip etched in an etchant for metal silicide so that an undercut 40 is formed beneath the edge of first insulating layer 22. Any suitable etchant can be used. However, a preferred etchant for tungsten silicide consists of 1 part NH4OH, 1 part H2O2, and 5 parts H2O, by volume. The etchant can be applied at a temperature in the range of 25 to 35 degrees C., more preferably at room temperature, for a time in the range of 20 to 25 minutes. Preferably the undercut 40 extends beneath the edge of layer 22 a distance in the range of 200 to 350 Angstrom.
  • As indicated in FIG. 8, the remaining [0022] layers 20, 18, and 16 are patterned by anisostropic ion etching to complete the gate structures. As is well known, anisotropic etching results in openings that have vertical sidewalls and is achieved by ion bombardment of exposed material. At this time conductivity imparting dopants are implanted to form the lightly doped source/drain regions 42.
  • The sidewall spacers [0023] 24 are then formed by depositing a second insulator layer on the substrate surface that extends into the openings formed by the patterning of the layers 18, 20, and 22, and then anisotropically etching the insulating layers. The spacers 24 are typically silicon oxide or silicon nitride. A conductivity imparting dopant is then implanted through the openings defined by the spacers 24 to form heavily doped source/drain regions 14, as indicated in FIG. 9. A third insulating layer 32 is then deposited on the surface and an opening made over region 14, using a rough mask to define the general region of the opening. This opening formed by anisotropic ion etching, is the self aligned contact ( SAC ) opening. As indicated in FIG. 9, the top surfaces of spacers 24 are further eroded, as indicated by dotted lines 46 that indicate the spacer 24 shape before the etch step. As is believed apparent, the undercuts 40 in layer 20 will increase the thickness of spacers 24 and thereby reduce the likelihood that a breakdown or short will develop between the contact and the gate electrode. Even though a metal silicon layer should develop during processing. it will form in the recess undercut 40. This will preserve a greater spacer 24 thickness in the critical region where it is thinned during the SAC etch.
  • In FIG. 10, there is shown the complete source/drain SAC structure consisting of a thin barrier layer of amorphous silicon or [0024] polysilicon 48 and a conductive layer 50, typically a doped polysilicon layer, or a metal silicide layer. The device is completed by depositing another dielectric layer, forming openings over the contact structures, and forming an interconnect metallization structure joining the contacts.
  • While this invention has been particularly shown and described with reference to the preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope to this invention.[0025]

Claims (18)

What is claimed is:
1. An improved method of fabricating a polycide self-aligned contact structure for MOSFET devices in a SRAM cell on a semiconductor substrate in which the electrical isolation between the contact and the gate structure is improved comprising;
forming a gate insulator layer on the semiconductor substrate,
depositing a first polysilicon layer on said gate insulator layer,
depositing a first metal silicide layer on said first polysilicon layer,
depositing a first insulator layer on said first metal silicide layer.
patterning said first insulator layer,
dip etching the exposed metal silicide layer in an isotropic etchant for metal silicide to form an undercut beneath the overlying edge of said first insulator layer,
continuing the patterning of said first metal silicide layer and said first polysilicon layer to form openings that define polycide gate structures on said gate insulator layer,
ion implanting a first conductivity imparting dopant into said semiconductor through said openings in regions not covered by said polycide gate structures to form lightly doped source and drain regions,
depositing a second insulator layer on said substrate that extends into said openings formed by the patterning, and into said undercut in said metal silicide layer,
anisotropically etching said second insulator layer to form sidewall spacers on the sides of said polycide gate structure,
ion implanting a second conductivity imparting dopant into said semiconductor substrate to form heavily doped source and drain regions,
depositing a third insulator layer,
opening a hole in said third insulator layer thereby forming a self-aligned SAC opening and exposing said heavily doped source and drain regions in the space defined by said sidewall spacers,
depositing a thin second polysilicon layer in contact with said heavily doped source and drain regions and said sidewall spacers,
depositing a thin second metal silicide layer over said second polysilicon layer,
patterning said second metal silicide layer and said second polysilicon layer to create a SAC structure.
2. The method of claim 1 which contains the further steps of completing the device structure by;
depositing a dielectric layer over the surface with openings over the contact structures, forming a metal plugs in the openings, and forming and interconnect metallization structure joining said metal plugs.
3. The method of claim 2 wherein said metal silicide is tungsten silicide.
4. The method of claim 3 wherein said dip etchant removes approximately 300 Angstroms from the surface of said tungsten silicide layer.
5. The method of claim 4 wherein the undercut extends approximately 200 Angstroms into said tungsten silicide layer.
6. The method of claim 5 wherein said isotropic etchant for tungsten silicide is comprised of 1 part NH4OH, 1 part H2O2, and 5 parts H2O, by volume.
7. The method of claim 6 wherein said tungsten silicide layer is exposed to said etchant for a time in the range of 20 to 25 minutes.
8. The method of claim 7 wherein said etchant is maintained at a temperature in the range of 25 to 35 degrees C.
9. The method of claim 1 wherein said metal silicide layer is tungsten silicide, deposited using LPCVD procedures at a temperature between 400 to 600 C., to a thickness between 750 to 1500 Angstroms, using silane and tungsten hexafluoride as a source.
10. The method of claim 9 wherein said polycide gate structures are patterned with an anistropic reactive ion etch (RIE), using CHF3 as an etchant for said first insulator layer and using CL2 as an etchant for said first metal silicide layer, and for said first polysilicon layer.
11. The method of claim 10 wherein said insulator spacers formed on said sides of said polycide gate structures are comprised of silicon nitride, deposited using LPCVD or plasma enhanced chemical vapor deposition procedures, to a thickness between 600 to 800 Angstroms, and etched with anisotropy RIE procedures, using CHF3 as and etchant.
12. The method of claim 11 wherein said first insulator layer is silicon nitride having a thickness in the range of 2000 to 3000 Angstroms.
13. The method of claim 12 wherein said silicon nitride is reactively ion etched using CHF3 as an etchant.
14. The method of claim 1 wherein said first insulator layer is silicon oxide.
15.An improved integrated circuit device which includes a self aligned contact between two gate structures on a semiconductor, wherein improved electrical isolation between the contact and gate structures is achieved comprising,
spaced gate structures, each having a bottom gate oxide layer, an overlying polysilicon layer, an overlying metal silicide layer, and a top insulating layer,
an undercut in said metal silicide layer underlying the lower edge of said top insulating layer,
sidewall spacers of insulating material defining a source/drain contact opening, and covering the vertical edge surfaces of said polysilicon layer, said metal silicide layer, and-said top insulating layer, said spacers extending into said undercut in said metal silicide layer,
source/drain regions in said semiconductor substrate underlying said opening, and
a conductive source/drain contact in said opening in contact with said sidewall spacers.
16. The device of claim 15 wherein said metal silicide layer is tungsten silicide.
17. The device of claim 16 wherein said tungsten silicide layer has a thickness in the range of 1000 to 1200 Angstroms.
18. The device of claim 15 wherein said undercut extends into said metal silicide layer to a depth in the range of 200 to 350 Angstrom.
US10/266,713 1999-10-29 2002-10-08 Method for improving the electrical isolation between the contact and gate in a self-aligned contact mosfet device structure Abandoned US20030049918A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/266,713 US20030049918A1 (en) 1999-10-29 2002-10-08 Method for improving the electrical isolation between the contact and gate in a self-aligned contact mosfet device structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/429,670 US6486067B1 (en) 1999-10-29 1999-10-29 Method for improving the electrical isolation between the contact and gate in a self-aligned contact MOSFET device structure
US10/266,713 US20030049918A1 (en) 1999-10-29 2002-10-08 Method for improving the electrical isolation between the contact and gate in a self-aligned contact mosfet device structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/429,670 Division US6486067B1 (en) 1999-10-29 1999-10-29 Method for improving the electrical isolation between the contact and gate in a self-aligned contact MOSFET device structure

Publications (1)

Publication Number Publication Date
US20030049918A1 true US20030049918A1 (en) 2003-03-13

Family

ID=23704243

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/429,670 Expired - Lifetime US6486067B1 (en) 1999-10-29 1999-10-29 Method for improving the electrical isolation between the contact and gate in a self-aligned contact MOSFET device structure
US10/266,713 Abandoned US20030049918A1 (en) 1999-10-29 2002-10-08 Method for improving the electrical isolation between the contact and gate in a self-aligned contact mosfet device structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/429,670 Expired - Lifetime US6486067B1 (en) 1999-10-29 1999-10-29 Method for improving the electrical isolation between the contact and gate in a self-aligned contact MOSFET device structure

Country Status (1)

Country Link
US (2) US6486067B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040192023A1 (en) * 2003-03-31 2004-09-30 Jong-Myeong Lee Methods of forming conductive patterns using barrier layers
US20050191835A1 (en) * 2003-12-12 2005-09-01 Kim Yeong S. Methods of fabricating semiconductor devices having salicide
WO2015023162A1 (en) * 2013-08-16 2015-02-19 주식회사 엘지화학 Conductive substrate and manufacturing method thereof
CN106158826A (en) * 2015-04-16 2016-11-23 中芯国际集成电路制造(上海)有限公司 Manufacturing method of semiconductor device, semiconductor devices and electronic installation
US11164782B2 (en) * 2020-01-07 2021-11-02 International Business Machines Corporation Self-aligned gate contact compatible cross couple contact formation

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274905B1 (en) * 1999-06-30 2001-08-14 Fairchild Semiconductor Corporation Trench structure substantially filled with high-conductivity material
US6580132B1 (en) * 2002-04-10 2003-06-17 International Business Machines Corporation Damascene double-gate FET
KR100481177B1 (en) * 2002-08-21 2005-04-07 삼성전자주식회사 A semiconductor device reducing a cell pad resistance and the fabrication method thereof
US6764942B2 (en) * 2002-11-29 2004-07-20 Macronix International Co., Ltd. Re-oxidation process of semiconductor device
TWI223380B (en) * 2003-07-14 2004-11-01 Nanya Technology Corp Semiconductor device and method of fabricating the same
KR100583609B1 (en) * 2004-07-05 2006-05-26 삼성전자주식회사 Method of manufacturing a gate structure in a semiconductor device and method of manufacturing a cell gate structure in non-volatile memory device using the same
US20060091478A1 (en) * 2004-11-04 2006-05-04 Promos Technologies Inc. Semiconductor gate structure and method for preparing the same
JP2007134413A (en) * 2005-11-08 2007-05-31 Toshiba Corp Method of manufacturing semiconductor device
TW200830423A (en) * 2007-01-10 2008-07-16 Promos Technologies Inc Method of forming gate structure with locally pull-back conductive layer and its use
CN111755513B (en) * 2019-03-27 2023-05-05 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11682707B2 (en) 2020-03-31 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
CN114335152B (en) * 2022-03-02 2022-05-24 江苏游隼微电子有限公司 Silicon carbide power semiconductor device and preparation method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5698072A (en) * 1992-06-29 1997-12-16 Sony Corporation Dry etching method
US5795827A (en) * 1997-01-15 1998-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing the resistance of self-aligned contacts, for triple polysilicon SRAM devices
US6107171A (en) * 1998-07-09 2000-08-22 Vanguard International Semiconductor Corporation Method to manufacture metal gate of integrated circuits

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0254960A (en) * 1988-08-19 1990-02-23 Sony Corp Manufacture of semiconductor device
JPH03209775A (en) * 1990-01-12 1991-09-12 Matsushita Electron Corp Manufacture of semiconductor device
KR0141195B1 (en) * 1994-06-08 1998-07-15 김광호 Fabrication method of semiconductor device having low-resistance gate electrod
US5480814A (en) 1994-12-27 1996-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Process of making a polysilicon barrier layer in a self-aligned contact module
EP0724287A3 (en) * 1995-01-30 1999-04-07 Nec Corporation Method for fabricating semiconductor device having titanium silicide film
TW365697B (en) * 1997-11-14 1999-08-01 United Microelectronics Corp Etching method of improving of self-aligned contact
US5923988A (en) 1998-05-15 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Two step thermal treatment procedure applied to polycide structures deposited using dichlorosilane as a reactant
US5899722A (en) 1998-05-22 1999-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of forming dual spacer for self aligned contact integration

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5698072A (en) * 1992-06-29 1997-12-16 Sony Corporation Dry etching method
US5795827A (en) * 1997-01-15 1998-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing the resistance of self-aligned contacts, for triple polysilicon SRAM devices
US6107171A (en) * 1998-07-09 2000-08-22 Vanguard International Semiconductor Corporation Method to manufacture metal gate of integrated circuits

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040192023A1 (en) * 2003-03-31 2004-09-30 Jong-Myeong Lee Methods of forming conductive patterns using barrier layers
US20050191835A1 (en) * 2003-12-12 2005-09-01 Kim Yeong S. Methods of fabricating semiconductor devices having salicide
US7001842B2 (en) * 2003-12-12 2006-02-21 Dongbuanam Semiconductor, Inc. Methods of fabricating semiconductor devices having salicide
WO2015023162A1 (en) * 2013-08-16 2015-02-19 주식회사 엘지화학 Conductive substrate and manufacturing method thereof
CN105453191A (en) * 2013-08-16 2016-03-30 株式会社Lg化学 Conductive substrate and manufacturing method thereof
EP3016113A4 (en) * 2013-08-16 2017-02-15 LG Display Co., Ltd. Conductive substrate and manufacturing method thereof
US10111340B2 (en) 2013-08-16 2018-10-23 Lg Display Co., Ltd. Method of manufacturing a conductive substrate
CN106158826A (en) * 2015-04-16 2016-11-23 中芯国际集成电路制造(上海)有限公司 Manufacturing method of semiconductor device, semiconductor devices and electronic installation
US11164782B2 (en) * 2020-01-07 2021-11-02 International Business Machines Corporation Self-aligned gate contact compatible cross couple contact formation

Also Published As

Publication number Publication date
US6486067B1 (en) 2002-11-26

Similar Documents

Publication Publication Date Title
US5869396A (en) Method for forming a polycide gate electrode
US6486067B1 (en) Method for improving the electrical isolation between the contact and gate in a self-aligned contact MOSFET device structure
KR950011983B1 (en) Fabricating method of semiconductor device
US5714393A (en) Diode-connected semiconductor device and method of manufacture
US6406963B2 (en) Method of manufacturing a semiconductor device
US5464782A (en) Method to ensure isolation between source-drain and gate electrode using self aligned silicidation
US6674139B2 (en) Inverse T-gate structure using damascene processing
US5015599A (en) Method of manufacturing a device comprising MIS transistors having a projecting gate on the weakly doped parts of source and drain regions
US7470589B2 (en) Semiconductor device
US4933297A (en) Method for etching windows having different depths
US6207995B1 (en) High K integration of gate dielectric with integrated spacer formation for high speed CMOS
US5801096A (en) Self-aligned tungsen etch back process to minimize seams in tungsten plugs
US5668051A (en) Method of forming poly plug to reduce buried contact series resistance
US5705437A (en) Trench free process for SRAM
US20040195635A1 (en) Semiconductor device and method for manufacturing the same
US20040155277A1 (en) Method for manufacturing a semiconductor device including a PIP capacitor and a MOS transistor
US6153457A (en) Method of fabricating self-align-contact
EP0527372A1 (en) Manufacturing method for a bipolar transistor
US6362033B1 (en) Self-aligned LDD formation with one-step implantation for transistor formation
US5340757A (en) Method of manufacturing a vertical field effect transistor
EP1093667B1 (en) Method of manufacturing a semiconductor device comprising a field effect transistor
US6258683B1 (en) Local interconnection arrangement with reduced junction leakage and method of forming same
US5904516A (en) Transistor structure and method for fabricating the same
US6221745B1 (en) High selectivity mask oxide etching to suppress silicon pits
JP3373954B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION