US20010055823A1 - Wafer processing apparatus and method - Google Patents

Wafer processing apparatus and method Download PDF

Info

Publication number
US20010055823A1
US20010055823A1 US09/802,692 US80269201A US2001055823A1 US 20010055823 A1 US20010055823 A1 US 20010055823A1 US 80269201 A US80269201 A US 80269201A US 2001055823 A1 US2001055823 A1 US 2001055823A1
Authority
US
United States
Prior art keywords
compartment
wafer
transfer
processing environment
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/802,692
Other versions
US6455098B2 (en
Inventor
Khanh Tran
Tom Kelly
Arin Chang
Guy Mendez
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semix Inc
Original Assignee
Semix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semix Inc filed Critical Semix Inc
Priority to US09/802,692 priority Critical patent/US6455098B2/en
Assigned to SEMIX INCORPORATED reassignment SEMIX INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MENDEZ, GUY, CHANG, ARIN, KELLY, TOM, TRAN, KHANH
Publication of US20010055823A1 publication Critical patent/US20010055823A1/en
Priority to US10/177,059 priority patent/US20020164849A1/en
Application granted granted Critical
Publication of US6455098B2 publication Critical patent/US6455098B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Definitions

  • the present invention is related to wafer processing. More particularly, the present invention relates to an apparatus and method for processing wafers in multiple processing environments.
  • Low-k materials are required to be compatible with other wafer fabrication processes, they must exhibit good adhesion, high thermal stability and low film stress.
  • the k value of a material depends on several factors including how the materials is deposited on the wafer.
  • SiO 2 has a k-value of approximately 4.0 and air has a k-value of 1.0.
  • An ideal low-k material will have a k-value that approaches that of air.
  • materials that exhibit k-values below 3.5 are considered low-k materials.
  • Post treatment of coated materials can significantly reduce their observed k-value. For example, spin on glass materials and polymers can be treated to make porous siloxane coatings with k-values as low or below 2.0.
  • a wafer processing apparatus and method provides an apparatus and method for transferring a structure with a reaction surface from one processing environment to another processing environment.
  • the apparatus is configured to transfer a wafer from one processing environment to another processing environment.
  • the wafer processing apparatus and method of the present invention transfers wafers with reaction surfaces from one processing environment to another processing environment while minimizing cross-contamination between processing environments and minimizing the depletion of processing chemicals during the transfer process. Further, the wafer processing apparatus and method transfers a reaction surface of a wafer into a chemical environment, while exposing the entire reaction surface to the processing environment quickly and with minimal initial convection during the transfers, thereby enhancing the consistency and uniformity of the wafer processing.
  • the apparatus of the instant invention has a first apparatus compartment configured to provide a first processing environment and a second apparatus compartment configured to provide a second processing environment.
  • the first and the second apparatus compartments are coupled through a transfer passage that is capable of being opened and closed to create a transfer cavity and isolating a small transfer volume.
  • the transfer volume is preferably less than five times the volume of the wafer, or wafers, being transferred and is most preferably less than twice the volume of the wafer, or wafers, being transferred in order to reduce the potential for cross-contamination between the first processing environment and the second processing environment during the transfer processes between the first and second apparatus compartments.
  • the apparatus is provided with a vacuum source or a gas purge coupled to the transfer cavity for purging the transfer volume between transfers further reducing cross-contamination between the first processing environment and the second processing environment during the transfer process.
  • the small transfer volume utilized in the apparatus and method of the present invention, also reduces depletion of chemicals in a processing environment of the first and/or second apparatus compartment resulting from multiple transfers.
  • the transfer cavity is formed from the transfer passage, a first movable table within the first apparatus compartment and a second movable table within the second apparatus compartment.
  • the movable tables open and close ports of the transfer passage from within their respective compartments.
  • the first and the second movable tables are configured to close together and isolate the wafer within the small transfer volume prior to exposing or transferring the wafer between the first processing environment and the second processing environment.
  • the apparatus preferably has a controllable chemical delivery system that maintains a chemical processing environment within the second compartment.
  • the chemical delivery system has a chemical sensor unit with one or more chemical sensors.
  • the chemical sensor unit monitors the chemical composition, concentration or concentrations within the second apparatus compartment.
  • the sensor unit controls a chemical supply, via feed back control circuitry, to deliver a processing chemical, or processing chemicals, to the second apparatus compartment in order to maintain a predetermined or selected composition or concentration value of the processing chemical in the second apparatus compartment.
  • the chemical supply system is configured to deliver hydrated ammonia to the second apparatus compartment and the apparatus is configured for the treatment and aging of wafers coated with low-k spin-on-glass materials.
  • At least one of the sensors is preferably a short path infrared sensor that measures the concentration of ammonia, water or both. If the measured concentration of ammonia or water is low, water or hydrated ammonia is supplied to the second apparatus compartment to reestablish the predetermined or selected concentration of hydrated ammonia within the second apparatus to compartment. If the measured concentration of ammonia or water is high, the second apparatus compartment is purged with inert gas, or a vacuum is drawn on the second apparatus compartment, until the predetermined or selected concentration of hydrated ammonia is reestablished within the second apparatus compartment.
  • the wafer is placed on the first movable table within the first apparatus compartment with the second movable table in the closed position and capping the transfer passage between the first and second apparatus compartments.
  • the processing environment within the first apparatus compartment is adjusted or maintained by any means known in the art to produce the desired outcome.
  • the second movable table is raised. Because the pressure and the chemical composition within the second processing environment is held substantially constant and because the entire reaction surface is exposed quickly to the chemical processing environment, the reaction surface of the wafer does not experience large fluctuations in chemical composition or exposure time to the surrounding processing environment.
  • the method and apparatus of the present invention provides for consistent processing not only from wafer to wafer, but also throughout the surface of each wafer processed.
  • the transfer volume within the transfer cavity is purged to reduce contamination of the second processing environment with the small volume of the first processing environment captured within the transfer cavity.
  • the second movable table is capable of being raised and lowered while the wafer is being exposed to the second processing environment. Moving the second movable table in an upward and downward motion creates a small amount of post exposure convection within the second processing environment and helps to quickly replenish processing chemicals at the reaction surface of the wafer and, thereby, helps to improve the throughput of the chemical processing step.
  • the second movable table is placed in the closed position, thereby, capping the transfer passage and isolating the wafer in the transfer cavity.
  • the first movable table is then lowered to expose and transfer the wafer back to the first processing environment.
  • the transfer volume within the transfer cavity is purged to reduce contamination of the first processing environment with the small volume of the second processing environment captured within the transfer cavity.
  • the purging includes steps such as drawing a vacuum on the transfer cavity and/or back filling the transfer cavity with a suitable processing environment or inert gas.
  • the first movable table is configured to hold and support the wafer between transfers and support the wafer in the first apparatus compartment.
  • the apparatus is configured with a wafer support for supporting the wafer above the first movable table, when the first movable table is in a lowered position.
  • the wafer support comprises pin structures that pass through the first movable table, such that when the first movable table is lowered, the wafer is released onto the pins and when the first movable table is raised, the wafer is supported by the first movable table.
  • the operation of the apparatus is automatically controlled by a controller or a computer, wherein a user selects a time of exposure of the wafer to the first and second processing environment, time of isolation of the wafer within the transfer cavity, concentrations of chemicals and the like.
  • the at least one chemical sensor preferably continuously monitors the chemical composition or chemical concentration within the second process environment and is utilized to control the supply of the appropriate quantity of chemical or chemicals to maintain the selected composition or concentration.
  • the transfer mechanism of the instant invention is not limited to a two compartment wafer processing system. Any number of processing stations can be included within the apparatus, whereby wafers are moved from one station to the next and transferred between processing compartments by the mechanism described herein. Further, any number of more complex systems can be implemented to control the chemical environments within apparatus compartments. For example, each compartment can be equipped with an independently controllable chemical delivery system and monitoring system. Also, the transfer cavity itself can serve as a processing compartment and provide a separate and unique processing environment. According to the preferred embodiment of the invention, the apparatus is a modular processing station that is integrated into a multi-station wafer processing system.
  • FIGS. 1 a - c are schematic cross-sectional views of a multi-compartment wafer processing apparatus configured with movable tables for transferring a wafer from a first processing environment to a second processing environment, in accordance with the current invention.
  • FIGS. 2 a - b are flow block diagrams outlining the steps of the method for transferring a wafer from a first processing environment to a second processing environment, in accordance with the method of the current invention.
  • FIG. 3 is a schematic cross-sectional view of a multi-compartment wafer processing apparatus with a controllable chemical delivery system and a transfer mechanism in accordance with the preferred embodiment of the instant invention.
  • FIG. 4 is a schematic block diagram of a robotic wafer processing machine with a modular low-k processing station in accordance with the instant invention.
  • a wafer processing apparatus and method of the present invention includes an apparatus and method for transferring materials from one processing environment to another processing environment.
  • the wafer processing apparatus and method expose the reaction surface of the structure to the processing environments with minimal initial fluctuation in the environment and such that the entire reaction surface of the structure is exposed at substantially the same time.
  • the apparatus 100 of the instant invention is preferably configured to transfer a wafer 307 from a first apparatus compartment 101 with a first processing environment 109 to a second apparatus compartment 201 with a second processing environment 209 .
  • the apparatus has a first apparatus compartment 101 configured to supply the first processing environment 109 and a second apparatus compartment 201 configured to supply the second processing environment 209 .
  • the compartments 101 and 201 are coupled through a transfer passage 301 that is capable of being opened and closed to create a transfer cavity 301 ′, shown in FIG. 1 b , wherein the transfer cavity 301 ′ has a relatively small transfer volume.
  • the transfer volume is preferably less than 10% of the volume of the second apparatus compartment 201 and less than five times the volume occupied by the wafer 307 .
  • the small transfer volume helps to ensure that cross-contamination between the first processing environment 109 and the second processing environment 209 is reduced during the transfer process.
  • the transfer cavity 301 ′ is formed from the walls 303 and 305 of the transfer passage 301 , a first movable table 103 , within the first apparatus compartment 101 , and a second movable table 203 , within the second apparatus compartment 201 .
  • the first movable table 103 is configured to move up and down with a first drive motor 105 .
  • the first drive motor preferably moves the first movable table 103 up and down through a first shaft structure 107 .
  • a second drive motor 205 is coupled to the second movable table 203 and moves the second movable table 203 up and down through a second shaft structure 207 .
  • the first drive motor 105 and the second drive motor 205 are controlled so that preferably one of the first movable table 103 and the second movable table 203 is always in the closed position.
  • first movable table 103 and the second movable table 203 are controlled so that both of the tables 103 and 203 are in a closed position and isolate the wafer 307 in the transfer passage 301 , between the first apparatus compartment 101 and the second apparatus compartment 201 (as shown in FIG. 1 b ).
  • the first movable table 103 is configured to hold and support the wafer 307 while transferring the wafer 307 between the first processing environment 109 and the second processing environment 209 and while the wafer 307 is isolated within the small transfer volume 301 ′.
  • the second movable table 203 is preferable for closing the port of the transfer passage 301 , it will be clear for the ensuing description that other means for closing the port of the transfer passage are within the scope of the invention.
  • the apparatus could also be configured with a cover that moves from side-to-side in order to close the transfer passage 301 and isolate the wafer 307 within the transfer cavity 301 ′.
  • the second movable table 203 is moved to an upward position, thereby, exposing and transferring the wafer 307 to the second processing environment 209 .
  • the second movable table 203 is preferably configured to move up and down through a distance 204 in order to create post exposure convection within the second processing environment 209 . The convection helps to ensure that the second processing environment 209 is quickly replenished at the wafer 307 surface and helps to improve the throughput of the chemical processing steps.
  • FIG. 2 is a block diagram outlining the steps of the method for transferring a wafer from a first processing environment to a second processing environment, in accordance with the method of the current invention.
  • a wafer is placed within the first apparatus compartment on the first movable table with the transfer passage sealed to the second apparatus compartment, preferably with a second movable table.
  • the first movable table is raised, thereby isolating the wafer within the transfer cavity formed from the transfer passage, the first movable table and the second movable table.
  • the second movable table is raised, thereby exposing and transferring the wafer to the second processing environment.
  • the transfer volume within the transfer cavity is purged to reduce the contamination of the second processing environment with the small volume of the first processing environment captured within the transfer cavity.
  • the step 404 of purging the transfer environment includes drawing a vacuum on the transfer cavity or back filling the transfer cavity with any suitable processing environment or inert gas.
  • the wafer is processed.
  • the processing step 407 includes any appropriate processing step, but is preferably a chemical processing step of the wafer, whereby a processing chemical within the second processing environment is monitored and maintained by a controllable chemical delivery system. It is also preferred that the chemical processing environment is provided with convection by moving the second table up and down, as described in detail above.
  • the second movable table is placed in the closed position to cap the transfer passage and isolate the wafer within the transfer cavity.
  • the first movable table is lowered to expose and transfer the wafer to the first processing environment.
  • the wafer is removed from the first movable table for additional processing steps or is transferred to a different processing station within the apparatus.
  • the transfer volume within the transfer cavity is purged to reduce contamination of the first processing environment with the small volume of the second processing environment captured within the transfer cavity.
  • the chemical supply system is configured to deliver hydrated ammonia to the second apparatus compartment and the apparatus is configured for the treatment and aging of wafers coated with low-k, or low dielectric, spin-on-glass materials.
  • the wafer is prepared with the spin on glass material by coating the wafer with a glass material that is suspended in a suitable solvent.
  • suitable solvents include, but are not limited to, Tetradecane, for applications where a higher boiling point solvent is preferred, and Methyl-Isobutyl Ketone (MIBK), for applications where a lower boiling point solvent is preferred.
  • Commercially available glass materials include XLKTM Spin, manufactured by Dow Corning at 20 W. Salzburg Rd., Midland Mich.
  • the spin-on-glass suspension is applied to the processing surface of the wafer while the wafer is spinning preferably in the range of 2000-4000 rpm.
  • the resultant film is preferably 5000-6000 angstroms thick.
  • the wafer with the spin-on-glass film is then placed in the apparatus of the instant invention to process the spin-on-glass film to achieve a low-k value.
  • the treatment of the spin-on-glass material with the hydrated ammonia results in a porous spin on glass coating that has a low-k value.
  • the process of creating the porous spin-on-glass coating is preferably performed in a temperature range of 15 to 25 degrees Celsius.
  • the wafer is held within the chemical processing environment of the apparatus for a period of time between 40 and 60 seconds and with an ammonia concentration that is preferably in the range of 70 to 90%.
  • Wafers processed in similar conditions with spin-on-glass materials and the apparatus described herein, have produced wafers with low-k coating that 60 to 90% Si-H remaining and which exhibit k-values between 2.0 and 2.5.
  • FIG. 3 is a schematic cross-sectional view of a multi-compartment wafer processing apparatus 500 with a controllable chemical delivery system and a transfer mechanism according to the preferred embodiment of the instant invention.
  • the apparatus has a primary compartment 501 with a first processing environment 502 and a secondary compartment 503 with a second processing environment 504 .
  • the compartments 501 and 503 are coupled through a transfer passage 610 that is capable of being opened and closed to isolate a wafer 527 within a small volume transfer cavity by moving the tables 505 and 507 .
  • the tables 505 and 507 are moved up and down with the drive motors 509 and 511 that are coupled to the tables 505 and 507 through the shaft structures 513 and 515 , respectively.
  • the drive motors 509 and 511 are operated to isolate the first processing environment 502 from the second processing environment 504 through the transfer passage 610 and to isolate the wafer 527 with a small transfer volume between transfers, as described in detail above.
  • the controllable chemical delivery system comprises a chemical source 601 , that is preferably ammonia, coupled to the secondary compartment 503 .
  • the sensor unit 607 monitors the chemical composition or chemical concentration of the secondary processing environment and signals the regulators 603 and 605 to deliver an appropriate quantity of processing chemical or chemicals to maintain a predetermined composition or concentration within the secondary processing environment.
  • the primary compartment 501 is also configured with a chemical delivery and monitoring system with a feedback loop for maintaining a predetermined composition or concentration of a processing chemical in the first processing environment 502 .
  • the primary compartment 501 and/or the secondary compartment 503 are equipped with a vacuum source 609 for purging their respective processing environments.
  • the controllable chemical delivery system preferably has a filtration station and/or hydration station 604 .
  • the station 604 is a hydration station with water containing a predetermined concentration ammonium hydroxide coupled to the secondary compartment 503 through the regulator 605 .
  • the chemical sensor unit 607 is preferably has a short path infrared sensor that measures the concentration of the ammonia, water or both within the secondary compartment 503 .
  • the chemical senor is coupled to a feed back control loop that signals the delivery of additional ammonia and or water to the compartment when the concentration of ammonia is below a selected value.
  • the movable table 505 is configured to hold and support the wafer 527 between transfers.
  • the apparatus is configured with a wafer support structure having a plurality of pins structures 517 and 519 for supporting the wafer 527 while the wafer is at rest within the compartment 501 .
  • the pin structures 517 and 519 pass through the movable table 505 such that when the movable table 505 is lowered, the wafer 527 is released from the table 505 onto the pin structures 517 and 519 , as shown.
  • the table movable 505 is raised, the wafer 527 is released from the pin structures 517 and 519 onto the table 505 .
  • the apparatus 500 is equipped with a vacuum or purging system 611 coupled to the transfer passage 610 such that when the tables 505 and 507 are in the closed position, the transfer cavity is capable of being purged to reduce cross-contamination between the primary and secondary process environments.
  • the feed back control loop includes a computer system 625 module in communication with the sensor unit 607 and the regulators 603 and 605 .
  • the chemical sensor unit 607 measures the chemical concentration or composition of the processing environment 504 within the secondary compartment 503 and provides this information to the computer system 625 .
  • the computer system 625 signals the regulators to 603 and 605 to open and deliver a regulated amount of the processing chemical 601 to the secondary compartment 503 thereby replenishing chemical concentration or composition of the processing environment 504 to the desired value.
  • the computer system 625 signals the vacuum 609 to purge an appropriate amount of the processing chemical from the secondary compartment 503 .
  • the operation of the apparatus 500 is automated with th assistance of the computer system 625 .
  • the computer system 625 is also coupled to the first table motor 509 , the second table motor 511 and the vacuum systems 609 and 611 .
  • the computer system 625 is operated with software having the appropriate computer code to operate the apparatus in accordance with the method of the instant invention.
  • a user is able to select and adjust processing parameters including, but not limited to, the concentration of processing chemical within the processing environment 504 , the temperature of the processing environment 504 and the duration of time that the wafer is exposed to the processing environment 504 .
  • a processing station 707 configured in accordance with the description, is one wafer processing station within a multi-station wafer processing system 700 .
  • the wafer processing system 700 has any number of processing stations such as a wafer storage station 709 , a wafer annealing or bake station 711 and a wafer coating station 713 .
  • the wafers are moved between the processing stations 707 , 709 , 711 and 713 with a robotic arm 715 .
  • the system conditions and each of the station are controlled by a computer system 703 coupled to system body 701 and coupled to each of the stations 707 , 709 , 711 and 713 .
  • Software runs the computer to execute the appropriate processing sequence to accomplish the intended result.
  • the computer system 703 is used to select appropriate ammonia and water concentration within the chemical processing environment of the station 707 .
  • the robotic arm 715 is configured to directly move wafers on and off of the first movable table 705 .

Abstract

A method and apparatus are described for transferring processing structures between first and second processing environments. The apparatus includes a first apparatus compartment configured to provide the first processing environment and a second apparatus compartment configured to provide the second processing environment. The apparatus is preferably configured for transferring wafer structures between the processing environments. The first and second processing environments are coupled together through a transfer passage that is opened and closed in order to isolate the wafer in a small transfer volume between the processing environments. Preferably, the transfer passage is opened and closed with first and second movable tables to create the small volume transfer cavity. In operation, the wafer is isolated within the small volume transfer cavity and the first and second tables are individually raised and lowered to expose the wafer to the first and second processing environments without opening the transfer passage between the first and second apparatus compartments. According to an embodiment of the invention, the apparatus is configured with a chemical delivery system that monitors the chemical composition or chemical concentration within the second apparatus compartment and supplies the appropriate quantity of chemical or chemicals to maintain a selected composition or concentration therein. According to a preferred embodiment the apparatus is configured for processing wafers coated with silicon-based materials to produce porous low-k coatings.

Description

    Related Application(s)
  • This Patent Application claims priority under 35 U.S.C. 119 (e) of the co-pending U.S. Provisional Patent Application, Ser. No. 60/188,605, filed Mar. 9, 2000, and entitled “AGING CHAMBER FOR LOW-K CHEMICAL”. The Provisional Patent Application, Ser. No. 60/188,605, filed Mar. 9, 2000, and entitled “AGING CHAMBER FOR LOW-K CHEMICAL” is also hereby incorporated by reference.[0001]
  • FIELD OF THE INVENTION
  • The present invention is related to wafer processing. More particularly, the present invention relates to an apparatus and method for processing wafers in multiple processing environments. [0002]
  • BACKGROUND OF THE INVENTION
  • State of the art integrated circuits can contain up to 6 million transistors and more than 800 meters of wiring. There is a constant push to increase the number of transistors on wafer-based integrated circuits. As the number of transistors is increased there is a need to reduce the cross-talk between the closely packed wire in order to maintain high performance requirements. The semiconductor industry is continuously looking for new processes and new materials that can help to improve the performance of wafer-based integrated circuits. For example, there is considerable excitement within the industry surrounding the use and application of a group of materials generically referred to as low-k materials or low-dielectric materials. Low-k materials have been shown to reduce cross-talk and provide a transition into the fabrication of even smaller geometry integrated circuitry. [0003]
  • Low-k materials are required to be compatible with other wafer fabrication processes, they must exhibit good adhesion, high thermal stability and low film stress. The k value of a material depends on several factors including how the materials is deposited on the wafer. SiO[0004] 2 has a k-value of approximately 4.0 and air has a k-value of 1.0. An ideal low-k material will have a k-value that approaches that of air. However, materials that exhibit k-values below 3.5 are considered low-k materials. Post treatment of coated materials can significantly reduce their observed k-value. For example, spin on glass materials and polymers can be treated to make porous siloxane coatings with k-values as low or below 2.0.
  • While low-k materials provide a promise for the fabrication of advanced micro circuitry, the deposition and subsequent treatment steps of low-k material in the wafer fabrication processing can lead to low throughput, increases in cost and low processing consistency. The wafer fabrication industry is continuously trying to balance state-of-the-art chip performance with the throughput, cost and consistency of wafer processing. [0005]
  • SUMMARY OF THE INVENTION
  • A wafer processing apparatus and method provides an apparatus and method for transferring a structure with a reaction surface from one processing environment to another processing environment. Preferably, the apparatus is configured to transfer a wafer from one processing environment to another processing environment. The wafer processing apparatus and method of the present invention transfers wafers with reaction surfaces from one processing environment to another processing environment while minimizing cross-contamination between processing environments and minimizing the depletion of processing chemicals during the transfer process. Further, the wafer processing apparatus and method transfers a reaction surface of a wafer into a chemical environment, while exposing the entire reaction surface to the processing environment quickly and with minimal initial convection during the transfers, thereby enhancing the consistency and uniformity of the wafer processing. [0006]
  • The apparatus of the instant invention has a first apparatus compartment configured to provide a first processing environment and a second apparatus compartment configured to provide a second processing environment. The first and the second apparatus compartments are coupled through a transfer passage that is capable of being opened and closed to create a transfer cavity and isolating a small transfer volume. The transfer volume is preferably less than five times the volume of the wafer, or wafers, being transferred and is most preferably less than twice the volume of the wafer, or wafers, being transferred in order to reduce the potential for cross-contamination between the first processing environment and the second processing environment during the transfer processes between the first and second apparatus compartments. According to an embodiment of the instant invention, the apparatus is provided with a vacuum source or a gas purge coupled to the transfer cavity for purging the transfer volume between transfers further reducing cross-contamination between the first processing environment and the second processing environment during the transfer process. The small transfer volume, utilized in the apparatus and method of the present invention, also reduces depletion of chemicals in a processing environment of the first and/or second apparatus compartment resulting from multiple transfers. [0007]
  • Preferably, the transfer cavity is formed from the transfer passage, a first movable table within the first apparatus compartment and a second movable table within the second apparatus compartment. The movable tables open and close ports of the transfer passage from within their respective compartments. The first and the second movable tables are configured to close together and isolate the wafer within the small transfer volume prior to exposing or transferring the wafer between the first processing environment and the second processing environment. [0008]
  • The apparatus preferably has a controllable chemical delivery system that maintains a chemical processing environment within the second compartment. Preferably, the chemical delivery system has a chemical sensor unit with one or more chemical sensors. The chemical sensor unit monitors the chemical composition, concentration or concentrations within the second apparatus compartment. The sensor unit controls a chemical supply, via feed back control circuitry, to deliver a processing chemical, or processing chemicals, to the second apparatus compartment in order to maintain a predetermined or selected composition or concentration value of the processing chemical in the second apparatus compartment. [0009]
  • According to an embodiment of the instant invention the chemical supply system is configured to deliver hydrated ammonia to the second apparatus compartment and the apparatus is configured for the treatment and aging of wafers coated with low-k spin-on-glass materials. At least one of the sensors is preferably a short path infrared sensor that measures the concentration of ammonia, water or both. If the measured concentration of ammonia or water is low, water or hydrated ammonia is supplied to the second apparatus compartment to reestablish the predetermined or selected concentration of hydrated ammonia within the second apparatus to compartment. If the measured concentration of ammonia or water is high, the second apparatus compartment is purged with inert gas, or a vacuum is drawn on the second apparatus compartment, until the predetermined or selected concentration of hydrated ammonia is reestablished within the second apparatus compartment. [0010]
  • In operation, the wafer is placed on the first movable table within the first apparatus compartment with the second movable table in the closed position and capping the transfer passage between the first and second apparatus compartments. The processing environment within the first apparatus compartment is adjusted or maintained by any means known in the art to produce the desired outcome. [0011]
  • To expose and transfer the reaction surface of the wafer to the second processing environment, the second movable table is raised. Because the pressure and the chemical composition within the second processing environment is held substantially constant and because the entire reaction surface is exposed quickly to the chemical processing environment, the reaction surface of the wafer does not experience large fluctuations in chemical composition or exposure time to the surrounding processing environment. Thus, the method and apparatus of the present invention provides for consistent processing not only from wafer to wafer, but also throughout the surface of each wafer processed. [0012]
  • According to an alterative embodiment, prior to the step of exposing the wafer to the second processing environment, the transfer volume within the transfer cavity is purged to reduce contamination of the second processing environment with the small volume of the first processing environment captured within the transfer cavity. [0013]
  • According to a preferred embodiment of the invention, the second movable table is capable of being raised and lowered while the wafer is being exposed to the second processing environment. Moving the second movable table in an upward and downward motion creates a small amount of post exposure convection within the second processing environment and helps to quickly replenish processing chemicals at the reaction surface of the wafer and, thereby, helps to improve the throughput of the chemical processing step. [0014]
  • To transfer the wafer back to the first processing environment, the second movable table is placed in the closed position, thereby, capping the transfer passage and isolating the wafer in the transfer cavity. The first movable table is then lowered to expose and transfer the wafer back to the first processing environment. Alternatively, prior to the step of exposing and transferring the wafer back to the first processing environment, the transfer volume within the transfer cavity is purged to reduce contamination of the first processing environment with the small volume of the second processing environment captured within the transfer cavity. The purging includes steps such as drawing a vacuum on the transfer cavity and/or back filling the transfer cavity with a suitable processing environment or inert gas. [0015]
  • Preferably, the first movable table is configured to hold and support the wafer between transfers and support the wafer in the first apparatus compartment. Alternatively, the apparatus is configured with a wafer support for supporting the wafer above the first movable table, when the first movable table is in a lowered position. Within this embodiment, the wafer support comprises pin structures that pass through the first movable table, such that when the first movable table is lowered, the wafer is released onto the pins and when the first movable table is raised, the wafer is supported by the first movable table. [0016]
  • Preferably, the operation of the apparatus is automatically controlled by a controller or a computer, wherein a user selects a time of exposure of the wafer to the first and second processing environment, time of isolation of the wafer within the transfer cavity, concentrations of chemicals and the like. The at least one chemical sensor preferably continuously monitors the chemical composition or chemical concentration within the second process environment and is utilized to control the supply of the appropriate quantity of chemical or chemicals to maintain the selected composition or concentration. [0017]
  • The transfer mechanism of the instant invention is not limited to a two compartment wafer processing system. Any number of processing stations can be included within the apparatus, whereby wafers are moved from one station to the next and transferred between processing compartments by the mechanism described herein. Further, any number of more complex systems can be implemented to control the chemical environments within apparatus compartments. For example, each compartment can be equipped with an independently controllable chemical delivery system and monitoring system. Also, the transfer cavity itself can serve as a processing compartment and provide a separate and unique processing environment. According to the preferred embodiment of the invention, the apparatus is a modular processing station that is integrated into a multi-station wafer processing system.[0018]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1[0019] a-c are schematic cross-sectional views of a multi-compartment wafer processing apparatus configured with movable tables for transferring a wafer from a first processing environment to a second processing environment, in accordance with the current invention.
  • FIGS. 2[0020] a-b are flow block diagrams outlining the steps of the method for transferring a wafer from a first processing environment to a second processing environment, in accordance with the method of the current invention.
  • FIG. 3 is a schematic cross-sectional view of a multi-compartment wafer processing apparatus with a controllable chemical delivery system and a transfer mechanism in accordance with the preferred embodiment of the instant invention. [0021]
  • FIG. 4 is a schematic block diagram of a robotic wafer processing machine with a modular low-k processing station in accordance with the instant invention.[0022]
  • DESCRIPTION OF THE INVENTION
  • A wafer processing apparatus and method of the present invention includes an apparatus and method for transferring materials from one processing environment to another processing environment. The wafer processing apparatus and method expose the reaction surface of the structure to the processing environments with minimal initial fluctuation in the environment and such that the entire reaction surface of the structure is exposed at substantially the same time. Referring to FIG. 1[0023] a, the apparatus 100 of the instant invention is preferably configured to transfer a wafer 307 from a first apparatus compartment 101 with a first processing environment 109 to a second apparatus compartment 201 with a second processing environment 209. The apparatus has a first apparatus compartment 101 configured to supply the first processing environment 109 and a second apparatus compartment 201 configured to supply the second processing environment 209. The compartments 101 and 201 are coupled through a transfer passage 301 that is capable of being opened and closed to create a transfer cavity 301′, shown in FIG. 1b, wherein the transfer cavity 301′ has a relatively small transfer volume. The transfer volume is preferably less than 10% of the volume of the second apparatus compartment 201 and less than five times the volume occupied by the wafer 307. The small transfer volume helps to ensure that cross-contamination between the first processing environment 109 and the second processing environment 209 is reduced during the transfer process. Preferably, the transfer cavity 301′ is formed from the walls 303 and 305 of the transfer passage 301, a first movable table 103, within the first apparatus compartment 101, and a second movable table 203, within the second apparatus compartment 201.
  • Now referring to FIG. 1[0024] b, the first movable table 103 is configured to move up and down with a first drive motor 105. The first drive motor preferably moves the first movable table 103 up and down through a first shaft structure 107. A second drive motor 205 is coupled to the second movable table 203 and moves the second movable table 203 up and down through a second shaft structure 207. In operation, the first drive motor 105 and the second drive motor 205 are controlled so that preferably one of the first movable table 103 and the second movable table 203 is always in the closed position. Further, the first movable table 103 and the second movable table 203 are controlled so that both of the tables 103 and 203 are in a closed position and isolate the wafer 307 in the transfer passage 301, between the first apparatus compartment 101 and the second apparatus compartment 201 (as shown in FIG. 1b). Preferably, the first movable table 103 is configured to hold and support the wafer 307 while transferring the wafer 307 between the first processing environment 109 and the second processing environment 209 and while the wafer 307 is isolated within the small transfer volume 301′. While the second movable table 203 is preferable for closing the port of the transfer passage 301, it will be clear for the ensuing description that other means for closing the port of the transfer passage are within the scope of the invention. For example, instead of the second movable table 203 which moves up and down, the apparatus could also be configured with a cover that moves from side-to-side in order to close the transfer passage 301 and isolate the wafer 307 within the transfer cavity 301′.
  • Now referring to FIG. 1[0025] c, after the wafer 307 is isolated within the small transfer volume 301′, then the second movable table 203 is moved to an upward position, thereby, exposing and transferring the wafer 307 to the second processing environment 209. Still referring to FIG. 1c, the second movable table 203 is preferably configured to move up and down through a distance 204 in order to create post exposure convection within the second processing environment 209. The convection helps to ensure that the second processing environment 209 is quickly replenished at the wafer 307 surface and helps to improve the throughput of the chemical processing steps.
  • FIG. 2 is a block diagram outlining the steps of the method for transferring a wafer from a first processing environment to a second processing environment, in accordance with the method of the current invention. In the [0026] step 401, a wafer is placed within the first apparatus compartment on the first movable table with the transfer passage sealed to the second apparatus compartment, preferably with a second movable table. In the step 403, the first movable table is raised, thereby isolating the wafer within the transfer cavity formed from the transfer passage, the first movable table and the second movable table. After the wafer is isolated within the transfer cavity in the step 403, then in the step 405, the second movable table is raised, thereby exposing and transferring the wafer to the second processing environment.
  • In an alternative embodiment, illustrated in FIG. 2[0027] b, prior to the step 405 of exposing the wafer to the second processing environment, in the step 404 the transfer volume within the transfer cavity is purged to reduce the contamination of the second processing environment with the small volume of the first processing environment captured within the transfer cavity. The step 404 of purging the transfer environment includes drawing a vacuum on the transfer cavity or back filling the transfer cavity with any suitable processing environment or inert gas.
  • After the [0028] step 405 of exposing the wafer to the second processing environment, in the step 407, the wafer is processed. The processing step 407 includes any appropriate processing step, but is preferably a chemical processing step of the wafer, whereby a processing chemical within the second processing environment is monitored and maintained by a controllable chemical delivery system. It is also preferred that the chemical processing environment is provided with convection by moving the second table up and down, as described in detail above. After the wafer is processed by the processing environment in the step 407, then in the step 409 the second movable table is placed in the closed position to cap the transfer passage and isolate the wafer within the transfer cavity. After the wafer is isolated within the transfer cavity in the step 409, then in the step 411 the first movable table is lowered to expose and transfer the wafer to the first processing environment. After the step 411 of exposing the wafer to the first processing environment, then in the step 413 the wafer is removed from the first movable table for additional processing steps or is transferred to a different processing station within the apparatus.
  • Alternatively, in the embodiment illustrated in FIG. 2[0029] b, prior to the step 411 of exposing the wafer to the first processing environment, in the step 410 the transfer volume within the transfer cavity is purged to reduce contamination of the first processing environment with the small volume of the second processing environment captured within the transfer cavity.
  • According to a preferred embodiment of the invention, the chemical supply system is configured to deliver hydrated ammonia to the second apparatus compartment and the apparatus is configured for the treatment and aging of wafers coated with low-k, or low dielectric, spin-on-glass materials. The wafer is prepared with the spin on glass material by coating the wafer with a glass material that is suspended in a suitable solvent. Suitable solvents include, but are not limited to, Tetradecane, for applications where a higher boiling point solvent is preferred, and Methyl-Isobutyl Ketone (MIBK), for applications where a lower boiling point solvent is preferred. Commercially available glass materials include XLK™ Spin, manufactured by Dow Corning at 20 W. Salzburg Rd., Midland Mich. 48686 and Nanoglass™ manufactured by GE/Allied Signal at 1349 Moffett Park Dr., Sunnyvale, Calif. 94089. The spin-on-glass suspension is applied to the processing surface of the wafer while the wafer is spinning preferably in the range of 2000-4000 rpm. The resultant film is preferably 5000-6000 angstroms thick. The wafer with the spin-on-glass film is then placed in the apparatus of the instant invention to process the spin-on-glass film to achieve a low-k value. [0030]
  • The treatment of the spin-on-glass material with the hydrated ammonia results in a porous spin on glass coating that has a low-k value. The process of creating the porous spin-on-glass coating is preferably performed in a temperature range of 15 to 25 degrees Celsius. The wafer is held within the chemical processing environment of the apparatus for a period of time between 40 and 60 seconds and with an ammonia concentration that is preferably in the range of 70 to 90%. Wafers processed in similar conditions with spin-on-glass materials and the apparatus described herein, have produced wafers with low-k coating that 60 to 90% Si-H remaining and which exhibit k-values between 2.0 and 2.5. [0031]
  • FIG. 3 is a schematic cross-sectional view of a multi-compartment [0032] wafer processing apparatus 500 with a controllable chemical delivery system and a transfer mechanism according to the preferred embodiment of the instant invention. The apparatus has a primary compartment 501 with a first processing environment 502 and a secondary compartment 503 with a second processing environment 504. The compartments 501 and 503 are coupled through a transfer passage 610 that is capable of being opened and closed to isolate a wafer 527 within a small volume transfer cavity by moving the tables 505 and 507. The tables 505 and 507 are moved up and down with the drive motors 509 and 511 that are coupled to the tables 505 and 507 through the shaft structures 513 and 515, respectively. The drive motors 509 and 511 are operated to isolate the first processing environment 502 from the second processing environment 504 through the transfer passage 610 and to isolate the wafer 527 with a small transfer volume between transfers, as described in detail above.
  • The controllable chemical delivery system comprises a [0033] chemical source 601, that is preferably ammonia, coupled to the secondary compartment 503. At any time during the transfer process or processing of the wafer, the sensor unit 607 monitors the chemical composition or chemical concentration of the secondary processing environment and signals the regulators 603 and 605 to deliver an appropriate quantity of processing chemical or chemicals to maintain a predetermined composition or concentration within the secondary processing environment. In an alterative embodiment of the invention, the primary compartment 501 is also configured with a chemical delivery and monitoring system with a feedback loop for maintaining a predetermined composition or concentration of a processing chemical in the first processing environment 502. Also, in other embodiments, the primary compartment 501 and/or the secondary compartment 503 are equipped with a vacuum source 609 for purging their respective processing environments.
  • The controllable chemical delivery system preferably has a filtration station and/or [0034] hydration station 604. In the case where the processing chemical 601 is ammonia, the station 604 is a hydration station with water containing a predetermined concentration ammonium hydroxide coupled to the secondary compartment 503 through the regulator 605. The chemical sensor unit 607 is preferably has a short path infrared sensor that measures the concentration of the ammonia, water or both within the secondary compartment 503. The chemical senor is coupled to a feed back control loop that signals the delivery of additional ammonia and or water to the compartment when the concentration of ammonia is below a selected value.
  • Preferably, the movable table [0035] 505 is configured to hold and support the wafer 527 between transfers. In a further embodiment, the apparatus is configured with a wafer support structure having a plurality of pins structures 517 and 519 for supporting the wafer 527 while the wafer is at rest within the compartment 501. The pin structures 517 and 519 pass through the movable table 505 such that when the movable table 505 is lowered, the wafer 527 is released from the table 505 onto the pin structures 517 and 519, as shown. When the table movable 505 is raised, the wafer 527 is released from the pin structures 517 and 519 onto the table 505.
  • According an alternative embodiment of the instant invention, the [0036] apparatus 500 is equipped with a vacuum or purging system 611 coupled to the transfer passage 610 such that when the tables 505 and 507 are in the closed position, the transfer cavity is capable of being purged to reduce cross-contamination between the primary and secondary process environments.
  • Preferably, the feed back control loop includes a [0037] computer system 625 module in communication with the sensor unit 607 and the regulators 603 and 605. The chemical sensor unit 607 measures the chemical concentration or composition of the processing environment 504 within the secondary compartment 503 and provides this information to the computer system 625. When the chemical concentration or composition of the processing environment 504 is measured to be below a threshold value, then the computer system 625 signals the regulators to 603 and 605 to open and deliver a regulated amount of the processing chemical 601 to the secondary compartment 503 thereby replenishing chemical concentration or composition of the processing environment 504 to the desired value. When the chemical concentration or composition of the processing environment 504 is measured to be above a threshold value, then the computer system 625 signals the vacuum 609 to purge an appropriate amount of the processing chemical from the secondary compartment 503.
  • According to a further embodiment of the invention, the operation of the [0038] apparatus 500 is automated with th assistance of the computer system 625. Accordingly, the computer system 625 is also coupled to the first table motor 509, the second table motor 511 and the vacuum systems 609 and 611. The computer system 625 is operated with software having the appropriate computer code to operate the apparatus in accordance with the method of the instant invention. Preferably, a user is able to select and adjust processing parameters including, but not limited to, the concentration of processing chemical within the processing environment 504, the temperature of the processing environment 504 and the duration of time that the wafer is exposed to the processing environment 504.
  • Referring now to FIG. 4, according to a preferred embodiment of the invention, a [0039] processing station 707, configured in accordance with the description, is one wafer processing station within a multi-station wafer processing system 700. The wafer processing system 700 has any number of processing stations such as a wafer storage station 709, a wafer annealing or bake station 711 and a wafer coating station 713. The wafers are moved between the processing stations 707, 709, 711 and 713 with a robotic arm 715. The system conditions and each of the station are controlled by a computer system 703 coupled to system body 701 and coupled to each of the stations 707, 709, 711 and 713. Software runs the computer to execute the appropriate processing sequence to accomplish the intended result. For example, the computer system 703 is used to select appropriate ammonia and water concentration within the chemical processing environment of the station 707. Preferably, the robotic arm 715 is configured to directly move wafers on and off of the first movable table 705.
  • The present invention has been described relative to a preferred embodiment. Improvements or modifications that become apparent to persons of ordinary skill in the art only after reading this disclosure are deemed within the spirit and scope of the application. Specifically, the operation of the apparatus is described, herein, in terms of two processing environments and two processing compartments. However, it is understood that the apparatus may be configured with any number of processing compartments and corresponding processing environments and that practicing the method of the instant invention does not depend on the number of processing compartments or processing environments. Further, practice of the invention is not limited to wafer processing applications. The apparatus and the method of the instant invention are useful for any number of applications that require constant and controllable surface processing by transferring a reaction surface of a structure between distinct processing environments. [0040]

Claims (28)

What is claimed is:
1. An apparatus comprising:
a) a first compartment with a first processing environment;
b) a second compartment with a second processing environment;
c) a transfer passage through which the first and the second compartments are coupled; and
d) a transfer mechanism for transferring a structure between the first processing environment and the second processing environment through the transfer passage, the transfer mechanism comprising a closing mechanism configured to close the first compartment and the second compartment at the transfer passage in order to form a transfer cavity, wherein the structure is isolated within the transfer cavity prior to transferring the structure between the first processing environment and the second processing environment.
2. The apparatus of
claim 1
, wherein the volume of the transfer cavity is less than 10% of the volume of at least one of the first and the second compartments.
3. The apparatus of
claim 2
, wherein the closing mechanism comprises a first movable table structure within the first compartment and a second movable table structure within the second compartment, wherein the first movable table structure caps the transfer passage from within the first compartment and a second movable table structure caps the transfer passage from within the second compartment.
4. The apparatus of
claim 3
, wherein at least one of the first and second movable table structures is configured to hold the structure while transferring the structure between the first process environment and the second processing environment.
5. The apparatus of
claim 2
, further comprising a purging system coupled to the transfer passage to purge the transfer cavity.
6. The apparatus of
claim 1
, wherein at least one of the first and the second compartments has a chemical sensor unit comprising at least one chemical sensor for monitoring at least one of the first and the second processing environments.
7. The apparatus of
claim 6
, further comprising a controllable chemical supply system for controlling the chemical composition of the at least one of the first and the second processing environments.
8. The apparatus of
claim 7
, wherein the controllable chemical supply comprises an ammonia source, wherein the chemical sensor senses the concentration of ammonia and supplies ammonia to the at least one of the first and second compartments to maintain a predetermined concentration of ammonia.
9. The apparatus of
claim 4
, further comprising a structure support for supporting the structure in the at least one of the first and the second compartments.
10. The apparatus of
claim 9
, wherein the structure support comprises pin structures that pass through the at least one of the first and second movable table structures such that when the at least one of the first and second movable table structures is lowered, the structure is released onto the pin structures and when the at least one of the first and second movable table structures is raised, the structure is released onto the table configured to hold the structure.
11. The apparatus of
claim 3
, wherein at least one of the first and the second movable table structures is capable of being raised and lowered to provided convection.
12. The apparatus of
claim 1
, wherein the second compartment is contained within the first compartment.
13. A wafer processing apparatus configured for transferring a wafer from a first compartment with a first environment to a second compartment with a second environment by a transfer mechanism, the transfer mechanism comprising:
a. a first movable table within the first compartment for opening and closing a transfer passage between the first and the second compartment; and
b. a second movable table within the second compartment for opening and closing the transfer passage between the first and the second compartment;
wherein the first and the second movable table close together to create a transfer cavity for isolating the wafer within a small transfer volume prior to transferring the wafer between the first environment and the second environment.
14. The wafer process apparatus of
claim 13
, further comprising a control system controlling the chemical composition of the second environment.
15. The wafer process apparatus of
claim 13
, wherein the control system comprises a chemical senor and chemical supply source, wherein the chemical sensor signals the chemical supply source to dispense a chemical into the second compartment when the chemical composition of the second environment is at a predetermined value.
16. The wafer process apparatus of
claim 13
, wherein the first movable table is configured to hold and transfer the wafer between the first environment and the second environment.
17. The wafer process apparatus of
claim 16
, further comprising a wafer support for supporting the wafer in the first compartment.
18. The wafer process apparatus of
claim 17
, wherein the wafer support comprises pin structures that pass through the first movable table such that when the first movable table is lowered, the wafer is released onto the pins and when the first movable table is raised the wafer is released onto the first movable table.
19. The wafer process apparatus of
claim 13
, wherein the second movable table is configured to be being raised and lowered while the first movable table seals the transfer passage.
20. The wafer process apparatus of
claim 13
, wherein the second compartment is contained within the first compartment.
21. The wafer process apparatus of
claim 13
, further comprising a vacuum system coupled to the transfer cavity to purge the transfer volume between transfers of the wafer from the first compartment to the second compartment and from the second compartment to the first compartment.
22. A method of transferring a wafer from a first processing environment to a second processing environment comprising the steps of:
a. placing the wafer in a first compartment containing the first processing environment;
b. isolating the wafer between the first compartment and a second compartment in a transfer cavity having a small transfer volume containing a portion of the first processing environment; and
c. opening the transfer cavity to the second compartment with the transfer cavity closed to the first compartment.
23. The method of
claim 22
, further comprising the step of purging the transfer volume prior to the step of opening the transfer cavity to the second compartment with the transfer cavity closed to the first compartment.
24. The method of
claim 23
, wherein the step of purging the transfer cavity comprises the additional steps of:
a. drawing a vacuum on the transfer cavity; and
b. backfilling the transfer cavity.
25. The method of
claim 24
, wherein small transfer volume is 10% or less than the volume of the second processing environment.
26. The method of
claim 23
, further comprising the additional steps of:
a. monitoring the chemical composition of the processing environment in the second compartment; and
b. adjusting the chemical composition of the processing environment in the second compartment when the chemical composition reaches a threshold value.
27. The method of
claim 26
, wherein the chemical composition of the processing environment in the second compartment is monitored with an infrared sensor that monitors the concentration of ammonia.
28. A wafer processing apparatus configured for selectively exposing a reaction surface of a wafer to a first processing environment supplied by a first apparatus compartment and a second processing environment supplied by a second apparatus compartment, wherein the first and the second apparatus compartments are coupled through a transfer passage, the apparatus comprising:
a. means for opening and closing a transfer passage between the first and the second compartment to form a small volume transfer cavity with the wafer contained therein; and
b. means for selectively opening the small volume transfer cavity to a selective one of the first processing environment and the second processing environment to expose the reaction surface of the wafer to the selective one of the first and the second processing environment.
US09/802,692 2000-03-09 2001-03-08 Wafer processing apparatus and method Expired - Fee Related US6455098B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/802,692 US6455098B2 (en) 2000-03-09 2001-03-08 Wafer processing apparatus and method
US10/177,059 US20020164849A1 (en) 2000-03-09 2002-06-21 Wafer processing apparatus and method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US18860500P 2000-03-09 2000-03-09
US09/802,692 US6455098B2 (en) 2000-03-09 2001-03-08 Wafer processing apparatus and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/177,059 Division US20020164849A1 (en) 2000-03-09 2002-06-21 Wafer processing apparatus and method

Publications (2)

Publication Number Publication Date
US20010055823A1 true US20010055823A1 (en) 2001-12-27
US6455098B2 US6455098B2 (en) 2002-09-24

Family

ID=22693834

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/802,692 Expired - Fee Related US6455098B2 (en) 2000-03-09 2001-03-08 Wafer processing apparatus and method
US10/177,059 Abandoned US20020164849A1 (en) 2000-03-09 2002-06-21 Wafer processing apparatus and method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/177,059 Abandoned US20020164849A1 (en) 2000-03-09 2002-06-21 Wafer processing apparatus and method

Country Status (4)

Country Link
US (2) US6455098B2 (en)
AU (1) AU4351601A (en)
TW (1) TW492054B (en)
WO (1) WO2001066817A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060130761A1 (en) * 2004-12-22 2006-06-22 Canon Anelva Corporation Thin film processing system and method
US20160148801A1 (en) * 2014-11-25 2016-05-26 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US20180174800A1 (en) * 2016-12-15 2018-06-21 Toyota Jidosha Kabushiki Kaisha Plasma device
US11315767B2 (en) 2017-09-25 2022-04-26 Toyota Jidosha Kabushiki Kaisha Plasma processing apparatus
US20220282378A1 (en) * 2021-03-08 2022-09-08 Sky Tech Inc. Shielding mechanism and substrate-processing device with the same

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6899765B2 (en) * 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
JP2005536890A (en) * 2002-08-26 2005-12-02 東京エレクトロン株式会社 Volume-reduced plasma reactor
JP4397655B2 (en) * 2003-08-28 2010-01-13 キヤノンアネルバ株式会社 Sputtering apparatus, electronic component manufacturing apparatus, and electronic component manufacturing method
WO2006091588A2 (en) * 2005-02-22 2006-08-31 Xactix, Inc. Etching chamber with subchamber
US7687098B1 (en) * 2005-08-26 2010-03-30 Charlie W. Chi Chemical mechanical vapor deposition device for production of bone substitute material
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
JP4523661B1 (en) * 2009-03-10 2010-08-11 三井造船株式会社 Atomic layer deposition apparatus and thin film forming method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6024372B2 (en) * 2012-10-12 2016-11-16 Tdk株式会社 Substrate processing apparatus and substrate processing chamber module
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11127606B1 (en) * 2018-07-26 2021-09-21 Seagate Technology Llc Cooling station with integrated isolation valves
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4433951A (en) * 1981-02-13 1984-02-28 Lam Research Corporation Modular loadlock
US4888199A (en) * 1987-07-15 1989-12-19 The Boc Group, Inc. Plasma thin film deposition process
US5262201A (en) * 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
FR2682047B1 (en) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique GAS PHASE CHEMICAL PROCESSING REACTOR.
JPH0613361A (en) * 1992-06-26 1994-01-21 Tokyo Electron Ltd Processing apparatus
JP3566740B2 (en) * 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド Equipment for all wafer deposition
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5534066A (en) * 1993-10-29 1996-07-09 International Business Machines Corporation Fluid delivery apparatus having an infrared feedline sensor
US5810928A (en) * 1994-11-21 1998-09-22 Mitsubishi Corporation Method of measuring gas component concentrations of special material gases for semiconductor, a semiconductor equipment, and an apparatus for supplying special material gases for semiconductor
JPH0945597A (en) * 1995-05-25 1997-02-14 Kokusai Electric Co Ltd Semiconductor manufacturing apparatus and method for controlling load lock chamber oxygen concentration and method for producing natural oxide film
DE19528919A1 (en) * 1995-08-07 1997-02-20 Microparts Gmbh Microstructured infrared absorption photometer
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
US5848670A (en) * 1996-12-04 1998-12-15 Applied Materials, Inc. Lift pin guidance apparatus
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JP4275769B2 (en) * 1998-06-19 2009-06-10 株式会社渡辺商行 Substrate transfer device
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060130761A1 (en) * 2004-12-22 2006-06-22 Canon Anelva Corporation Thin film processing system and method
EP1674592A3 (en) * 2004-12-22 2009-04-22 Canon Anelva Corporation Thin film processing system with different processing chambers
US20160148801A1 (en) * 2014-11-25 2016-05-26 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US20180174800A1 (en) * 2016-12-15 2018-06-21 Toyota Jidosha Kabushiki Kaisha Plasma device
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11315767B2 (en) 2017-09-25 2022-04-26 Toyota Jidosha Kabushiki Kaisha Plasma processing apparatus
US20220282378A1 (en) * 2021-03-08 2022-09-08 Sky Tech Inc. Shielding mechanism and substrate-processing device with the same

Also Published As

Publication number Publication date
TW492054B (en) 2002-06-21
US20020164849A1 (en) 2002-11-07
AU4351601A (en) 2001-09-17
WO2001066817A1 (en) 2001-09-13
US6455098B2 (en) 2002-09-24

Similar Documents

Publication Publication Date Title
US6455098B2 (en) Wafer processing apparatus and method
KR101370733B1 (en) Substrate processing apparatus
US9466477B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and semiconductor device
JP4093462B2 (en) Substrate processing method and substrate processing apparatus
KR101304368B1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US9650715B2 (en) Method of forming metal-containing film
US20220347641A1 (en) Chemical liquid preparation device, and substrate processing device
JP3258885B2 (en) Film processing equipment
CN112424915A (en) Method for manufacturing semiconductor device, substrate processing apparatus, and program
US6446646B1 (en) Substrate processing apparatus
US11340533B2 (en) Substrate treating apparatus and substrate treating method
US8844461B2 (en) Fluid handling system for wafer electroless plating and associated methods
KR20120098863A (en) Semiconductor device manufacturing method, substrate processing apparatus, and semiconductor device
CN107924834B (en) Substrate processing method and substrate processing apparatus
CN110211897B (en) Substrate processing apparatus and method, processing liquid discharging method, and processing liquid exchanging method
KR20190127032A (en) Apparatus and Method for treating substrate
US20040110106A1 (en) Substrate processing method and apparatus
US20040062861A1 (en) Method of electroless plating and apparatus for electroless plating
WO2008130519A1 (en) Method and apparatus for wafer electroless plating
JP2003151967A (en) Substrate-processing apparatus and substrate-processing method
JP2000232071A (en) Substrate-processing method and apparatus
US11145524B2 (en) Apparatus and method for treating substrate
JP4255014B2 (en) Substrate processing method and substrate processing apparatus
US20050121142A1 (en) Thermal processing apparatus and a thermal processing method
JP2011054590A (en) Substrate processing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: SEMIX INCORPORATED, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TRAN, KHANH;KELLY, TOM;CHANG, ARIN;AND OTHERS;REEL/FRAME:011599/0395;SIGNING DATES FROM 20010305 TO 20010308

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20060924