US11177264B2 - Semiconductor devices including a gate structure having multiple widths - Google Patents

Semiconductor devices including a gate structure having multiple widths Download PDF

Info

Publication number
US11177264B2
US11177264B2 US16/566,510 US201916566510A US11177264B2 US 11177264 B2 US11177264 B2 US 11177264B2 US 201916566510 A US201916566510 A US 201916566510A US 11177264 B2 US11177264 B2 US 11177264B2
Authority
US
United States
Prior art keywords
gate
gate structure
active regions
trench
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US16/566,510
Other versions
US20200168611A1 (en
Inventor
Jae-Hyeon JEON
Se-Keun Park
Dong-sik Park
Seok-ho Shin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JEON, JAE-HYEON, PARK, DONG-SIK, PARK, SE-KEUN, SHIN, SEOK-HO
Publication of US20200168611A1 publication Critical patent/US20200168611A1/en
Application granted granted Critical
Publication of US11177264B2 publication Critical patent/US11177264B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • H01L27/10823
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L27/10814
    • H01L27/10855
    • H01L27/10876
    • H01L27/10885
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/37DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the capacitor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/39DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the capacitor and the transistor being in a same trench
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Definitions

  • the present disclosure relates to semiconductor devices. As the size of a semiconductor device is reduced, a distance between elements is shortened, and due to this, problems may occur. For example, in memory devices, a possibility that interference occurs between two adjacent signal lines may increase with reduced device size.
  • the present inventive concepts provide a semiconductor device having an appropriate operation characteristic and high reliability.
  • the present inventive concepts provide a method of manufacturing a semiconductor device having an appropriate operation characteristic and high reliability.
  • a semiconductor device includes a substrate including a plurality of active regions that may extend longitudinally in a direction and an isolation region that may electrically isolate the plurality of active regions from each other.
  • the semiconductor device may include a gate trench that extends across the plurality of active regions and the isolation region.
  • the semiconductor device may include a gate structure that extends in the gate trench.
  • the semiconductor device may include a gate dielectric layer that is between the gate trench and the gate structure, in each of the plurality of active regions.
  • the gate structure may have a first width in the direction in each of the plurality of active regions and may have a second width in the direction in the isolation region that is different from the first width.
  • a semiconductor device includes a substrate including a plurality of active regions that may extend longitudinally in a first direction and an isolation region that may electrically isolate the plurality of active regions from each other.
  • the semiconductor device may include a gate trench that extends across the plurality of active regions and the isolation region.
  • the semiconductor device may include a gate structure that extends in the gate trench.
  • the semiconductor device may include a gate dielectric layer that is between the gate trench and the gate structure, in each of the plurality of active regions.
  • a width of the gate structure in the first direction may gradually increase or decrease based on a longitudinal position of the gate structure.
  • a semiconductor device includes a substrate including a plurality of active regions that may extend longitudinally in a direction and an isolation region that may electrically isolate the plurality of active regions from each other.
  • the semiconductor device may include a first gate trench and a second gate trench that each extend across the plurality of active regions and the isolation region.
  • the first gate trench may be adjacent the second gate trench.
  • the semiconductor device may include a first gate structure and a second gate structure that extend in the first gate trench and the second gate trench, respectively.
  • the semiconductor device may include a first gate dielectric layer that is between the first gate trench and the first gate structure, in the plurality of active regions.
  • the semiconductor device may include a second gate dielectric layer that is between the second gate trench and the second gate structure, in the plurality of active regions. Moreover, a distance between the first gate structure and the second gate structure in the direction may vary based on a longitudinal position of each of the first and second gate structures.
  • a method of manufacturing a semiconductor device may include forming a plurality of active regions that extend longitudinally in a direction and an isolation region that electrically isolates the plurality of active regions from each other, on a substrate.
  • the method may include forming a gate trench that extends across the plurality of active regions and the isolation region.
  • the method may include enlarging the gate trench in each of the plurality of active regions.
  • the method may include forming a gate dielectric layer on an inner surface of the gate trench in each of the plurality of active regions.
  • the method may include forming a gate structure in the gate trench.
  • FIG. 1 is a plan view illustrating a schematic configuration of an integrated circuit device according to some embodiments
  • FIG. 2 is a block diagram for describing an example configuration of an integrated circuit device including dynamic random access memory (DRAM);
  • DRAM dynamic random access memory
  • FIG. 3 is a plan view illustrating a schematic configuration of an integrated circuit device according to some embodiments.
  • FIG. 4 is a plan layout for describing main elements of a memory cell array region illustrated in FIG. 3 ;
  • FIG. 5 is a diagram for describing main elements of a semiconductor device according to some embodiments, FIG. 5 being a cross-sectional view illustrating some elements of a cross-sectional surface taken along line V-V′ of FIG. 4 ;
  • FIG. 6 is a diagram for describing main elements of a semiconductor device according to some embodiments.
  • FIG. 7 is a plan view illustrating a plurality of active regions and a gate structure in a semiconductor device according to some embodiments.
  • FIG. 8 is an image obtained by photographing a semiconductor device, manufactured according to some embodiments, with a scanning electron microscope (SEM);
  • FIGS. 9A to 9D are side cross-sectional views illustrating a method of manufacturing a semiconductor device, according to some embodiments.
  • FIG. 10 is a perspective view illustrating an active region enlarged by an etchant.
  • FIG. 1 is a plan view illustrating a schematic configuration of an integrated circuit device 10 according to some embodiments.
  • the integrated circuit device 10 may include a first region 22 , a second region 24 surrounding the first region 22 , and a substrate 12 including an interface region 26 disposed between the first region 22 and the second region 24 .
  • the substrate 12 may include, for example, a semiconductor material such as silicon (Si) or germanium (Ge), or may include at least one compound semiconductor selected from among SiGe, silicon carbide (SiC), gallium arsenide (GaAs), indium arsenide (InAs), and indium phosphide (InP).
  • the substrate 12 may include a conductive region (for example, an impurity-doped well or an impurity-doped structure).
  • the first region 22 may be a memory cell region of the integrated circuit device 10 . In some embodiments, the first region 22 may be a memory cell region of dynamic random access memory (DRAM).
  • the first region 22 may include a unit memory cell including a transistor and a capacitor or a unit memory cell including a switching element and a variable resistor.
  • the second region 24 may be a core region or a peripheral circuit region. Peripheral circuits used for driving memory cells of the first region 22 may be disposed in the second region 24 .
  • a plurality of conductive lines which are installed to enable an electrical connection between the first region 22 and the second region 24 , and a plurality of insulation structures for insulating the first region 22 from the second region 24 may be disposed in the interface region 26 .
  • FIG. 2 is a block diagram for describing an example configuration of an integrated circuit device including DRAM.
  • the first region 22 may be a memory cell region of the DRAM, and the second region 24 may be a peripheral circuit region of the DRAM.
  • the first region 22 may include a memory cell array 22 A.
  • a plurality of memory cells for storing data may be arranged in a row direction and a column direction.
  • the plurality of memory cells may each include a cell capacitor and an access transistor.
  • a gate of the access transistor may be connected to a corresponding word line of a plurality of word lines arranged in the row direction, one of a source and a drain of the access transistor may be connected to a complementary bit line or a bit line arranged in the column direction, and the other of the source and the drain may be connected to the cell capacitor.
  • the second region 24 may include a row decoder 52 , a sense amplifier 54 , a column decoder 56 , a self-refresh control circuit 58 , a command decoder 60 , a mode register set/extended mode register set (MRS/EMRS) circuit 62 , an address buffer 64 , and a data input/output circuit 66 .
  • a row decoder 52 a sense amplifier 54 , a column decoder 56 , a self-refresh control circuit 58 , a command decoder 60 , a mode register set/extended mode register set (MRS/EMRS) circuit 62 , an address buffer 64 , and a data input/output circuit 66 .
  • MCS/EMRS mode register set/extended mode register set
  • the sense amplifier 54 may sense and amplify data of a memory cell and may store amplified data in the memory cell.
  • the sense amplifier 54 may be implemented as a cross-coupled amplifier connected between a bit line and a complementary bit line each included in the memory cell array 22 A.
  • Data DQ input through the data input/output circuit 66 may be written in the memory cell array 22 A, based on an address signal ADD.
  • the data DQ which is read from the memory cell array 22 A on the basis of the address signal ADD, may be output to a destination outside of the integrated circuit device 10 through the data input/output circuit 66 .
  • the address signal ADD may be input to the address buffer 64 , for designating a memory cell which data is to be written in or read from.
  • the address buffer 64 may temporarily store the address signal ADD input from a source outside of the integrated circuit device 10 .
  • the row decoder 52 may decode a row address included in the address signal ADD output from the address buffer 64 , for designating a word line connected to a memory cell which data is to be input to or output from. That is, in a data write or read mode, the row decoder 52 may decode the row address output from the address buffer 64 to enable a corresponding word line. Also, in a self-refresh mode, the row decoder 52 may decode a row address generated from an address counter to enable a corresponding word line.
  • the column decoder 56 may decode a column address included in the address signal ADD output from the address buffer 64 , for designating a bit line connected to the memory cell which data is to be input to or output from.
  • the memory cell array 22 A may output data from the memory cell designated by the row address and the column address, or may write data in the memory cell.
  • the command decoder 60 may receive a command signal CMD applied from a source outside of the integrated circuit device 10 and may decode the command signal CMD to internally generate a decoded command signal (for example, a self-refresh entry command or a self-refresh exit command).
  • a decoded command signal for example, a self-refresh entry command or a self-refresh exit command.
  • the MRS/EMRS circuit 62 may set an internal mode register in response to an MRS/EMRS command and the address signal ADD for designating an operation mode of the integrated circuit device 10 .
  • the integrated circuit device 10 may further include a clock circuit for generating a clock signal and a power circuit which receives a source voltage applied from a source outside of the integrated circuit device 10 to generate or divide an internal voltage.
  • the self-refresh control circuit 58 may control a self-refresh operation of the integrated circuit device 10 in response to a command output from the command decoder 60 .
  • the command decoder 60 may include an address counter, a timer, and a core voltage generator.
  • the address counter may generate a row address signal for designating a row address which is to be self-refreshed and may apply the generated row address signal to the row decoder 52 .
  • the address counter may end a counting operation in response to a self-refresh exit command output from the command decoder 60 .
  • FIG. 3 is a plan view illustrating a schematic configuration of an integrated circuit device 70 according to some embodiments.
  • like reference numerals refer to like elements, and thus, their detailed description may be omitted in FIG. 3 .
  • the integrated circuit device 70 may include a plurality of first regions 22 .
  • Each of the plurality of first regions 22 may be surrounded by a second region 24 with an interface region 26 therebetween.
  • each of the plurality of first regions 22 may be a memory cell array region MCA of DRAM, and the second region 24 may be a peripheral circuit region of the DRAM.
  • the memory cell array region MCA may include the memory cell array 22 A described above with reference to FIG. 2 .
  • Each of the plurality of first regions 22 may be surrounded by the interface region 26 .
  • the second region 24 may include a sub-word line driver block SWD, a sense amplifier block S/A, and a conjunction block CJT.
  • a plurality of sub-word line driver blocks SWD may be arranged in a word line direction of the memory cell array region MCA
  • a plurality of sense amplifier blocks S/A may be arranged in a bit line direction of the memory cell array region MCA.
  • a plurality of bit line sensor amplifiers may be provided in each of the sense amplifier blocks S/A.
  • the conjunction block CJT may be provided at a point at which each of the sub-word line driver blocks SWD intersects with a corresponding sense amplifier block S/A.
  • a plurality of power drivers and a plurality of ground drivers for driving the bit line sense amplifiers may be alternately arranged in the conjunction block CJT.
  • peripheral circuits such as an input/output circuit and an inverter chain may be further provided in the second region 24 .
  • FIG. 4 is a plan layout for describing main elements of the memory cell array region MCA illustrated in FIG. 3 .
  • the memory cell array region MCA may include a plurality of active regions A 1 .
  • the plurality of active regions A 1 may be disposed to include a long axis extending (i.e., extending longitudinally) in a first direction (a W-axis direction). Some of the plurality of active regions A 1 may be arranged in one row in a second direction (an X-axis direction) that intersects the first direction (the W-axis direction). Others of the plurality of active regions A 1 may be arranged in one row in a third direction (a Y-axis direction).
  • a plurality of word lines WL may extend in parallel in the second direction (the X-axis direction) across the plurality of active regions A 1 .
  • a plurality of bit lines BL may be disposed on the plurality of word lines WL and may extend in parallel in the third direction (the Y-axis direction) intersecting with the second direction (the X-axis direction).
  • Each of the plurality of bit lines BL may be connected to a corresponding active region A 1 through a direction contact DC.
  • a plurality of buried contacts BC may be provided between two adjacent bit lines BL of the plurality of bit lines BL.
  • the plurality of buried contacts BC may approximately be arranged in one row in the second direction (the X-axis direction) and the third direction (the Y-axis direction).
  • a plurality of landing pads may be provided on the plurality of buried contacts BC.
  • Each of the plurality of buried pads BC and a corresponding landing pad may connect a bottom electrode of a capacitor, provided on a corresponding bit line BL, to a corresponding active region A 1 .
  • Each of the plurality of landing pads may be disposed to partially overlap a corresponding buried contact BC.
  • FIG. 5 is a diagram for describing main elements of a semiconductor device according to some embodiments, FIG. 5 being a cross-sectional view illustrating some elements of a cross-sectional surface taken along line V-V′ of FIG. 4 .
  • a substrate 101 may be provided, and the substrate 101 may include a plurality of active regions A 1 and an isolation region ISO which electrically isolates the plurality of active regions A 1 .
  • the substrate 101 may include a bulk silicon substrate, a silicon on insulator (SOI) substrate, a germanium substrate, a germanium on insulator (GOI) substrate, a silicon germanium substrate, or an epitaxial thin film substrate obtained by performing selective epitaxial growth (SEG).
  • SOI silicon on insulator
  • GOI germanium on insulator
  • SEG selective epitaxial growth
  • Each of the active regions A 1 of the substrate 101 may have a bar shape, and a long axis of each of the active regions A 1 may extend in a first direction (a W-axis direction) which is a diagonal direction with respect to an extension direction of a plurality of word lines WL and a plurality of bit lines BL.
  • the isolation region ISO may surround the plurality of active regions A 1 and may electrically isolate the plurality of active regions A 1 from each other.
  • the isolation region ISO may include high-density plasma (HDP) oxide, tetraethyl orthosilicate (TEOS), plasma enhanced TEOS (PE-TEOS), O 3 -TEOS, undoped silicate glass (USG), phosphosilicate glass (PSG), borosilicate glass (BSG), borophosphosilicate glass (BPSG), fluoride silicate glass (FSG), spin on glass (SOG), tonen silazene (TOSZ), or a combination thereof.
  • HDP high-density plasma
  • TEOS tetraethyl orthosilicate
  • PE-TEOS plasma enhanced TEOS
  • O 3 -TEOS undoped silicate glass
  • PSG phosphosilicate glass
  • BSG borosilicate glass
  • BPSG borophosphosilicate glass
  • FSG fluoride silicate
  • a plurality of gate trenches (for example, first to fourth gate trenches) GT 1 to GT 4 may be provided to extend across the plurality of active regions A 1 and the isolation region ISO.
  • the plurality of gate trenches GT 1 to GT 4 may extend in a lengthwise direction thereof and may alternately pass through the plurality of active regions A 1 and the isolation region ISO.
  • the plurality of gate trenches GT 1 to GT 4 may have different widths in the first direction (the W-axis direction) by extending in the lengthwise direction thereof and alternately passing through the plurality of active regions A 1 and the isolation region ISO.
  • the first gate trench GT 1 and the fourth gate trench GT 4 may pass through the isolation region ISO, and the second gate trench GT 2 and the third gate trench GT 3 may pass through a corresponding active region A 1 .
  • the first gate trench GT 1 and the fourth gate trench GT 4 may simultaneously or independently pass through the corresponding active region A 1 , based on a longitudinal position thereof, and the second gate trench GT 2 and the third gate trench GT 3 may simultaneously or independently pass through the isolation region ISO, based on the longitudinal position thereof.
  • the second gate trench GT 2 and the third gate trench GT 3 may pass through the corresponding active region A 1 to have a first trench width TW 1 in the first direction (the W-axis direction). Also, the first gate trench GT 1 and the fourth gate trench GT 4 may pass through the isolation region ISO to have a second trench width TW 2 in the first direction (the W-axis direction).
  • the first trench width TW 1 may be greater than the second trench width TW 2 . In some embodiments, the first trench width TW 1 may be about 100% to about 140% (or about 110% to about 140%) of the second trench width TW 2 .
  • the second trench width TW 2 is too much narrower than the first trench width TW 1 (e.g., if the first trench width TW 1 is significantly greater than about 140% of the second trench width TW 2 ), resistances of a plurality of gate structures (for example, first to fourth gate structures) 110 A to 110 D respectively provided in the gate trenches GT 1 to GT 4 may increase, causing a reduction in performance of the semiconductor device.
  • a plurality of gate structures for example, first to fourth gate structures
  • the second trench width TW 2 has a size which is the same as or similar to the first trench width TW 1 , electrical interference between the gate structures 110 A to 110 D respectively provided in the gate trenches GT 1 to GT 4 may increase, causing a reduction in reliability of the semiconductor device.
  • a gate dielectric layer 120 may be provided on an inner surface of each of the gate trenches GT 1 to GT 4 .
  • the gate dielectric layer 120 may include silicon oxide, silicon oxynitride, an oxide/nitride/oxide (ONO) film, or a high-k dielectric film having a dielectric constant which is higher than that of silicon oxide.
  • the high-k dielectric film may have a dielectric constant of about 10 to about 25, and for example, may include hafnium oxide (HfO 2 ), aluminum oxide (A 1 2 O 3 ), hafnium aluminum oxide (HfAlO 3 ), tantalum oxide (Ta 2 O 3 ), or titanium oxide (TiO 2 ).
  • At least a portion of the gate dielectric film 120 may be obtained by thermally oxidizing each of the active regions A 1 .
  • the present inventive concepts are not limited thereto.
  • the gate dielectric layer 120 may be provided on the inner surface of each of the gate trenches GT 1 to GT 4 in the isolation region ISO.
  • the gate structures 110 A to 110 D may be respectively provided in the gate trenches GT 1 to GT 4 .
  • Each of the gate structures 110 A to 110 D may include a lower gate line 110 M, an upper gate line 110 P, and a capping layer 110 K covering the upper gate line 110 P.
  • the lower gate line 110 M may include, for example, conductive metal or conductive nitride thereof.
  • the lower gate line 110 M may include titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), tungsten nitride (WN), titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), or a combination thereof.
  • Ti titanium
  • TiN titanium nitride
  • Ta tantalum nitride
  • TaN tantalum nitride
  • WN tungsten nitride
  • TiSiN titanium silicon nitride
  • WSiN tungsten silicon nitride
  • the upper gate line 110 P may include, for example, doped polysilicon. However, the present inventive concepts are not limited thereto.
  • the capping layer 110 K may include silicon nitride, silicon oxynitride, silicon oxide, or a combination thereof.
  • Each of the gate structures 110 A to 110 D may extend across the plurality of active regions A 1 and the isolation region ISO. Each of the gate structures 110 A to 110 D may extend in a lengthwise direction thereof and may alternately pass through the plurality of active regions A 1 and the isolation region ISO. As illustrated in FIG. 4 , the plurality of gate structures 110 A to 110 D may have different widths in the first direction (the W-axis direction) by extending in the lengthwise direction thereof and alternately passing through the plurality of active regions A 1 and the isolation region ISO.
  • the first gate structure 110 A and the fourth gate structure 110 D may pass through the isolation region ISO, and the second gate structure 110 B and the third gate structure 110 C may pass through the active regions A 1 .
  • the first gate structure 110 A and the fourth gate structure 110 D may simultaneously or independently pass through the corresponding active region A 1 , based on a longitudinal position thereof, and the second gate structure 110 B and the third gate structure 110 C may simultaneously or independently pass through the isolation region ISO, based on a longitudinal position thereof.
  • the second gate structure 110 B and the third gate structure 110 C may pass through the corresponding active region A 1 to have a first width W 1 in the first direction (the W-axis direction). Also, the first gate structure 110 A and the fourth gate structure 110 D may pass through the isolation region ISO to have a second width W 2 in the first direction (the W-axis direction).
  • the first width W 1 may be greater than the second width W 2 . In some embodiments, the first width W 1 may be about 110% to about 140% of the second width W 2 .
  • the second width W 2 is too much narrower than the first width W 1 (e.g., if the first width W 1 is significantly greater than about 140% of the second width W 2 ), resistances of the plurality of gate structures 110 A to 110 D may increase, causing a reduction in performance of the semiconductor device.
  • the second width W 2 has a size which is the same as or similar to the first width W 1 , electrical interference between the gate structures 110 A to 110 D may increase, causing a reduction in reliability of the semiconductor device.
  • Two gate structures (for example, the second gate structure 110 B and the third gate structure 110 C each illustrated in FIG. 5 ) passing through a common active region A 1 may be separated from each other by a first distance GD 1 .
  • a gate structure passing through the common active region A 1 and another gate structure which does not pass through the common active region A 1 in adjacency thereto, (for example, the second gate structure 110 B and the first gate structure 110 A each illustrated in FIG. 5 ) may be separated from each other by a second distance GD 2 .
  • the first distance GD 1 may be less than the second distance GD 2 .
  • a current of a conductive line which passes by the semiconductor device in adjacency to the semiconductor device may affect an operation of the semiconductor device, and thus, a distance between the semiconductor device and a conductive line passing by the semiconductor device may be largely reduced, thereby possibly reducing device reliability.
  • a distance (for example, the second distance GD 2 ) to a gate structure passing through the isolation region ISO may be greater than a distance (for example, the first distance GD 1 ) between two gate structures in each of the active regions A 1 , and thus, a possibility of electrical interference between gate structures may be reduced, thereby enhancing device reliability.
  • lower ends of the gate trenches GT 1 to GT 4 may be disposed on substantially the same level.
  • a plurality of source/drain regions SD may be provided near upper surfaces of the plurality of active regions A 1 .
  • a bit line BL may extend in a direction differing from the first direction (the W-axis direction).
  • the bit line BL may extend in the third direction (the Y-axis direction) crossing the second direction (the X-axis direction) in which a word line WL (i.e., gate structures) extends.
  • the gate structures 110 A- 110 D may comprise respective word lines WL, which may each have a first portion in one or more active regions A 1 that is wider, in the first direction (the W-axis direction), than a second portion in an isolation region ISO.
  • the bit line BL may be connected to the corresponding active region A 1 through a direct contact DC.
  • the direct contact DC may include a contact semiconductor layer.
  • the contact semiconductor layer may include polysilicon doped with a P-type dopant or an N-type dopant.
  • the direct contact DC may include a polysilicon layer doped at a doping concentration selected within a range of about 1 ⁇ 10 20 cm ⁇ 3 to about 9 ⁇ 10 20 cm ⁇ 3 .
  • the bit line BL may include a first conductive layer 131 , a second conductive layer 132 disposed on the first conductive layer 131 , and a spacer SP covering a side surface of each of the first and second conductive layers 131 and 132 .
  • the first conductive layer 131 may include a semiconductor layer and may contact an upper surface of the direct contact DC.
  • the first conductive layer 131 may have a doping concentration which is higher than that of a contact semiconductor layer of the direct contact DC.
  • the first conductive layer 131 may include polysilicon doped with a P-type dopant or an N-type dopant.
  • the first conductive layer 131 may be doped with a dopant having the same conductive type as that of a dopant included in the direct contact DC.
  • the first conductive layer 131 may include a polysilicon layer doped at a doping concentration selected within a range of about 2 ⁇ 10 20 cm ⁇ 3 to about 9 ⁇ 10 21 cm ⁇ 3 .
  • the second conductive layer 132 may include TiSiN, TiN, TaN, cobalt nitride (CoN), metal, metal silicide, or a combination thereof.
  • the metal and the silicide may include tungsten (W), molybdenum (Mo), gold (Au), copper (Cu), aluminum (A 1 ), nickel (Ni), or cobalt (Co).
  • the second conductive layer 132 may include a single layer, or may include two or more layers which are stacked. In some embodiments, the second conductive layer 132 may include a lower layer including TiSiN and an upper layer which includes W and is disposed on the lower layer.
  • the spacer SP may include oxide, nitride, an air spacer, or a combination thereof.
  • the air spacer may include other gases in air or which occur in a process of manufacturing the semiconductor device.
  • the corresponding active region A 1 may be connected to a capacitor CAP through a buried contact BC.
  • the buried contact BC may include a conductive material, and for example, may include at least one of doped polysilicon, a metal material, and conductive metal nitride.
  • the buried contact BC may include W and tungsten nitride (W x N 1-x ).
  • the buried contact BC may be provided in an interlayer insulation layer IL 1 .
  • the interlayer insulation layer IL 1 may include, for example, a silicon oxide film which is formed by a chemical vapor deposition (CVD) process.
  • the capacitor CAP may include a bottom electrode 180 , a dielectric layer 181 , and a top electrode 182 .
  • the bottom electrode 180 and the top electrode 182 may each include at least one of an impurity-doped semiconductor material (for example, doped polysilicon), conductive metal nitride (for example, titanium nitride, tantalum nitride, or tungsten nitride), metal (for example, ruthenium, iridium, titanium, or tantalum), and a conductive metal compound (for example, oxide iridium).
  • an impurity-doped semiconductor material for example, doped polysilicon
  • conductive metal nitride for example, titanium nitride, tantalum nitride, or tungsten nitride
  • metal for example, ruthenium, iridium, titanium, or tantalum
  • a conductive metal compound for example, oxide iridium
  • the dielectric layer 181 may include a single layer including a material selected from among metal oxide (for example, HfO 2 , zirconium oxide (ZrO 2 ), A 1 2 O 3 , lanthanum oxide (La 2 O 3 ), Ta 2 O 3 , or TiO 2 ) and a dielectric material (for example, strontium titanate (SrTiO 3 (STO)), barium strontium titanate ((Ba,Sr)TiO 3 (BST)), BaTiO 3 , lead zirconate titanate (PZT), or lead lanthanum zirconium titanate (PLZT)) having a Perovskite structure, or may include a combination thereof.
  • metal oxide for example, HfO 2 , zirconium oxide (ZrO 2 ), A 1 2 O 3 , lanthanum oxide (La 2 O 3 ), Ta 2 O 3 , or TiO 2
  • a dielectric material for example, strontium titanate (SrTi
  • FIG. 6 is a diagram for describing main elements of a semiconductor device according to some embodiments.
  • FIG. 6 may correspond to a cross-sectional surface taken along line V-V′ of FIG. 4 .
  • the semiconductor device illustrated in FIG. 6 may have a difference with the semiconductor device illustrated in FIG. 5 in terms of second and third gate structures 110 B and 110 C and a level of a lower end of each of first and fourth gate trenches GT 1 and GT 4 that contacts an active region A 1 .
  • the difference(s) will be mainly described, and repeated descriptions of elements of FIG. 6 and elements of FIG. 5 may be omitted.
  • a gate trench (for example, a second gate trench GT 2 or a third gate trench GT 3 in FIG. 6 ) may have a first level LV 1 with respect to a floor surface of an isolation region ISO.
  • a gate trench (for example, the first gate trench GT 1 or the fourth gate trench GT 4 in FIG. 6 ) in an isolation region ISO may have a second level LV 2 with respect to a floor surface of the isolation region ISO.
  • the second level LV 2 may be lower than the first level LV 1 .
  • a depth of the first gate trench GT 1 or the fourth gate trench GT 4 passing through the isolation region ISO may be deeper than that of the second gate trench GT 2 or the third gate trench GT 3 passing through the active region A 1 .
  • the second gate structure 110 B or the third gate structure 110 C passing through the active region A 1 partially surrounds the active region A 1 , contacts a sidewall contact portion SDL, and passes through the active region A 1 .
  • FIG. 7 is a plan view illustrating a plurality of active regions A 1 and a gate structure 110 in a semiconductor device according to some embodiments.
  • the gate structure 110 may extend in a second direction (an X-axis direction) across the plurality of active regions A 1 .
  • the gate structure 110 illustrated in FIG. 7 may have a curved side profile unlike FIG. 4 where each gate structure has a rectilinear side profile.
  • the gate structure 110 may have a side profile 110 VX which convexly protrudes in an active region A 1 .
  • a width of the gate structure 110 in a first direction (a W-axis direction) may be reduced in a direction away from the active region A 1 .
  • a width of the gate structure 110 in the first direction may have its highest value in the active region A 1 .
  • the gate structure 110 may have a first average width WA 1 in the first direction (the W-axis direction) in a first active region A 11 of the active region A 1 , and the width of the gate structure 110 in the first direction may gradually vary in embodiments where the gate structure 110 extends toward a second active region A 12 of the active region A 1 in a lengthwise direction thereof. In some embodiments, the width of the gate structure 110 in the first direction may gradually decrease in embodiments where the gate structure 110 extends from the first active region A 11 to the second active region A 12 in the lengthwise direction thereof.
  • “a gradual reduction” in width of the gate structure 110 in the first direction may not denote that the width of the gate structure 110 in the first direction is gradually reduced with respect to a total length between the first active region A 11 and the second active region A 12 .
  • the width of the gate structure 110 in the first direction may decrease by a certain size from the first active region A 11 , and then, may again increase as the gate structure 110 becomes closer to the second active region A 12 . In some embodiments, a reduction and an increase in width of the gate structure 110 in the first direction may be repeated once or more between the first active region A 11 and the second active region A 12 .
  • the gate structure 110 may have a second average width WA 2 in the first direction in the second active region A 12 , and the width of the gate structure 110 in the first direction may gradually vary in embodiments where the gate structure 110 extends toward the first active region A 11 in the lengthwise direction thereof.
  • the first average width WA 1 may be the same as or different from the second average width WA 2 .
  • the gate structure 110 may have a third average width WA 3 in an isolation region ISO.
  • the first average width WA 1 may be about 110% to about 140% of the third average width WA 3 . If instead the first average width WA 1 is too much greater than (e.g., substantially higher than 140% of) the third average width WA 3 , an electrical resistance may excessively increase, and due to this, device performance may be reduced. On the other hand, when the first average width WA 1 is the same as or similar to the third average width WA 3 , electrical interference between two adjacent gate structures 110 may increase, and due to this, the reliability of the semiconductor device may be reduced.
  • two adjacent gate structures 110 may be separated from each other by a first distance GD in the first direction, and the first distance GD may gradually vary based on positions of the two adjacent gate structures 110 in a lengthwise direction.
  • first distance GD may gradually vary based on positions of the two adjacent gate structures 110 in a lengthwise direction.
  • a gate trench GT may extend in the second direction (the X-axis direction) across the plurality of active regions A 1 .
  • the gate trench GT illustrated in FIG. 7 may have a curved side profile unlike FIG. 4 where each gate trench has a rectilinear side profile.
  • the gate trench GT may have a first trench average width TWA 1 in the first direction (the W-axis direction) in the first active region A 11 , and a width of the gate trench GT in the first direction may gradually vary in embodiments where the gate trench GT extends toward the second active region A 12 in a lengthwise direction thereof. In some embodiments, the width of the gate trench GT in the first direction may gradually decrease in embodiments where the gate trench GT extends from the first active region A 11 to the second active region A 12 in the lengthwise direction thereof.
  • “a gradual reduction” in width of the gate trench GT in the first direction may not denote that the width of the gate trench GT in the first direction is gradually reduced with respect to the total length between the first active region A 11 and the second active region A 12 .
  • the width of the gate trench GT in the first direction may decrease by a certain size from the first active region A 11 , and then, may again increase as the gate trench GT becomes closer to the second active region A 12 . In some embodiments, a reduction and an increase in width of the gate trench GT in the first direction may be repeated once or more between the first active region A 11 and the second active region A 12 .
  • the gate trench GT may have a second trench average width TWA 2 in the first direction in the second active region A 12 , and the width of the gate trench GT in the first direction may gradually vary in embodiments where the gate trench GT extends toward the first active region A 11 in the lengthwise direction thereof.
  • the first trench average width TWA 1 may be the same as or different from the second trench average width TWA 2 .
  • the gate trench GT may have a third trench average width TWA 3 in the isolation region ISO.
  • the first trench average width TWA 1 may be about 110% to about 140% of the third trench average width TWA 3 . If instead the first trench average width TWA 1 is too much greater than (e.g., substantially higher than 140% of) the third trench average width TWA 3 , an electrical resistance may excessively increase, and due to this, device performance may be reduced. On the other hand, when the first trench average width TWA 1 is the same as or similar to the third trench average width TWA 3 , electrical interference between two adjacent gate structures 110 may increase, and due to this, the reliability of the semiconductor device may be reduced.
  • a concave portion of the gate trench GT may correspond to a convex portion A 1 CC of the gate structure 110 . That is, in the active region A 1 , the gate structure 110 may have a convex shape, based on a concave shape of the gate trench GT. In this case, a gate dielectric layer 120 may be disposed between the gate structure 110 and the gate trench GT.
  • FIG. 8 is an image obtained by photographing a semiconductor device manufactured according to some embodiments with a scanning electron microscope (SEM).
  • SEM scanning electron microscope
  • a plurality of active regions A 1 may be arranged in an isolation region ISO similarly to the arrangement illustrated in FIG. 4 .
  • a plurality of gate structures 110 may extend in a second direction (an X-axis direction) across the plurality of active regions A 1 .
  • a width of each of the gate structures 110 may not be constant and may vary, based on a longitudinal position thereof. Particularly, each of the gate structures 110 may have a wider width in a portion overlapping the active regions A 1 and may have a narrower width in the isolation region ISO.
  • each of the gate structures 110 gradually varies based on the longitudinal position thereof.
  • FIGS. 9A to 9D are side cross-sectional views illustrating a method of manufacturing a semiconductor device, according to some embodiments.
  • an active region A 1 and an isolation region ISO may be defined on a substrate 101 .
  • the isolation region ISO and the substrate 101 including the active region A 1 have been described above with reference to FIG. 5 , and thus, repetition of their detailed descriptions may be omitted.
  • a recess may be formed in the substrate 101 , for forming the isolation region ISO.
  • a mask pattern may be formed, and then, the recess may be formed by performing an anisotropic etching process. Subsequently, a gap-fill oxide layer may be formed and planarized in the recess.
  • the gap-fill oxide layer may include HDP oxide, TEOS, PE-TEOS, O 3 -TEOS, USG, PSG, BSG, BPSG, FSG, SOG, TOSZ, or a combination thereof.
  • a plurality of gate trenches GT 1 to GT 4 may be formed to extend in one direction.
  • the gate trenches GT 1 to GT 4 may be formed by a photolithography process.
  • the gate trenches GT 1 to GT 4 may pass through the isolation region ISO and the active region A 1 and may extend in the one direction.
  • An extension direction of each of the gate trenches GT 1 to GT 4 may be vertical to a long-axis direction of the active region A 1 , or may be diagonally inclined.
  • the gate trenches GT 1 to GT 4 may have substantially the same width.
  • the gate trenches GT 2 and GT 3 may be formed/enlarged.
  • the gate trenches GT 2 and GT 3 may each have an enlarged width.
  • a wet etching process may be performed on the gate trenches GT 1 to GT 4 in the active region A 1 .
  • the wet etching process may be performed by using an etchant which does not etch the isolation region ISO or very slowly etches the isolation region ISO and very quickly etches silicon included in the active region A 1 .
  • the etchant may be, for example, an etchant which does not etch silicon oxide or very slowly etches silicon oxide and very quickly etches silicon.
  • the etchant may be a mixed solution of ammonium hydroxide (NH 4 OH), oxygenated water (H 2 O 2 ), and water (H 2 O).
  • the etchant may be a mixed solution of hydrochloric acid (HCl), H 2 O 2 , and H 2 O.
  • the etchant may be a mixed solution of sulphuric acid (H 2 SO 4 ), H 2 O 2 , and H 2 O. A content of each component may be adjusted depending on the case.
  • the etchant may be, for example, SC 1 , SC 2 , ammonium peroxide mixture (APM), sulfuric acid peroxide mixture (SPM), hydrochloric peroxide mixture (HPM), or a combination thereof.
  • APM ammonium peroxide mixture
  • SPM sulfuric acid peroxide mixture
  • HPM hydrochloric peroxide mixture
  • the etchant is not limited thereto.
  • the etchant may contact the active region A 1 at a temperature of about 60° C. to about 90° C. for about 1 minute to about 30 minutes.
  • FIG. 10 is a perspective view illustrating an active region enlarged by an etchant.
  • a plurality of gate trenches GT 2 and GT 3 may pass through an isolation region ISO and an active region A 1 buried thereinto and may extend.
  • the gate trenches GT 2 and GT 3 may each have a fifth trench width TWa in a portion other than the active region A 1 and may each have a sixth trench width TWb which is greater than the fifth trench width TWa.
  • the gate trenches GT 2 and GT 3 may each have an inner concave surface.
  • An isotropic etching process using an etchant may be performed based on a chemical reaction.
  • a speed of the chemical reaction may be affected by various variables, and a concentration of a reactant may be one of the various variables.
  • An exposed sidewall of the active region A 1 may be partially and isotropically removed by the etchant.
  • the example shown in FIG. 10 is not limited to a specific theory.
  • the transfer of materials may be smoothly performed in a horizontal-direction center portion of the exposed sidewall of the active region A 1 , and thus, the concentration of the etchant in the horizontal-direction center portion of the exposed sidewall of the active region A 1 may be higher than in a horizontal-direction edge portion of the exposed sidewall of the active region A 1 , whereby an isotropic etching reaction may be more smoothly performed. Accordingly, more of the horizontal-direction center portion of the exposed sidewall of the active region A 1 may be removed, and thus, may have a concave sidewall profile.
  • the etchant may be selected from among various materials so that an etching speed difference occurs with respect to the isolation region ISO and the active region A 1 , and thus, while the active region A 1 is being etched, the isolation region ISO may not be etched or may be slightly etched.
  • the sixth trench width TWb of each of the gate trenches GT 2 and GT 3 in the active region A 1 may be about 110% to about 140% of the fifth trench width TWa of each of the gate trenches GT 2 and GT 3 in the isolation region ISO.
  • Each of the fifth trench width TWa and the sixth trench width TWb may vary based on a lengthwise direction in the isolation region ISO and the active region A 1 .
  • each of the fifth trench width TWa and the sixth trench width TWb may be represented by an average value based on the lengthwise direction in the isolation region ISO and the active region A 1 .
  • the gate trenches GT 2 and GT 3 of the active region A 1 may each have a width which is wider than that of each of the gate trenches GT 1 and GT 4 passing through the isolation region ISO.
  • a lateral width of each of the gate trenches GT 2 and GT 3 of the active region A 1 may be enlarged by the etchant, and thus, a depth of each of the gate trenches GT 2 and GT 3 of the active region A 1 may be slightly deepened (in a vertical/Z-axis direction).
  • the gate trenches GT 1 to GT 4 may have a structure having a high aspect ratio, and thus, in comparison with enlargement of a lateral width, an increase in a longitudinal depth may not be large and may very slightly affect a shape of each gate trench.
  • a gate dielectric layer 120 may be formed in each of the gate trenches GT 1 to GT 4 , and a plurality of gate structures 110 A to 110 D each including a lower gate line 110 M, an upper gate line 110 P, and a capping layer 110 K may be formed on the gate dielectric layer 120 .
  • the gate dielectric layer 120 may be formed by thermal oxidization performed on the active region A 1 or deposition performed on a dielectric material.
  • the thermal oxidization of the active region A 1 may be performed by heating the active region A 1 at an oxidization atmosphere.
  • the deposition of the dielectric material may be performed by a CVD process, an atomic layer deposition (ALD) process, or a physical vapor deposition (PVD) process, but is not limited thereto.
  • a material of the gate dielectric layer 120 has been described above with reference to FIGS. 4 and 5 , and thus, its repetitive description may be omitted.
  • the material layers (for example, the gate dielectric layer 120 , the lower gate line 110 M, the upper gate line 110 P, and the capping layer 110 K) may be formed, and then, by performing an etchback process on each of the materials, the gate structures 110 A to 110 D may be formed.
  • the isolation region ISO may be partially removed through an anisotropic etching process.
  • the semiconductor device illustrated in FIG. 6 may be provided by the anisotropic etching process.
  • a portion of the isolation region ISO (particularly, a portion of a floor of each of the gate trenches GT 1 to GT 4 in the isolation region ISO) is removed, a portion of a floor of each of the gate trenches GT 1 to GT 4 in the active region A 1 may relatively protrude toward an upper portion.
  • the semiconductor device may have a wider channel width because the gate structures 110 A to 110 D partially surround the active region A 1 and contact a sidewall contact portion SDL (see FIG. 6 ) while passing through the active region A 1 .
  • a direct contact DC and a bit line BL which are electrically connected to the active region A 1 at a first location that is on/adjacent one side of each of the gate structures 110 B and 110 C may be formed.
  • a capacitor CAP electrically connected to the active region A 1 at a second location that is on/adjacent the other (e.g., opposite) side of each of the gate structures 110 B and 110 C may be formed (see FIG. 5 ).
  • the capacitor CAP may be electrically connected to the active region A 1 through an interlayer insulation layer IL 1 and a buried contact BC passing through the interlayer insulation layer IL 1 .
  • a semiconductor device having an appropriate operation characteristic and high reliability may be provided.

Abstract

Semiconductor devices are provided. A semiconductor device includes a substrate including a plurality of active regions that extend longitudinally in a direction and an isolation region that electrically isolates the plurality of active regions from each other. The semiconductor device includes a gate trench that extends across the plurality of active regions and the isolation region. The semiconductor device includes a gate structure that extends in the gate trench. The semiconductor device includes a gate dielectric layer that is between the gate trench and the gate structure, in each of the plurality of active regions. The gate structure has a first width in the direction in each of the plurality of active regions and has a second width in the direction in the isolation region that is different from the first width.

Description

CROSS-REFERENCE TO RELATED APPLICATION
This application claims the benefit of Korean Patent Application No. 10-2018-0147680, filed on Nov. 26, 2018, in the Korean Intellectual Property Office, the disclosure of which is incorporated herein in its entirety by reference.
BACKGROUND
The present disclosure relates to semiconductor devices. As the size of a semiconductor device is reduced, a distance between elements is shortened, and due to this, problems may occur. For example, in memory devices, a possibility that interference occurs between two adjacent signal lines may increase with reduced device size.
SUMMARY
The present inventive concepts provide a semiconductor device having an appropriate operation characteristic and high reliability.
The present inventive concepts provide a method of manufacturing a semiconductor device having an appropriate operation characteristic and high reliability.
According to some embodiments of the present inventive concepts, a semiconductor device is provided that includes a substrate including a plurality of active regions that may extend longitudinally in a direction and an isolation region that may electrically isolate the plurality of active regions from each other. The semiconductor device may include a gate trench that extends across the plurality of active regions and the isolation region. The semiconductor device may include a gate structure that extends in the gate trench. The semiconductor device may include a gate dielectric layer that is between the gate trench and the gate structure, in each of the plurality of active regions. Moreover, the gate structure may have a first width in the direction in each of the plurality of active regions and may have a second width in the direction in the isolation region that is different from the first width.
According to some embodiments of the present inventive concepts, a semiconductor device is provided that includes a substrate including a plurality of active regions that may extend longitudinally in a first direction and an isolation region that may electrically isolate the plurality of active regions from each other. The semiconductor device may include a gate trench that extends across the plurality of active regions and the isolation region. The semiconductor device may include a gate structure that extends in the gate trench. The semiconductor device may include a gate dielectric layer that is between the gate trench and the gate structure, in each of the plurality of active regions. Moreover, a width of the gate structure in the first direction may gradually increase or decrease based on a longitudinal position of the gate structure.
According to some embodiments of the present inventive concepts, a semiconductor device is provided that includes a substrate including a plurality of active regions that may extend longitudinally in a direction and an isolation region that may electrically isolate the plurality of active regions from each other. The semiconductor device may include a first gate trench and a second gate trench that each extend across the plurality of active regions and the isolation region. The first gate trench may be adjacent the second gate trench. The semiconductor device may include a first gate structure and a second gate structure that extend in the first gate trench and the second gate trench, respectively. The semiconductor device may include a first gate dielectric layer that is between the first gate trench and the first gate structure, in the plurality of active regions. The semiconductor device may include a second gate dielectric layer that is between the second gate trench and the second gate structure, in the plurality of active regions. Moreover, a distance between the first gate structure and the second gate structure in the direction may vary based on a longitudinal position of each of the first and second gate structures.
According to some embodiments of the present inventive concepts, a method of manufacturing a semiconductor device is provided. The method may include forming a plurality of active regions that extend longitudinally in a direction and an isolation region that electrically isolates the plurality of active regions from each other, on a substrate. The method may include forming a gate trench that extends across the plurality of active regions and the isolation region. The method may include enlarging the gate trench in each of the plurality of active regions. The method may include forming a gate dielectric layer on an inner surface of the gate trench in each of the plurality of active regions. Moreover, the method may include forming a gate structure in the gate trench.
BRIEF DESCRIPTION OF THE DRAWINGS
Embodiments of the present inventive concepts will be more clearly understood from the following detailed description taken in conjunction with the accompanying drawings in which:
FIG. 1 is a plan view illustrating a schematic configuration of an integrated circuit device according to some embodiments;
FIG. 2 is a block diagram for describing an example configuration of an integrated circuit device including dynamic random access memory (DRAM);
FIG. 3 is a plan view illustrating a schematic configuration of an integrated circuit device according to some embodiments;
FIG. 4 is a plan layout for describing main elements of a memory cell array region illustrated in FIG. 3;
FIG. 5 is a diagram for describing main elements of a semiconductor device according to some embodiments, FIG. 5 being a cross-sectional view illustrating some elements of a cross-sectional surface taken along line V-V′ of FIG. 4;
FIG. 6 is a diagram for describing main elements of a semiconductor device according to some embodiments;
FIG. 7 is a plan view illustrating a plurality of active regions and a gate structure in a semiconductor device according to some embodiments;
FIG. 8 is an image obtained by photographing a semiconductor device, manufactured according to some embodiments, with a scanning electron microscope (SEM);
FIGS. 9A to 9D are side cross-sectional views illustrating a method of manufacturing a semiconductor device, according to some embodiments; and
FIG. 10 is a perspective view illustrating an active region enlarged by an etchant.
DETAILED DESCRIPTION
Hereinafter, example embodiments will be described in detail with reference to the accompanying drawings. Like reference numerals refer to like elements, and their repetitive descriptions may be omitted.
FIG. 1 is a plan view illustrating a schematic configuration of an integrated circuit device 10 according to some embodiments.
The integrated circuit device 10 may include a first region 22, a second region 24 surrounding the first region 22, and a substrate 12 including an interface region 26 disposed between the first region 22 and the second region 24.
The substrate 12 may include, for example, a semiconductor material such as silicon (Si) or germanium (Ge), or may include at least one compound semiconductor selected from among SiGe, silicon carbide (SiC), gallium arsenide (GaAs), indium arsenide (InAs), and indium phosphide (InP). The substrate 12 may include a conductive region (for example, an impurity-doped well or an impurity-doped structure).
In some embodiments, the first region 22 may be a memory cell region of the integrated circuit device 10. In some embodiments, the first region 22 may be a memory cell region of dynamic random access memory (DRAM). The first region 22 may include a unit memory cell including a transistor and a capacitor or a unit memory cell including a switching element and a variable resistor.
The second region 24 may be a core region or a peripheral circuit region. Peripheral circuits used for driving memory cells of the first region 22 may be disposed in the second region 24.
A plurality of conductive lines, which are installed to enable an electrical connection between the first region 22 and the second region 24, and a plurality of insulation structures for insulating the first region 22 from the second region 24 may be disposed in the interface region 26.
FIG. 2 is a block diagram for describing an example configuration of an integrated circuit device including DRAM.
Referring to FIG. 2, in the integrated circuit device 10, the first region 22 may be a memory cell region of the DRAM, and the second region 24 may be a peripheral circuit region of the DRAM. The first region 22 may include a memory cell array 22A. In the memory cell array 22A, a plurality of memory cells for storing data may be arranged in a row direction and a column direction. The plurality of memory cells may each include a cell capacitor and an access transistor. A gate of the access transistor may be connected to a corresponding word line of a plurality of word lines arranged in the row direction, one of a source and a drain of the access transistor may be connected to a complementary bit line or a bit line arranged in the column direction, and the other of the source and the drain may be connected to the cell capacitor.
The second region 24 may include a row decoder 52, a sense amplifier 54, a column decoder 56, a self-refresh control circuit 58, a command decoder 60, a mode register set/extended mode register set (MRS/EMRS) circuit 62, an address buffer 64, and a data input/output circuit 66.
The sense amplifier 54 may sense and amplify data of a memory cell and may store amplified data in the memory cell. The sense amplifier 54 may be implemented as a cross-coupled amplifier connected between a bit line and a complementary bit line each included in the memory cell array 22A.
Data DQ input through the data input/output circuit 66 may be written in the memory cell array 22A, based on an address signal ADD. The data DQ, which is read from the memory cell array 22A on the basis of the address signal ADD, may be output to a destination outside of the integrated circuit device 10 through the data input/output circuit 66. The address signal ADD may be input to the address buffer 64, for designating a memory cell which data is to be written in or read from. The address buffer 64 may temporarily store the address signal ADD input from a source outside of the integrated circuit device 10.
The row decoder 52 may decode a row address included in the address signal ADD output from the address buffer 64, for designating a word line connected to a memory cell which data is to be input to or output from. That is, in a data write or read mode, the row decoder 52 may decode the row address output from the address buffer 64 to enable a corresponding word line. Also, in a self-refresh mode, the row decoder 52 may decode a row address generated from an address counter to enable a corresponding word line.
The column decoder 56 may decode a column address included in the address signal ADD output from the address buffer 64, for designating a bit line connected to the memory cell which data is to be input to or output from. The memory cell array 22A may output data from the memory cell designated by the row address and the column address, or may write data in the memory cell.
The command decoder 60 may receive a command signal CMD applied from a source outside of the integrated circuit device 10 and may decode the command signal CMD to internally generate a decoded command signal (for example, a self-refresh entry command or a self-refresh exit command).
The MRS/EMRS circuit 62 may set an internal mode register in response to an MRS/EMRS command and the address signal ADD for designating an operation mode of the integrated circuit device 10.
In some embodiments, the integrated circuit device 10 may further include a clock circuit for generating a clock signal and a power circuit which receives a source voltage applied from a source outside of the integrated circuit device 10 to generate or divide an internal voltage.
The self-refresh control circuit 58 may control a self-refresh operation of the integrated circuit device 10 in response to a command output from the command decoder 60. The command decoder 60 may include an address counter, a timer, and a core voltage generator. In response to a self-refresh entry command output from the command decoder 60, the address counter may generate a row address signal for designating a row address which is to be self-refreshed and may apply the generated row address signal to the row decoder 52. The address counter may end a counting operation in response to a self-refresh exit command output from the command decoder 60.
FIG. 3 is a plan view illustrating a schematic configuration of an integrated circuit device 70 according to some embodiments. In FIGS. 1 and 3, like reference numerals refer to like elements, and thus, their detailed description may be omitted in FIG. 3.
Referring to FIG. 3, the integrated circuit device 70 may include a plurality of first regions 22. Each of the plurality of first regions 22 may be surrounded by a second region 24 with an interface region 26 therebetween. In the integrated circuit device 70, each of the plurality of first regions 22 may be a memory cell array region MCA of DRAM, and the second region 24 may be a peripheral circuit region of the DRAM.
In the plurality of first regions 22, the memory cell array region MCA may include the memory cell array 22A described above with reference to FIG. 2. Each of the plurality of first regions 22 may be surrounded by the interface region 26.
The second region 24 may include a sub-word line driver block SWD, a sense amplifier block S/A, and a conjunction block CJT. In the second region 24, a plurality of sub-word line driver blocks SWD may be arranged in a word line direction of the memory cell array region MCA, and a plurality of sense amplifier blocks S/A may be arranged in a bit line direction of the memory cell array region MCA. A plurality of bit line sensor amplifiers may be provided in each of the sense amplifier blocks S/A. The conjunction block CJT may be provided at a point at which each of the sub-word line driver blocks SWD intersects with a corresponding sense amplifier block S/A. A plurality of power drivers and a plurality of ground drivers for driving the bit line sense amplifiers may be alternately arranged in the conjunction block CJT.
In some embodiments, peripheral circuits such as an input/output circuit and an inverter chain may be further provided in the second region 24.
FIG. 4 is a plan layout for describing main elements of the memory cell array region MCA illustrated in FIG. 3.
Referring to FIG. 4, the memory cell array region MCA may include a plurality of active regions A1. The plurality of active regions A1 may be disposed to include a long axis extending (i.e., extending longitudinally) in a first direction (a W-axis direction). Some of the plurality of active regions A1 may be arranged in one row in a second direction (an X-axis direction) that intersects the first direction (the W-axis direction). Others of the plurality of active regions A1 may be arranged in one row in a third direction (a Y-axis direction).
A plurality of word lines WL may extend in parallel in the second direction (the X-axis direction) across the plurality of active regions A1. A plurality of bit lines BL may be disposed on the plurality of word lines WL and may extend in parallel in the third direction (the Y-axis direction) intersecting with the second direction (the X-axis direction). Each of the plurality of bit lines BL may be connected to a corresponding active region A1 through a direction contact DC.
A plurality of buried contacts BC may be provided between two adjacent bit lines BL of the plurality of bit lines BL. The plurality of buried contacts BC may approximately be arranged in one row in the second direction (the X-axis direction) and the third direction (the Y-axis direction). In some embodiments, a plurality of landing pads may be provided on the plurality of buried contacts BC. Each of the plurality of buried pads BC and a corresponding landing pad may connect a bottom electrode of a capacitor, provided on a corresponding bit line BL, to a corresponding active region A1. Each of the plurality of landing pads may be disposed to partially overlap a corresponding buried contact BC.
FIG. 5 is a diagram for describing main elements of a semiconductor device according to some embodiments, FIG. 5 being a cross-sectional view illustrating some elements of a cross-sectional surface taken along line V-V′ of FIG. 4.
Referring to FIGS. 4 and 5, a substrate 101 may be provided, and the substrate 101 may include a plurality of active regions A1 and an isolation region ISO which electrically isolates the plurality of active regions A1.
The substrate 101 may include a bulk silicon substrate, a silicon on insulator (SOI) substrate, a germanium substrate, a germanium on insulator (GOI) substrate, a silicon germanium substrate, or an epitaxial thin film substrate obtained by performing selective epitaxial growth (SEG).
Each of the active regions A1 of the substrate 101 may have a bar shape, and a long axis of each of the active regions A1 may extend in a first direction (a W-axis direction) which is a diagonal direction with respect to an extension direction of a plurality of word lines WL and a plurality of bit lines BL.
The isolation region ISO may surround the plurality of active regions A1 and may electrically isolate the plurality of active regions A1 from each other. The isolation region ISO may include high-density plasma (HDP) oxide, tetraethyl orthosilicate (TEOS), plasma enhanced TEOS (PE-TEOS), O3-TEOS, undoped silicate glass (USG), phosphosilicate glass (PSG), borosilicate glass (BSG), borophosphosilicate glass (BPSG), fluoride silicate glass (FSG), spin on glass (SOG), tonen silazene (TOSZ), or a combination thereof.
A plurality of gate trenches (for example, first to fourth gate trenches) GT1 to GT4 may be provided to extend across the plurality of active regions A1 and the isolation region ISO. The plurality of gate trenches GT1 to GT4 may extend in a lengthwise direction thereof and may alternately pass through the plurality of active regions A1 and the isolation region ISO. As illustrated in FIG. 4, the plurality of gate trenches GT1 to GT4 may have different widths in the first direction (the W-axis direction) by extending in the lengthwise direction thereof and alternately passing through the plurality of active regions A1 and the isolation region ISO.
In FIG. 5, the first gate trench GT1 and the fourth gate trench GT4 may pass through the isolation region ISO, and the second gate trench GT2 and the third gate trench GT3 may pass through a corresponding active region A1. However, it may be understood by those of ordinary skill in the art that, in a process of extending the first to fourth gate trenches GT1 to GT4, the first gate trench GT1 and the fourth gate trench GT4 may simultaneously or independently pass through the corresponding active region A1, based on a longitudinal position thereof, and the second gate trench GT2 and the third gate trench GT3 may simultaneously or independently pass through the isolation region ISO, based on the longitudinal position thereof.
The second gate trench GT2 and the third gate trench GT3 may pass through the corresponding active region A1 to have a first trench width TW1 in the first direction (the W-axis direction). Also, the first gate trench GT1 and the fourth gate trench GT4 may pass through the isolation region ISO to have a second trench width TW2 in the first direction (the W-axis direction).
The first trench width TW1 may be greater than the second trench width TW2. In some embodiments, the first trench width TW1 may be about 100% to about 140% (or about 110% to about 140%) of the second trench width TW2.
If instead the second trench width TW2 is too much narrower than the first trench width TW1 (e.g., if the first trench width TW1 is significantly greater than about 140% of the second trench width TW2), resistances of a plurality of gate structures (for example, first to fourth gate structures) 110A to 110D respectively provided in the gate trenches GT1 to GT4 may increase, causing a reduction in performance of the semiconductor device.
Moreover, when the second trench width TW2 has a size which is the same as or similar to the first trench width TW1, electrical interference between the gate structures 110A to 110D respectively provided in the gate trenches GT1 to GT4 may increase, causing a reduction in reliability of the semiconductor device.
In each of the active regions A1, a gate dielectric layer 120 may be provided on an inner surface of each of the gate trenches GT1 to GT4. The gate dielectric layer 120 may include silicon oxide, silicon oxynitride, an oxide/nitride/oxide (ONO) film, or a high-k dielectric film having a dielectric constant which is higher than that of silicon oxide. The high-k dielectric film may have a dielectric constant of about 10 to about 25, and for example, may include hafnium oxide (HfO2), aluminum oxide (A1 2O3), hafnium aluminum oxide (HfAlO3), tantalum oxide (Ta2O3), or titanium oxide (TiO2).
In some embodiments, at least a portion of the gate dielectric film 120 may be obtained by thermally oxidizing each of the active regions A1. However, the present inventive concepts are not limited thereto.
In a case where the gate dielectric layer 120 is formed by deposition, the gate dielectric layer 120 may be provided on the inner surface of each of the gate trenches GT1 to GT4 in the isolation region ISO.
The gate structures 110A to 110D may be respectively provided in the gate trenches GT1 to GT4. Each of the gate structures 110A to 110D may include a lower gate line 110M, an upper gate line 110P, and a capping layer 110K covering the upper gate line 110P.
The lower gate line 110M may include, for example, conductive metal or conductive nitride thereof. In some embodiments, the lower gate line 110M may include titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), tungsten nitride (WN), titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), or a combination thereof. However, the present inventive concepts are not limited thereto.
The upper gate line 110P may include, for example, doped polysilicon. However, the present inventive concepts are not limited thereto.
The capping layer 110K may include silicon nitride, silicon oxynitride, silicon oxide, or a combination thereof.
Each of the gate structures 110A to 110D may extend across the plurality of active regions A1 and the isolation region ISO. Each of the gate structures 110A to 110D may extend in a lengthwise direction thereof and may alternately pass through the plurality of active regions A1 and the isolation region ISO. As illustrated in FIG. 4, the plurality of gate structures 110A to 110D may have different widths in the first direction (the W-axis direction) by extending in the lengthwise direction thereof and alternately passing through the plurality of active regions A1 and the isolation region ISO.
In FIG. 5, the first gate structure 110A and the fourth gate structure 110D may pass through the isolation region ISO, and the second gate structure 110B and the third gate structure 110C may pass through the active regions A1. However, it may be understood by those of ordinary skill in the art that, in a process of extending the first to fourth structures 110A to 110D, the first gate structure 110A and the fourth gate structure 110D may simultaneously or independently pass through the corresponding active region A1, based on a longitudinal position thereof, and the second gate structure 110B and the third gate structure 110C may simultaneously or independently pass through the isolation region ISO, based on a longitudinal position thereof.
The second gate structure 110B and the third gate structure 110C may pass through the corresponding active region A1 to have a first width W1 in the first direction (the W-axis direction). Also, the first gate structure 110A and the fourth gate structure 110D may pass through the isolation region ISO to have a second width W2 in the first direction (the W-axis direction).
The first width W1 may be greater than the second width W2. In some embodiments, the first width W1 may be about 110% to about 140% of the second width W2.
If instead the second width W2 is too much narrower than the first width W1 (e.g., if the first width W1 is significantly greater than about 140% of the second width W2), resistances of the plurality of gate structures 110A to 110D may increase, causing a reduction in performance of the semiconductor device.
Moreover, when the second width W2 has a size which is the same as or similar to the first width W1, electrical interference between the gate structures 110A to 110D may increase, causing a reduction in reliability of the semiconductor device.
Two gate structures (for example, the second gate structure 110B and the third gate structure 110C each illustrated in FIG. 5) passing through a common active region A1 may be separated from each other by a first distance GD1. Also, a gate structure passing through the common active region A1 and another gate structure which does not pass through the common active region A1 in adjacency thereto, (for example, the second gate structure 110B and the first gate structure 110A each illustrated in FIG. 5), may be separated from each other by a second distance GD2. In some embodiments, the first distance GD1 may be less than the second distance GD2.
As the size of the semiconductor device decreases, a current of a conductive line which passes by the semiconductor device in adjacency to the semiconductor device may affect an operation of the semiconductor device, and thus, a distance between the semiconductor device and a conductive line passing by the semiconductor device may be largely reduced, thereby possibly reducing device reliability. In the example of FIGS. 4 and 5, a distance (for example, the second distance GD2) to a gate structure passing through the isolation region ISO may be greater than a distance (for example, the first distance GD1) between two gate structures in each of the active regions A1, and thus, a possibility of electrical interference between gate structures may be reduced, thereby enhancing device reliability.
In some embodiments, lower ends of the gate trenches GT1 to GT4 may be disposed on substantially the same level.
A plurality of source/drain regions SD may be provided near upper surfaces of the plurality of active regions A1.
Also, in the corresponding active region A1, a bit line BL may extend in a direction differing from the first direction (the W-axis direction). In some embodiments, the bit line BL may extend in the third direction (the Y-axis direction) crossing the second direction (the X-axis direction) in which a word line WL (i.e., gate structures) extends. Accordingly, the gate structures 110A-110D may comprise respective word lines WL, which may each have a first portion in one or more active regions A1 that is wider, in the first direction (the W-axis direction), than a second portion in an isolation region ISO.
The bit line BL may be connected to the corresponding active region A1 through a direct contact DC.
The direct contact DC may include a contact semiconductor layer. In some embodiments, the contact semiconductor layer may include polysilicon doped with a P-type dopant or an N-type dopant. For example, the direct contact DC may include a polysilicon layer doped at a doping concentration selected within a range of about 1×1020 cm−3 to about 9×1020 cm−3.
The bit line BL may include a first conductive layer 131, a second conductive layer 132 disposed on the first conductive layer 131, and a spacer SP covering a side surface of each of the first and second conductive layers 131 and 132.
The first conductive layer 131 may include a semiconductor layer and may contact an upper surface of the direct contact DC. The first conductive layer 131 may have a doping concentration which is higher than that of a contact semiconductor layer of the direct contact DC. In some embodiments, the first conductive layer 131 may include polysilicon doped with a P-type dopant or an N-type dopant. The first conductive layer 131 may be doped with a dopant having the same conductive type as that of a dopant included in the direct contact DC. For example, the first conductive layer 131 may include a polysilicon layer doped at a doping concentration selected within a range of about 2×1020 cm−3 to about 9×1021 cm−3.
The second conductive layer 132 may include TiSiN, TiN, TaN, cobalt nitride (CoN), metal, metal silicide, or a combination thereof. The metal and the silicide may include tungsten (W), molybdenum (Mo), gold (Au), copper (Cu), aluminum (A1), nickel (Ni), or cobalt (Co). The second conductive layer 132 may include a single layer, or may include two or more layers which are stacked. In some embodiments, the second conductive layer 132 may include a lower layer including TiSiN and an upper layer which includes W and is disposed on the lower layer.
The spacer SP may include oxide, nitride, an air spacer, or a combination thereof. The air spacer may include other gases in air or which occur in a process of manufacturing the semiconductor device.
The corresponding active region A1 may be connected to a capacitor CAP through a buried contact BC.
The buried contact BC may include a conductive material, and for example, may include at least one of doped polysilicon, a metal material, and conductive metal nitride. For example, the buried contact BC may include W and tungsten nitride (WxN1-x).
The buried contact BC may be provided in an interlayer insulation layer IL1. The interlayer insulation layer IL1 may include, for example, a silicon oxide film which is formed by a chemical vapor deposition (CVD) process.
The capacitor CAP may include a bottom electrode 180, a dielectric layer 181, and a top electrode 182.
The bottom electrode 180 and the top electrode 182 may each include at least one of an impurity-doped semiconductor material (for example, doped polysilicon), conductive metal nitride (for example, titanium nitride, tantalum nitride, or tungsten nitride), metal (for example, ruthenium, iridium, titanium, or tantalum), and a conductive metal compound (for example, oxide iridium).
The dielectric layer 181 may include a single layer including a material selected from among metal oxide (for example, HfO2, zirconium oxide (ZrO2), A1 2O3, lanthanum oxide (La2O3), Ta2O3, or TiO2) and a dielectric material (for example, strontium titanate (SrTiO3 (STO)), barium strontium titanate ((Ba,Sr)TiO3 (BST)), BaTiO3, lead zirconate titanate (PZT), or lead lanthanum zirconium titanate (PLZT)) having a Perovskite structure, or may include a combination thereof.
FIG. 6 is a diagram for describing main elements of a semiconductor device according to some embodiments. FIG. 6 may correspond to a cross-sectional surface taken along line V-V′ of FIG. 4.
The semiconductor device illustrated in FIG. 6 may have a difference with the semiconductor device illustrated in FIG. 5 in terms of second and third gate structures 110B and 110C and a level of a lower end of each of first and fourth gate trenches GT1 and GT4 that contacts an active region A1. Hereinafter, therefore, the difference(s) will be mainly described, and repeated descriptions of elements of FIG. 6 and elements of FIG. 5 may be omitted.
In the active region A1, a gate trench (for example, a second gate trench GT2 or a third gate trench GT3 in FIG. 6) may have a first level LV1 with respect to a floor surface of an isolation region ISO. Also, a gate trench (for example, the first gate trench GT1 or the fourth gate trench GT4 in FIG. 6) in an isolation region ISO may have a second level LV2 with respect to a floor surface of the isolation region ISO. The second level LV2 may be lower than the first level LV1. In other words, a depth of the first gate trench GT1 or the fourth gate trench GT4 passing through the isolation region ISO may be deeper than that of the second gate trench GT2 or the third gate trench GT3 passing through the active region A1.
This may be because the second gate structure 110B or the third gate structure 110C passing through the active region A1 partially surrounds the active region A1, contacts a sidewall contact portion SDL, and passes through the active region A1.
FIG. 7 is a plan view illustrating a plurality of active regions A1 and a gate structure 110 in a semiconductor device according to some embodiments.
Referring to FIG. 7, the gate structure 110 may extend in a second direction (an X-axis direction) across the plurality of active regions A1. The gate structure 110 illustrated in FIG. 7 may have a curved side profile unlike FIG. 4 where each gate structure has a rectilinear side profile.
Particularly, the gate structure 110 may have a side profile 110VX which convexly protrudes in an active region A1. In some embodiments, a width of the gate structure 110 in a first direction (a W-axis direction) may be reduced in a direction away from the active region A1. In some embodiments, a width of the gate structure 110 in the first direction may have its highest value in the active region A1.
The gate structure 110 may have a first average width WA1 in the first direction (the W-axis direction) in a first active region A11 of the active region A1, and the width of the gate structure 110 in the first direction may gradually vary in embodiments where the gate structure 110 extends toward a second active region A12 of the active region A1 in a lengthwise direction thereof. In some embodiments, the width of the gate structure 110 in the first direction may gradually decrease in embodiments where the gate structure 110 extends from the first active region A11 to the second active region A12 in the lengthwise direction thereof. Here, “a gradual reduction” in width of the gate structure 110 in the first direction may not denote that the width of the gate structure 110 in the first direction is gradually reduced with respect to a total length between the first active region A11 and the second active region A12.
The width of the gate structure 110 in the first direction may decrease by a certain size from the first active region A11, and then, may again increase as the gate structure 110 becomes closer to the second active region A12. In some embodiments, a reduction and an increase in width of the gate structure 110 in the first direction may be repeated once or more between the first active region A11 and the second active region A12.
Moreover, the gate structure 110 may have a second average width WA2 in the first direction in the second active region A12, and the width of the gate structure 110 in the first direction may gradually vary in embodiments where the gate structure 110 extends toward the first active region A11 in the lengthwise direction thereof. The first average width WA1 may be the same as or different from the second average width WA2.
The gate structure 110 may have a third average width WA3 in an isolation region ISO. The first average width WA1 may be about 110% to about 140% of the third average width WA3. If instead the first average width WA1 is too much greater than (e.g., substantially higher than 140% of) the third average width WA3, an electrical resistance may excessively increase, and due to this, device performance may be reduced. On the other hand, when the first average width WA1 is the same as or similar to the third average width WA3, electrical interference between two adjacent gate structures 110 may increase, and due to this, the reliability of the semiconductor device may be reduced.
Moreover, two adjacent gate structures 110 may be separated from each other by a first distance GD in the first direction, and the first distance GD may gradually vary based on positions of the two adjacent gate structures 110 in a lengthwise direction. As used herein with respect to two gate trenches GT (or two gate structures 110), the term “adjacent” means that no other gate trench GT (or no other gate structure 110) intervenes therebetween.
Referring again to FIG. 7, a gate trench GT may extend in the second direction (the X-axis direction) across the plurality of active regions A1. The gate trench GT illustrated in FIG. 7 may have a curved side profile unlike FIG. 4 where each gate trench has a rectilinear side profile.
The gate trench GT may have a first trench average width TWA1 in the first direction (the W-axis direction) in the first active region A11, and a width of the gate trench GT in the first direction may gradually vary in embodiments where the gate trench GT extends toward the second active region A12 in a lengthwise direction thereof. In some embodiments, the width of the gate trench GT in the first direction may gradually decrease in embodiments where the gate trench GT extends from the first active region A11 to the second active region A12 in the lengthwise direction thereof. Here, “a gradual reduction” in width of the gate trench GT in the first direction may not denote that the width of the gate trench GT in the first direction is gradually reduced with respect to the total length between the first active region A11 and the second active region A12.
The width of the gate trench GT in the first direction may decrease by a certain size from the first active region A11, and then, may again increase as the gate trench GT becomes closer to the second active region A12. In some embodiments, a reduction and an increase in width of the gate trench GT in the first direction may be repeated once or more between the first active region A11 and the second active region A12.
Moreover, the gate trench GT may have a second trench average width TWA2 in the first direction in the second active region A12, and the width of the gate trench GT in the first direction may gradually vary in embodiments where the gate trench GT extends toward the first active region A11 in the lengthwise direction thereof. The first trench average width TWA1 may be the same as or different from the second trench average width TWA2.
The gate trench GT may have a third trench average width TWA3 in the isolation region ISO. The first trench average width TWA1 may be about 110% to about 140% of the third trench average width TWA3. If instead the first trench average width TWA1 is too much greater than (e.g., substantially higher than 140% of) the third trench average width TWA3, an electrical resistance may excessively increase, and due to this, device performance may be reduced. On the other hand, when the first trench average width TWA1 is the same as or similar to the third trench average width TWA3, electrical interference between two adjacent gate structures 110 may increase, and due to this, the reliability of the semiconductor device may be reduced.
In some embodiments, in the active region A1, a concave portion of the gate trench GT may correspond to a convex portion A1 CC of the gate structure 110. That is, in the active region A1, the gate structure 110 may have a convex shape, based on a concave shape of the gate trench GT. In this case, a gate dielectric layer 120 may be disposed between the gate structure 110 and the gate trench GT.
FIG. 8 is an image obtained by photographing a semiconductor device manufactured according to some embodiments with a scanning electron microscope (SEM).
Referring to FIG. 8, a plurality of active regions A1 may be arranged in an isolation region ISO similarly to the arrangement illustrated in FIG. 4. Also, a plurality of gate structures 110 may extend in a second direction (an X-axis direction) across the plurality of active regions A1. A width of each of the gate structures 110 may not be constant and may vary, based on a longitudinal position thereof. Particularly, each of the gate structures 110 may have a wider width in a portion overlapping the active regions A1 and may have a narrower width in the isolation region ISO.
Moreover, it may be seen that the width of each of the gate structures 110 gradually varies based on the longitudinal position thereof.
FIGS. 9A to 9D are side cross-sectional views illustrating a method of manufacturing a semiconductor device, according to some embodiments.
Referring to FIG. 9A, an active region A1 and an isolation region ISO may be defined on a substrate 101. The isolation region ISO and the substrate 101 including the active region A1 have been described above with reference to FIG. 5, and thus, repetition of their detailed descriptions may be omitted.
A recess may be formed in the substrate 101, for forming the isolation region ISO. A mask pattern may be formed, and then, the recess may be formed by performing an anisotropic etching process. Subsequently, a gap-fill oxide layer may be formed and planarized in the recess. The gap-fill oxide layer may include HDP oxide, TEOS, PE-TEOS, O3-TEOS, USG, PSG, BSG, BPSG, FSG, SOG, TOSZ, or a combination thereof.
Referring to FIG. 9B, a plurality of gate trenches GT1 to GT4 may be formed to extend in one direction. The gate trenches GT1 to GT4 may be formed by a photolithography process.
The gate trenches GT1 to GT4 may pass through the isolation region ISO and the active region A1 and may extend in the one direction. An extension direction of each of the gate trenches GT1 to GT4 may be vertical to a long-axis direction of the active region A1, or may be diagonally inclined.
The gate trenches GT1 to GT4 may have substantially the same width.
Referring to FIG. 9C, in the active region A1, the gate trenches GT2 and GT3 may be formed/enlarged. The gate trenches GT2 and GT3 may each have an enlarged width.
In order to enlarge the gate trenches GT1 to GT4 in the active region A1, a wet etching process may be performed on the gate trenches GT1 to GT4 in the active region A1. The wet etching process may be performed by using an etchant which does not etch the isolation region ISO or very slowly etches the isolation region ISO and very quickly etches silicon included in the active region A1.
The etchant may be, for example, an etchant which does not etch silicon oxide or very slowly etches silicon oxide and very quickly etches silicon.
In some embodiments, the etchant may be a mixed solution of ammonium hydroxide (NH4OH), oxygenated water (H2O2), and water (H2O). In some embodiments, the etchant may be a mixed solution of hydrochloric acid (HCl), H2O2, and H2O. In some embodiments, the etchant may be a mixed solution of sulphuric acid (H2SO4), H2O2, and H2O. A content of each component may be adjusted depending on the case.
In some embodiments, the etchant may be, for example, SC1, SC2, ammonium peroxide mixture (APM), sulfuric acid peroxide mixture (SPM), hydrochloric peroxide mixture (HPM), or a combination thereof. However, the etchant is not limited thereto.
In some embodiments, the etchant may contact the active region A1 at a temperature of about 60° C. to about 90° C. for about 1 minute to about 30 minutes.
FIG. 10 is a perspective view illustrating an active region enlarged by an etchant. Referring to FIG. 10, a plurality of gate trenches GT2 and GT3 may pass through an isolation region ISO and an active region A1 buried thereinto and may extend.
The gate trenches GT2 and GT3 may each have a fifth trench width TWa in a portion other than the active region A1 and may each have a sixth trench width TWb which is greater than the fifth trench width TWa.
Particularly, in the active region A1, the gate trenches GT2 and GT3 may each have an inner concave surface. An isotropic etching process using an etchant may be performed based on a chemical reaction. A speed of the chemical reaction may be affected by various variables, and a concentration of a reactant may be one of the various variables.
An exposed sidewall of the active region A1 may be partially and isotropically removed by the etchant. The example shown in FIG. 10 is not limited to a specific theory. The transfer of materials may be smoothly performed in a horizontal-direction center portion of the exposed sidewall of the active region A1, and thus, the concentration of the etchant in the horizontal-direction center portion of the exposed sidewall of the active region A1 may be higher than in a horizontal-direction edge portion of the exposed sidewall of the active region A1, whereby an isotropic etching reaction may be more smoothly performed. Accordingly, more of the horizontal-direction center portion of the exposed sidewall of the active region A1 may be removed, and thus, may have a concave sidewall profile.
As described above, the etchant may be selected from among various materials so that an etching speed difference occurs with respect to the isolation region ISO and the active region A1, and thus, while the active region A1 is being etched, the isolation region ISO may not be etched or may be slightly etched.
The sixth trench width TWb of each of the gate trenches GT2 and GT3 in the active region A1 may be about 110% to about 140% of the fifth trench width TWa of each of the gate trenches GT2 and GT3 in the isolation region ISO. Each of the fifth trench width TWa and the sixth trench width TWb may vary based on a lengthwise direction in the isolation region ISO and the active region A1. In some embodiments, each of the fifth trench width TWa and the sixth trench width TWb may be represented by an average value based on the lengthwise direction in the isolation region ISO and the active region A1.
Referring again to FIG. 9C, the gate trenches GT2 and GT3 of the active region A1 may each have a width which is wider than that of each of the gate trenches GT1 and GT4 passing through the isolation region ISO. A lateral width of each of the gate trenches GT2 and GT3 of the active region A1 may be enlarged by the etchant, and thus, a depth of each of the gate trenches GT2 and GT3 of the active region A1 may be slightly deepened (in a vertical/Z-axis direction).
However, the gate trenches GT1 to GT4 may have a structure having a high aspect ratio, and thus, in comparison with enlargement of a lateral width, an increase in a longitudinal depth may not be large and may very slightly affect a shape of each gate trench.
Referring to FIG. 9D, a gate dielectric layer 120 may be formed in each of the gate trenches GT1 to GT4, and a plurality of gate structures 110A to 110D each including a lower gate line 110M, an upper gate line 110P, and a capping layer 110K may be formed on the gate dielectric layer 120.
The gate dielectric layer 120 may be formed by thermal oxidization performed on the active region A1 or deposition performed on a dielectric material. The thermal oxidization of the active region A1 may be performed by heating the active region A1 at an oxidization atmosphere. The deposition of the dielectric material may be performed by a CVD process, an atomic layer deposition (ALD) process, or a physical vapor deposition (PVD) process, but is not limited thereto. A material of the gate dielectric layer 120 has been described above with reference to FIGS. 4 and 5, and thus, its repetitive description may be omitted.
The material layers (for example, the gate dielectric layer 120, the lower gate line 110M, the upper gate line 110P, and the capping layer 110K) may be formed, and then, by performing an etchback process on each of the materials, the gate structures 110A to 110D may be formed.
In some embodiments, before forming the gate dielectric layer 120, the isolation region ISO may be partially removed through an anisotropic etching process. The semiconductor device illustrated in FIG. 6 may be provided by the anisotropic etching process. In detail, when a portion of the isolation region ISO (particularly, a portion of a floor of each of the gate trenches GT1 to GT4 in the isolation region ISO) is removed, a portion of a floor of each of the gate trenches GT1 to GT4 in the active region A1 may relatively protrude toward an upper portion. Subsequently, when the gate structures 110A to 110D are formed, the semiconductor device may have a wider channel width because the gate structures 110A to 110D partially surround the active region A1 and contact a sidewall contact portion SDL (see FIG. 6) while passing through the active region A1.
After the gate structures 110A to 110D are formed, a direct contact DC and a bit line BL which are electrically connected to the active region A1 at a first location that is on/adjacent one side of each of the gate structures 110B and 110C may be formed. Also, a capacitor CAP electrically connected to the active region A1 at a second location that is on/adjacent the other (e.g., opposite) side of each of the gate structures 110B and 110C may be formed (see FIG. 5). The capacitor CAP may be electrically connected to the active region A1 through an interlayer insulation layer IL1 and a buried contact BC passing through the interlayer insulation layer IL1.
According to a method of manufacturing the semiconductor device according to some embodiments, a semiconductor device having an appropriate operation characteristic and high reliability may be provided.
Though the present inventive concepts have been particularly shown and described with reference to example embodiments thereof, it will be understood that various changes in form and details may be made therein without departing from the scope of the following claims.

Claims (20)

What is claimed is:
1. A semiconductor device comprising:
a substrate comprising a plurality of active regions that extend longitudinally in a direction and an isolation region that electrically isolates the plurality of active regions from each other;
a gate trench that extends across the plurality of active regions and the isolation region;
a gate structure that extends in the gate trench; and
a gate dielectric layer that is between the gate trench and the gate structure, in each of the plurality of active regions,
wherein the gate structure has a first width in the direction in each of the plurality of active regions and has a second width in the direction in the isolation region that is different from the first width, and
wherein the first width is about 110% to about 140% of the second width.
2. The semiconductor device of claim 1,
wherein the gate trench comprises a concave sidewall profile in each of the plurality of active regions,
wherein the first width is an average value of a plurality of widths in the direction in each of the plurality of active regions, and
wherein the second width is an average value of a plurality of widths in the direction in the isolation region.
3. The semiconductor device of claim 2, wherein, in each of the plurality of active regions, the gate structure has a convex sidewall profile, based on the concave sidewall profile of the gate trench in each of the plurality of active regions.
4. The semiconductor device of claim 1, wherein the gate structure gradually increases or decreases in width in the direction based on a longitudinal position of the gate structure.
5. The semiconductor device of claim 1, wherein the gate trench has a first trench width in the direction in each of the plurality of active regions and has a second trench width in the direction in the isolation region that is different from the first trench width.
6. The semiconductor device of claim 5, wherein the first trench width is greater than the second trench width.
7. The semiconductor device of claim 1, further comprising:
a capacitor that is electrically connected to one of the plurality of active regions at a first location that is adjacent a first side of the gate structure; and
a bit line that is electrically connected to the one of the plurality of active regions at a second location that is adjacent a second side of the gate structure that is opposite the first side.
8. The semiconductor device of claim 1, wherein a first depth of the gate trench in each of the plurality of active regions is substantially equal to a second depth of the gate trench in the isolation region.
9. The semiconductor device of claim 1, wherein a first depth of the gate trench in each of the plurality of active regions is shallower than a second depth of the gate trench in the isolation region.
10. The semiconductor device of claim 1, wherein the gate structure has first and second protruding portions that are opposite each other in the direction in each of the plurality of active regions.
11. The semiconductor device of claim 10,
wherein the gate structure comprises a first gate structure that is between second and third gate structures of the semiconductor device, and
wherein the first and second protruding portions protrude toward the second and third gate structures, respectively.
12. The semiconductor device of claim 1,
wherein the gate structure comprises a first gate structure having first and second protruding portions that are in a first active region among the plurality of active regions,
wherein the semiconductor device further comprises second and third gate structures that the first gate structure extends between,
wherein the first protruding portion and a third protruding portion of the second gate structure protrude toward each other in the first active region, and
wherein the second protruding portion protrudes toward a portion of the third gate structure that is in the isolation region.
13. A semiconductor device comprising:
a substrate comprising a plurality of active regions that extend longitudinally in a first direction and an isolation region that electrically isolates the plurality of active regions from each other;
a gate trench that extends across the plurality of active regions and the isolation region;
a gate structure that extends in the gate trench; and
a gate dielectric layer that is between the gate trench and the gate structure, in each of the plurality of active regions,
wherein a width of the gate structure in the first direction bilaterally increases or decreases based on a longitudinal position of the gate structure.
14. The semiconductor device of claim 13,
wherein the gate structure comprises a word line comprising:
a first portion in one or more of the plurality of active regions; and
a second portion in the isolation region, and
wherein the first portion of the word line is wider, in the first direction, than the second portion of the word line.
15. The semiconductor device of claim 13, wherein the gate structure has a convex sidewall profile in each of the plurality of active regions.
16. The semiconductor device of claim 15,
wherein, in the isolation region, the width of the gate structure decreases along a second direction away from one of the plurality of active regions, and
wherein the second direction intersects the first direction.
17. A semiconductor device comprising:
a substrate comprising a plurality of active regions that extend longitudinally in a direction and an isolation region that electrically isolates the plurality of active regions from each other;
a first gate trench and a second gate trench that each extend across the plurality of active regions and the isolation region, the first gate trench being adjacent the second gate trench;
a first gate structure and a second gate structure that extend in the first gate trench and the second gate trench, respectively;
a first gate dielectric layer that is between the first gate trench and the first gate structure, in the plurality of active regions;
a second gate dielectric layer that is between the second gate trench and the second gate structure, in the plurality of active regions;
a third gate trench that extends across the isolation region and across a first of the plurality of active regions that the second gate trench extends across; and
a third gate structure that extends in the third gate trench,
wherein a distance between the first gate structure and the second gate structure in the direction varies based on a longitudinal position of each of the first and second gate structures,
wherein the distance between the first gate structure and the second gate structure in the direction comprises a first distance by which a portion of the first gate structure and a portion of the second gate structure are spaced apart from each other in a second of the plurality of active regions, and
wherein a portion of the third gate structure that is in the isolation region is spaced apart from the portion of the first gate structure that is in the second of the plurality of active regions by a second distance in the direction that is longer than the first distance.
18. The semiconductor device of claim 17, wherein the distance between the first gate structure and the second gate structure in the direction gradually varies based on the longitudinal position of each of the first and second gate structures.
19. The semiconductor device of claim 18,
wherein the first gate structure and the second gate structure are separated from each other in the direction by a third distance where the first gate structure passes through a third of the plurality of active regions and the second gate structure passes through the isolation region, and
wherein the first distance is shorter than the third distance.
20. The semiconductor device of claim 17, wherein a first lowermost level of each of the first and second gate trenches in each of the plurality of active regions is equal to or higher than a second lowermost level of each of the first and second gate trenches in the isolation region.
US16/566,510 2018-11-26 2019-09-10 Semiconductor devices including a gate structure having multiple widths Active 2039-10-10 US11177264B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2018-0147680 2018-11-26
KR1020180147680A KR20200061871A (en) 2018-11-26 2018-11-26 Semiconductor device and method of fabricating the same

Publications (2)

Publication Number Publication Date
US20200168611A1 US20200168611A1 (en) 2020-05-28
US11177264B2 true US11177264B2 (en) 2021-11-16

Family

ID=70771560

Family Applications (1)

Application Number Title Priority Date Filing Date
US16/566,510 Active 2039-10-10 US11177264B2 (en) 2018-11-26 2019-09-10 Semiconductor devices including a gate structure having multiple widths

Country Status (3)

Country Link
US (1) US11177264B2 (en)
KR (1) KR20200061871A (en)
CN (1) CN111223862A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11348972B1 (en) 2020-12-29 2022-05-31 Changxin Memory Technologies, Inc. Semiconductor structure and manufacturing method thereof

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11502163B2 (en) 2019-10-23 2022-11-15 Nanya Technology Corporation Semiconductor structure and fabrication method thereof
EP3955296A4 (en) * 2020-05-18 2022-09-07 Changxin Memory Technologies, Inc. Semiconductor structure and method for forming same
US11437481B2 (en) * 2020-06-30 2022-09-06 Nanya Technology Corporation Semiconductor device with T-shaped buried gate electrode and method for forming the same
TWI749727B (en) * 2020-08-24 2021-12-11 力晶積成電子製造股份有限公司 Dynamic random access memory and method of forming the same
US11469234B2 (en) 2020-11-13 2022-10-11 Nanya Technology Corporation Semiconductor device having reduced contact resistance between access transistors and conductive features and method of manufacturing the same
US11521974B2 (en) 2020-11-16 2022-12-06 Nanya Technology Corporation Memory device with different types of capacitors and method for forming the same
US11967531B2 (en) 2020-12-29 2024-04-23 Changxin Memory Technologies, Inc. Semiconductor structure and forming method thereof
CN114695353B (en) * 2020-12-29 2023-10-13 长鑫存储技术有限公司 Semiconductor structure and forming method thereof
EP4086960A4 (en) * 2021-03-18 2023-08-30 Changxin Memory Technologies, Inc. Manufacturing method for semiconductor structure, and semiconductor structure
CN115274835A (en) * 2021-04-30 2022-11-01 长鑫存储技术有限公司 Preparation method and measurement method of semiconductor structure and semiconductor structure
TWI779627B (en) * 2021-05-25 2022-10-01 南亞科技股份有限公司 Semiconductor structure and method of fabricating the same

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040064924A (en) 2003-01-11 2004-07-21 삼성전자주식회사 MOSFET having recessed channel and fabricating method thereof
KR100513306B1 (en) 2003-10-29 2005-09-07 삼성전자주식회사 Semiconductor device including a T-shaped recessed gate and method of fabricating the same
KR100549008B1 (en) 2004-03-17 2006-02-02 삼성전자주식회사 method of fabricating a fin field effect transistor using an isotropic etching technique
KR20060062358A (en) 2004-12-03 2006-06-12 삼성전자주식회사 Method for manufacturing semiconductor device having recessed channel transistor
KR20070003062A (en) 2005-06-30 2007-01-05 주식회사 하이닉스반도체 Method for fabricating semiconductor device having recess channel
JP2008078644A (en) 2006-09-08 2008-04-03 Qimonda Ag Transistor, memory cell array and method of manufacturing transistor
US7701002B2 (en) 2006-06-28 2010-04-20 Samsung Electronics Co., Ltd. Semiconductor device having buried gate electrode and method of fabricating the same
US20100285644A1 (en) * 2009-05-08 2010-11-11 Lee Joo-Young Methods of Forming Semiconductor Devices Having Recessed Channels
US8048597B2 (en) 2006-09-08 2011-11-01 Hynix Semiconductor Inc. Semiconductor device with a bulb-type recess gate
US20170278848A1 (en) 2014-09-18 2017-09-28 Micron Technology, Inc. Semiconductor Device Having a Memory Cell and Method of Forming the Same
US10608086B2 (en) * 2016-12-29 2020-03-31 United Microelectronics Corp. Semiconductor structure with diffusion barrier region and manufacturing method thereof

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250342B2 (en) 2003-01-11 2007-07-31 Samsung Electronics Co., Ltd. Method of fabricating a MOSFET having a recessed channel
KR20040064924A (en) 2003-01-11 2004-07-21 삼성전자주식회사 MOSFET having recessed channel and fabricating method thereof
KR100513306B1 (en) 2003-10-29 2005-09-07 삼성전자주식회사 Semiconductor device including a T-shaped recessed gate and method of fabricating the same
KR100549008B1 (en) 2004-03-17 2006-02-02 삼성전자주식회사 method of fabricating a fin field effect transistor using an isotropic etching technique
US7153733B2 (en) 2004-03-17 2006-12-26 Samsung Electronics Co., Ltd. Method of fabricating fin field effect transistor using isotropic etching technique
KR20060062358A (en) 2004-12-03 2006-06-12 삼성전자주식회사 Method for manufacturing semiconductor device having recessed channel transistor
KR20070003062A (en) 2005-06-30 2007-01-05 주식회사 하이닉스반도체 Method for fabricating semiconductor device having recess channel
US7701002B2 (en) 2006-06-28 2010-04-20 Samsung Electronics Co., Ltd. Semiconductor device having buried gate electrode and method of fabricating the same
JP2008078644A (en) 2006-09-08 2008-04-03 Qimonda Ag Transistor, memory cell array and method of manufacturing transistor
US7612406B2 (en) 2006-09-08 2009-11-03 Infineon Technologies Ag Transistor, memory cell array and method of manufacturing a transistor
US8048597B2 (en) 2006-09-08 2011-11-01 Hynix Semiconductor Inc. Semiconductor device with a bulb-type recess gate
US20100285644A1 (en) * 2009-05-08 2010-11-11 Lee Joo-Young Methods of Forming Semiconductor Devices Having Recessed Channels
US20170278848A1 (en) 2014-09-18 2017-09-28 Micron Technology, Inc. Semiconductor Device Having a Memory Cell and Method of Forming the Same
US10608086B2 (en) * 2016-12-29 2020-03-31 United Microelectronics Corp. Semiconductor structure with diffusion barrier region and manufacturing method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11348972B1 (en) 2020-12-29 2022-05-31 Changxin Memory Technologies, Inc. Semiconductor structure and manufacturing method thereof

Also Published As

Publication number Publication date
KR20200061871A (en) 2020-06-03
US20200168611A1 (en) 2020-05-28
CN111223862A (en) 2020-06-02

Similar Documents

Publication Publication Date Title
US11177264B2 (en) Semiconductor devices including a gate structure having multiple widths
US11411010B2 (en) Semiconductor memory devices
US20210249418A1 (en) Semiconductor devices and methods of forming semiconductor devices
US11871559B2 (en) Semiconductor device and method of manufacturing the same
US10784266B2 (en) Integrated circuit device
TWI805759B (en) Integrated circuit device including gate spacer structure
CN114725065A (en) Semiconductor memory device with a plurality of memory cells
CN111740012A (en) Method of forming capacitor, semiconductor device, and fine pattern, and semiconductor device
CN113707612B (en) Memory device and method of forming the same
JPH1079478A (en) Dynamic ram device and its manufacture
US11968823B2 (en) Semiconductor memory devices having contact plugs
US9875932B2 (en) Methods of forming contact holes using pillar masks and mask bridges
CN115884592A (en) Semiconductor device with a plurality of transistors
US20230022373A1 (en) Semiconductor device
US20240074149A1 (en) Integrated circuit device
US20230389287A1 (en) Semiconductor device
US20240071771A1 (en) Method of manufacturing integrated circuit device
US20240074148A1 (en) Semiconductor device
TW202347720A (en) Semiconductor apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JEON, JAE-HYEON;PARK, SE-KEUN;PARK, DONG-SIK;AND OTHERS;SIGNING DATES FROM 20190702 TO 20190703;REEL/FRAME:050330/0855

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE