US10861884B2 - Light absorption apparatus - Google Patents

Light absorption apparatus Download PDF

Info

Publication number
US10861884B2
US10861884B2 US16/023,493 US201816023493A US10861884B2 US 10861884 B2 US10861884 B2 US 10861884B2 US 201816023493 A US201816023493 A US 201816023493A US 10861884 B2 US10861884 B2 US 10861884B2
Authority
US
United States
Prior art keywords
layer
substrate
counter doping
doping layer
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/023,493
Other versions
US20180308882A1 (en
Inventor
Szu-Lin Cheng
Shu-Lu Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Artilux Inc
Artilux Inc USA
Original Assignee
Artilux Inc USA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/940,572 external-priority patent/US9748307B2/en
Application filed by Artilux Inc USA filed Critical Artilux Inc USA
Priority to US16/023,493 priority Critical patent/US10861884B2/en
Publication of US20180308882A1 publication Critical patent/US20180308882A1/en
Assigned to Artilux Corporation reassignment Artilux Corporation ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, SHU-LU, CHENG, SZU-LIN
Assigned to ARTILUX, INC. reassignment ARTILUX, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: Artilux Corporation
Application granted granted Critical
Publication of US10861884B2 publication Critical patent/US10861884B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/1443Devices controlled by radiation with at least one potential jump or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022408Electrodes for devices characterised by at least one potential jump barrier or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02327Optical elements or arrangements associated with the device the optical elements being integrated or being directly associated to the device, e.g. back reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier
    • H01L31/105Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier the potential barrier being of the PIN type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier
    • H01L31/109Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier the potential barrier being of the PN heterojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • H01L31/1808Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System including only Ge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • H01L31/1812Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System including only AIVBIV alloys, e.g. SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1868Passivation
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02SGENERATION OF ELECTRIC POWER BY CONVERSION OF INFRARED RADIATION, VISIBLE LIGHT OR ULTRAVIOLET LIGHT, e.g. USING PHOTOVOLTAIC [PV] MODULES
    • H02S40/00Components or accessories in combination with PV modules, not provided for in groups H02S10/00 - H02S30/00
    • H02S40/40Thermal components
    • H02S40/44Means to utilise heat energy, e.g. hybrid systems producing warm water and electricity at the same time
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/60Thermal-PV hybrids

Definitions

  • the present disclosure relates to a light absorption apparatus, especially to a semiconductor based photodiode.
  • a semiconductor based photodiode typically includes an intrinsic semiconductor region between a P-type semiconductor and an N-type semiconductor doping regions.
  • the presence of an intrinsic region is in contrast to an ordinary PN diode, and the photons can be absorbed in the intrinsic region and the generated photo-carriers can be collected from the P-type and N-type regions.
  • the photodiode includes germanium as the photo-absorption material based on a silicon substrate.
  • a method for forming a light absorption apparatus includes: (1) forming an isolation layer above a substrate, (2) removing part of the isolation layer to expose a selected area, (3) forming a spacer covering at least part of the sidewall of the selected area, (4) epitaxially growing a first absorption layer including germanium within the selected area, (5) forming a passivation layer including Silicon above the first absorption layer, wherein the surface leakage current can be reduced by passivating the first absorption layer, and a low leakage and high sensitivity light absorption apparatus can be formed.
  • a method for forming a light absorption apparatus includes: (1) forming a first doping region at least partially embedded in a substrate, (2) forming a first layer above the first doping region, (3) forming a second layer including germanium above the first layer, (4) forming a third layer covering the second layer, (5) forming a fourth layer including oxide above the third layer, (6) forming a fifth layer including nitride above the fourth layer, (7) removing the fifth layer and stopping on the fourth layer, (8) forming a sixth layer above the fourth layer, wherein the second layer has lattice mismatch to the surface of the substrate, and the sixth layer has a predetermined thickness such that a predetermined reflectivity can be achieved when an optical signal passing and being reflected by the sixth layer, at least part of the optical signal is absorbed by the second layer.
  • a light absorption apparatus includes: a substrate, a light absorption layer above the substrate on a first selected area, a passivation layer including Silicon above the light absorption layer, a spacer surrounding at least part of the sidewall of the light absorption layer, an isolation layer surrounding at least part of the spacer, wherein the light absorption apparatus can achieve high bandwidth and low leakage current.
  • a light absorption apparatus includes: a substrate, a light absorption layer formed above the substrate and includes an upper part within a first opening and a lower part within a second opening at least partially overlapping with the first opening, a passivation layer including silicon above the upper part of the light absorption layer, a spacer surrounding at least part of the sidewall of the upper part of the light absorption layer, an isolation layer surrounding at least part of the spacer and the lower part of the light absorption layer, wherein light absorption apparatus can achieve high bandwidth and low leakage current.
  • FIG. 1 shows a PIN photodiode structure
  • FIGS. 2A to 2H show implementations to form a photodiode structure.
  • FIGS. 3A to 3C show implementations to form a counter doping layer in a photodiode structure.
  • FIGS. 4A to 4C show implementations to form a diffusion control layer or/and counter doping layer in a photodiode structure.
  • FIGS. 5A to 5B show implementations of the structure shown in FIG. 4A .
  • FIGS. 6A to 6E are the sectional views illustrating the manufacturing steps of forming a photodiode with etch/polish stopper according to another implementation of the present disclosure.
  • FIGS. 7A to 7E are the sectional views illustrating the manufacturing steps of forming a photodiode with etch/polish stopper according to still another implementation of the present disclosure.
  • FIGS. 8A to 8F are the sectional views illustrating the manufacturing steps of forming a photodiode with etch/polish stopper according to still another implementation of the present disclosure.
  • FIGS. 9A to 9D are the sectional views illustrating the manufacturing steps of forming a photodiode with conformal selective Ge etching process as isolation according to an implementation of the present disclosure
  • FIG. 9E is a sectional view showing photodiode with doping region instead of etching process as the isolation.
  • FIGS. 10A to 10L are the sectional views illustrating forming a photodiode with sidewall passivation, or/and interfacial layer, or/and multiple layer forming steps.
  • FIGS. 11A to 11K are the sectional views illustrating forming a photodiode with sidewall passivation, or/and interfacial layer.
  • FIGS. 12A to 12K are the sectional views illustrating forming a photodiode with multiple layer forming steps, or/and sidewall passivation, or/and interfacial layer.
  • FIG. 13 is a sectional view showing one photodiode of the present disclosure integrated with a transistor.
  • FIG. 14 shows a conventional chemical-vapor-deposition (CVD) based heteroepitaxial process that may cause substrate backside contamination.
  • CVD chemical-vapor-deposition
  • FIG. 15 shows an embodiment of a technique for reducing substrate backside contamination from blanket CVD-based heteroepitaxy.
  • FIGS. 16A to 16F are example processes for manufacturing the embodiment shown in FIG. 15 .
  • FIG. 17 shows a photodetector structure on which the introduced technique for reducing substrate backside contamination is applied.
  • FIGS. 18A to 18C are sectional views of a frontside incidence double-pass photodetector with an epitaxially grown etch-stop layer, in accordance with some embodiments.
  • FIGS. 19A to 19F are different examples of integrating the PD structures introduced in FIGS. 18A to 18C with transistors.
  • FIG. 20 shows another technique to implement the etch-stop layer introduced here.
  • FIG. 21 shows an example of integrating the PD structure introduced in FIG. 20 with transistors.
  • FIG. 1 shows a photodiode 10 a , which comprises a silicon (Si) substrate 100 a , an n-type doped region 110 a within the Si substrate 100 a located near the upper surface of the Si substrate 100 a , an intrinsic germanium (Ge) region 130 a arranged on the upper surface of the Si substrate 100 a , a p-type Ge region 132 a arranged on the upper surface of the intrinsic Ge region 130 a and an oxide passivation 180 a surrounding the intrinsic Ge region 130 a and the p-type Ge region 132 a as well as covering the upper surface of the Si substrate 100 a.
  • Si silicon
  • Ge intrinsic germanium
  • a heterogeneous interface is present between the Ge region 130 a and the underlying Si substrate 100 a .
  • Heterogeneous interface can be implemented by using heteroepitaxy, a type of epitaxy performed by growing a crystalline material of different elemental configurations than the crystalline substrate that is grown on. Examples include but are not limited to, GaN on sapphire, GaN on Si, Ge on Si.
  • the crystalline materials can be elemental or compound semiconductors.
  • Intrinsic semiconductor is a semiconductor exhibiting electrically neutral property.
  • a region with carrier concentration below 10 17 cm ⁇ 3 is considered intrinsic.
  • intrinsic material is sometimes difficult to obtain at the heterogeneous interface.
  • Electrically polarized layers often unintentionally formed near the interface due to lattice-mismatched defect formation, inter-diffusion (or cross diffusion) between two materials (Components of one material can sometimes become the other material's active dopants), contamination during film growth, or energy band alignment induced Fermi level pinning.
  • a p-type Ge layer is generally formed at the interface of Ge-on-Si system.
  • Ge mesa patterning is required to define the optical absorption area (namely the intrinsic Ge region 130 a in FIG. 1 ) if a blanket type epitaxial growth is used.
  • Blanket type epitaxial growth is an epitaxial growth performed on the entire substrate wafer surface.
  • Reactive ion etching (RIE) and inductively coupled plasma (ICP) etching are common methods for patterning Ge mesas after the blanket epitaxial growth where desired mesa sidewall angles are achieved with carefully engineered anisotropic etch recipes.
  • anisotropic etch normally involves ion bombardment on patterned structures and often leads to Ge sidewall surface damage.
  • a conformal damage-free selective Ge etch approach (selective over Si) is presented to remove the anisotropic etch induced damaged surface layers.
  • a selective etch can be defined as etch rate differences between Ge and Si larger than a 5 to 1 ratio.
  • the thickness of the photo-sensitive layer namely the intrinsic Ge region 130 a shown in FIG. 1
  • an optical reflector can be placed atop the photo-sensitive layer.
  • the reflector materials can include one dielectric layer (e.g., oxide or nitride), multiple dielectric layers, metal (e.g., Aluminum), or any combinations of materials listed above. Forming such reflectors requires strict thickness tolerance ( ⁇ 5%) to ensure the targeted reflectivity is within a desired spectrum, which can be relatively difficult for conventional foundries.
  • etch or polish stopping layer is presented in this disclosure to improve the thickness uniformity control for the desired reflector structure.
  • the light absorption apparatus will be exemplified as a PIN photodiode.
  • this particular example is not a limitation for the scope of the present disclosure.
  • a NIP structure can also be implemented by certain implementations of this disclosure.
  • other light absorption material such as SiGe with various Ge contents can be used.
  • FIGS. 2A to 2F are the sectional views illustrating the manufacturing steps of the light absorption apparatus according to the first embodiment of the present disclosure, where a counter doping layer is presented to reduce the operation bias or/and reduce the leakage current at the heterogeneous interface.
  • a semiconductor substrate 100 is provided and n+ doped layer 110 is formed near the upper face of the substrate 100 .
  • the n+ doped layer 110 may be formed methods such as, but not limited to, ion implantation, gas phase diffusion and epitaxial layer growth with simultaneous in-situ doping combined with optional thermal treatment procedures for dopant diffusion and activation.
  • Two high doping regions 102 for reduced resistance contacts are formed with higher doping levels than that of the n+ doped layer 110 .
  • the doping concentration is larger than 1 ⁇ 10 19 cm ⁇ 3 for the n+ doped layer 110 , and is larger than 1 ⁇ 10 20 cm ⁇ 3 for the contacts 102 .
  • the semiconductor substrate 100 is a bulk semiconductor substrate.
  • the bulk semiconductor substrate can be comprised of any semiconductor material including, but not limited to, Si, Ge, SiGe, SiC, SiGeC, InAs, GaAs, InP or other like III/V compound semiconductors. Multilayers of these semiconductor materials can also be used as part of the bulk semiconductor substrate.
  • the semiconductor substrate 100 comprises a single crystalline semiconductor material, such as, for example, single crystalline silicon.
  • a semiconductor-on-insulator (SOI) substrate (not specifically shown) is employed as the semiconductor substrate 100 .
  • the SOI substrate When employed, the SOI substrate includes a handle substrate, a buried insulating layer located on an upper surface of the handle substrate, and a semiconductor layer located on an upper surface of the buried insulating layer.
  • the handle substrate and the semiconductor layer of the SOI substrate may comprise the same, or different, semiconductor material.
  • semiconductor as used herein in connection with the semiconductor material of the handle substrate and the semiconductor layer denotes any semiconducting material including, for example, Si, Ge, SiGe, SiC, SiGeC, InAs, GaAs, InP or other like III/V compound semiconductors. Multilayers of these semiconductor materials can also be used as the semiconductor material of the handle substrate and the semiconductor layer.
  • the handle substrate and the semiconductor layer are both comprised of silicon.
  • hybrid SOI substrates are employed which have different surface regions of different crystallographic orientations.
  • the semiconductor substrate 100 is exemplified as silicon substrate 100 .
  • an epitaxially-deposited light absorption epitaxial layer 130 is formed atop the doped layer 110 and further includes a counter doping layer 132 between the doped layer 110 and the Ge epitaxial layer 130 .
  • the thickness of the counter doping layer 132 can range from 1 nm to 150 nm, dependent on the doping profile near the interface.
  • the dopants inside the counter doping layer 132 should be able to provide similar free carrier concentration to compensate for the built-in potentials/carriers at the interface by providing opposite charge polarity for electrical neutralization and to reduce the built-in potential and hence the operation bias and/or leakage current.
  • counter doping layer 132 preferably are n-type dopants, for example, As, P or their combinations.
  • the counter doping layer 132 can be formed by in-situ doping during epitaxially growing the Ge epitaxial layer. In an in-situ doping process, the dopant is introduced during the deposition of the crystalline semiconductor material.
  • the counter doping layer 132 can be formed other approaches such as, but not limited to, ion implantation with n-type dopants.
  • the counter doping layer may be of same material as layer 130 or a different material such as SiGe with various Ge contents.
  • additional layers may be added in-between layer 132 and layer 130 to reduce layer 132 dopings from diffusion towards layer 130 .
  • this optional layer may be of SiGe material with various Ge contents.
  • an oxide cap 138 is formed atop the Ge epitaxial layer 130 to protect the Ge surface.
  • step S 104 after the oxide cap 138 is formed, lithography and etching processes are performed to define a Ge mesa region 140 and the counter doping mesa region 142 , to expose the upper surface portion of the silicon substrate 100 .
  • suitable etchant is used to laterally recess the Ge epitaxial mesa region 140 or/and the counter doping mesa region 142 .
  • a passivation layer 150 is formed atop the resulting structure to passivate the Ge surface and p-type doped region 144 is formed near the upper surface of the Ge mesa region 140 by semiconductor manufacturing processes such as ion implantation.
  • the passivation layer 150 can be amorphous silicon (a-Si) or poly-crystalline silicon (poly-Si).
  • a-Si amorphous silicon
  • poly-Si poly-crystalline silicon
  • passivation layer 150 can be doped simultaneously and used for contacts formation including salicide.
  • the area of the doped region 144 can have different shape from the mesa region 140 when viewing from the top.
  • the shape of the mesa region 140 can be rectangular and the shape of the doped region 144 can be circular.
  • the area of the doped region 144 can have similar shape as the mesa region 140 when viewing from the top.
  • the shape of the mesa region 140 and the doped region 144 can both be rectangular or circular.
  • inter-layer dielectric (ILD) layer 152 is formed atop the resulting structure with a topography due to the Ge mesa, and a CMP (Chemical Mechanical Polishing) process is performed to reduce the surface topography.
  • Inter-layer dielectric (ILD) may be deposited several times to attain the desired thickness.
  • contact opens 154 are defined through lithography and etching processes to expose the highly doped silicon surface 102 and some part of passivation layer 150 .
  • step S 112 salicide 158 is formed atop the silicon substrate 102 surface by introducing metals such as Ni, Co, Ti, Pt followed by thermal forming processing and then removing the un-reacted parts. Then tungsten plugs (W plugs) 156 is formed within the contact opens 154 atop the silicide 158 .
  • metals such as Ni, Co, Ti, Pt
  • a metal interconnect (M 1 layer) 160 is formed to provide electrical connection to external circuits.
  • an ARC coating can be added on top of the photodiode by first etching an opening from ILD 152 on top of the Ge mesa region 140 .
  • an ARC coating can be added on the bottom top of the photodiode by first thinning the substrate.
  • the counter doping mesa region 142 below the Ge mesa region 140 with n-type dopants and suitable thickness (1 nm to 150 nm) is formed to compensate the p-type heterogeneous interface reduce the built-in potential and hence the operation bias and/or leakage current.
  • two contact points shown for both the substrate contact and the upper absorption region contacts are for illustrative purpose in the 2 D cross-section views.
  • single continuous contact via or ring to the substrate and the absorption region can also be formed to extract photo-generated carriers from the light absorption region. Also note that in FIGS.
  • the passivation layer 150 shown covering the light absorption region is for illustrative purpose, and this passivation layer 150 can also extend into other regions as long as it does not prohibit the tungsten plugs (W plugs) 156 or other forms of contact vias to making electrical connection to the doped region 102 and 144 .
  • the segmented doped layer 110 in FIGS. 2E-2H are for illustrative purposes and in some implementations, it may extend into other regions.
  • the P doped layer 144 in FIGS. 2A-2H are for illustrative purposes and in some implementations, it may extend to the sidewalls of layer 140 in other embodiments.
  • FIGS. 3A to 3C show other implementations to form an intrinsic region near the heterogeneous interface.
  • the step S 102 corresponding to FIG. 2B can be further described by step S 102 a with following sub-steps: a counter doping layer 122 is formed on upper surface of the Si substrate 100 , where the counter doping layer 122 can be formed by ion implantation. Afterward, a layer 130 is formed.
  • a first counter doping layer 122 a is formed on upper surface of the Si substrate 100 , where the first counter doping layer 122 a can be formed by ion implantation. Afterward an epitaxially-grown Ge layer 130 is formed atop the substrate 100 and the Ge epitaxial layer 130 has a second counter doping layer 132 a .
  • the heterogeneous interface is present between the first counter doping layer 122 a (Si-based material) and the second counter doping layer 132 a (Ge-based material).
  • the thickness of the first counter doping layer 122 a ranges from 1 nm to 150 nm, depending on the doping profile near the interface.
  • the dopants inside the first counter doping layer 122 a should be able to provide similar free carrier concentration as those built-in potential/carriers in Ge epitaxial layer 130 but with opposite charge polarity for electrical neutralization.
  • the thickness of the second counter doping layer 132 a ranges from 1 nm to 150 nm.
  • the dopants inside the second counter doping layer 132 a should be able to provide similar free carrier concentration as those built-in potential/carriers in Si/Ge interface but with opposite charge polarity for electrical neutralization due to P-type interface between Si and Ge caused by p-type interfacial defects and heterojunction hole confinement.
  • a Si epitaxial layer 120 is formed on the Si substrate 100 .
  • the Si substrate 100 can be doped at the interface near the epitaxial layer 120 .
  • An epitaxially-grown Ge layer 130 is formed atop the Si epitaxial layer 120 and the Ge layer 130 further includes a counter doping layer 132 .
  • the thickness of the counter doping layer 132 ranges from 1 nm to 150 nm is preferably doped by n-type dopants, for example, As, P or their combinations to compensate the p-type interface.
  • the counter doping layer 132 can be formed by in-situ doping during epitaxially growing the Ge layer or by ion implantation with n-type impurities.
  • the Si epitaxial layer 120 can provide multiple purposes including reducing the dopant diffusions from the doped substrate, or/and to reduce the junction capacitance due to its smaller dielectric index than Ge.
  • the counter doping layer or the counter doping mesa can be broadly referred to as an interfacial layer between a silicon layer and another epitaxial layer including germanium.
  • the major composition of the counter doping layer can be either silicon or germanium or their alloy.
  • the counter doping layer 122 can be broadly referred to as an interfacial layer between the silicon substrate 100 and the Ge epitaxial layer 130 .
  • the first counter doping layer 122 a and the second counter doping layer 132 a can be broadly referred to as an interfacial layer between the silicon substrate 100 and the Ge epitaxial layer 130 even though two layers are involved in this example.
  • the counter doping layer 132 can be broadly referred to as an interfacial layer between the silicon substrate 100 and the Ge epitaxial layer 130 even though the Si epitaxial layer 120 is sandwiched between the silicon substrate 100 and the counter doping layer 132 .
  • the interfacial counter doping layer can be a single layer or multiple layers between a layer A and a layer B and provides an intrinsic region between the layer A and the layer B.
  • the interfacial layer is not necessary to be in direct contact with one of the layer A and the layer B, other layer can be interposed between the interfacial layer and the layer A, or between the interfacial layer and the layer B as long as a substantially intrinsic region can be present between the layer A and the layer B.
  • FIGS. 4A to 4C are the sectional views illustrating the manufacturing steps of forming a photodiode with reduced defect assisted dopant diffusion according to an implementation of the present disclosure.
  • a doping layer 200 is formed atop the substrate material 100 by either epitaxial growth or ion implantation.
  • a dopant control layer 210 is formed above the doping layer 200 .
  • the dopant control layer 210 includes silicon germanium
  • the doping layer 200 includes germanium or silicon germanium
  • the dopant inside the doping layer 200 includes phosphorous (P).
  • An epitaxial layer 130 including Ge is formed atop the dopant control layer 210 as the photo-sensitive region, and a top doped layer 135 is formed atop the epitaxial layer 130 .
  • the dopants in the top doped layer 135 include boron (B).
  • the doping layer 200 can be formed by driving dopants from substrate material 100 into initially undoped 200 layer region.
  • the driving process can be done after dopant control layer 210 and at least part of epitaxial layer 130 is formed.
  • the material of the epitaxial layer 130 can be, but not limited to, Si, SiGe with Ge content from 1% to 100%.
  • the material of the dopant control layer 210 can be, but not limited to SiGe with Ge content less than that from epitaxial layer 130 , carbon-doped SiGe, or carbon-doped Ge.
  • the material of the doping layer 200 can be, but not limited to, highly-doped Ge, highly-doped SiGe with Ge content no higher than epitaxial layer 130 and no less than dopant control layer 200 .
  • the doped layer 200 has the same electrical polarity as that of the Si substrate 100 (for example n-type doping). If Si substrate 100 is in direct contact with the Ge epitaxial layer 130 with lattice mismatch, it will induce defects and lead to higher dark current and faster dopant diffusion. As a result, the dopant control layer 210 is designed to place near the Si/Ge interface as dopant block to allow dopants from substrate 100 to be driven into the doping layer 200 only for reducing dark current generation by passivating defect stats without going deeper into the epitaxial 130 region and leading to device degradation. In some implementations, the doped layer 200 can function as a counter doping layer as described before to reduce the operation bias and/or leakage current.
  • FIG. 4B it is similar to FIG. 4A except the top doped layer 135 is replaced by a heterogeneous top doped layer 136 with different material composition than the photo-sensitive material below.
  • the heterogeneous doped layer 136 is made out of Si or SiGe such that minor or zero lattice mismatch is introduced between the Ge epitaxial layer 130 and the heterogeneous top doped layer 136 .
  • FIG. 4C it is similar to FIG. 4B except that another set of doping layer 200 b and dopant control layer 210 b is introduced between the photo-sensitive material and the top doped layer 136 to improve the heterogeneous interface quality.
  • the dopant control layer 210 b is introduced to reduce the dopant diffusion from the top doped layer 136 into the photo-sensitive region 130 .
  • the top doped layer 136 can include Si, Ge or their combination.
  • the dopants in the top doped layer 136 can include B, P, As and their combination.
  • the doping layer 200 b can have the same doping polarity as the top doped layer 136 .
  • the doping layer 200 b can function as a counter doping layer as described before to reduce the interface built-in potential and reduce the operation bias.
  • the doped layer 200 and the dopant control layer 210 can be referred to as an interfacial layer between the Si substrate 100 and the epitaxial layer 130 even there are two layers between the Si substrate 100 and the epitaxial layer 130 in those examples.
  • the doping layer 200 b and the top dopant control layer 210 b can be referred to as an interfacial layer between the top doped layer 136 and the epitaxial layer 130 .
  • the interfacial layer can be a single layer or multiple layers between a layer A and a layer B and control dopants diffusion between the layer A and the layer B.
  • the interfacial layer is not necessary to be in direct contact with one of the layer A and the layer B, other layer can be interposed between the interfacial layer and the layer A, or between the interfacial layer and the layer B as long as dopant diffusion can be controlled within the interfacial layer between the layer A and the layer B.
  • the relative position of the dopant control layer and the doping layer can be interchanged, namely the dopant control layer can be either above or below the doping layer.
  • the doping layer can function as the counter doping layer as described before.
  • FIGS. 5A to 5B show one implementation of the structure shown in FIG. 4A .
  • An epitaxial layer 130 including intrinsic-Ge is grown on a N-type phosphorus-doped Si substrate 100 by first growing a seeding layer 200 has similar material composition as the epitaxial layer 130 , and then growing a dopant control layer 210 including Si or SiGe function to reduce phosphorus diffusion into the epitaxial Ge layer where it diffuses fast and can compromise the desired intrinsic property.
  • the thickness and location of the seeding layer 200 and the dopant control layer 210 can be well controlled during the growth.
  • the dopant control layer 210 ranges from 50 nm to 150 nm and including SiGe.
  • a top doped layer 135 which has opposite electrical polarity to the top layer of the Si substrate 100 , is formed to yield a p-i-n photodiode/photodetector structure.
  • FIGS. 6A to 6E are the sectional views illustrating the manufacturing steps of forming a photodiode with etch/polish stopper according to another implementation of the present disclosure.
  • the process can succeed the step S 106 shown in FIG. 2D .
  • the doped region 102 and 110 are omitted here for simple illustrative purpose.
  • a first interfacial layer 112 is formed atop the Si substrate 100 , and a second layer 140 including Ge is formed atop the first interfacial layer 112 .
  • the first interfacial layer 112 (shown as an dashed box) can be used for counter doping as described with reference to FIGS. 2A to 3C , or for diffusion control as described with reference to FIGS.
  • a passivation layer 30 with material such as, but not limited to, Si (amorphous or poly-crystalline), silicon oxide, nitride, high-k dielectric, or their combinations is formed to passivate and protect the second layer 140 .
  • a stopping layer 32 with material such as, but not limited to, nitride is formed as a blanket layer atop the passivation layer 30 .
  • the stopping layer can also include multiple layers including oxide and nitride.
  • the thickness of the stopping layer 32 ranges typically from, but not limited to 10 A to 2000 A, with a thickness from 100 A to 500 A being more typical.
  • an interlayer dielectric (ILD) layer 34 is then deposited to cover the whole mesa structure and can be optionally first pre-planarized by either reflow or chemical mechanical polish (CMP) process as shown in FIG. 6C .
  • ILD interlayer dielectric
  • the ILD layer 34 uses material such as, but not limited to, silicon oxide which has different material composition than the stopping layer 32 .
  • the ILD layer 34 is processed by CMP process until the portion of the ILD layer 34 atop the stopping layer 32 is substantially removed. If a pre-planarized process in FIG. 6C is not performed, then a single planarizing process such as CMP can be used to form the structure in FIG. 6D . More particularly, the removal process is designed to fully terminate on top of the mesa stopping layer 32 with minimum thickness loss. Namely, the removal process for the ILD layer 34 needs to be highly selective to the stopping layer 32 . For example, the selectivity could be larger than 1:5. Afterward, as shown in FIG.
  • a reflector 36 is then uniformly deposited on top of the stopping layer 32 .
  • the reflector 36 is used for either reflection or tuning optical cavity path length or combinations of both.
  • a reflector including a metal layer on top of a dielectric layer can achieve >95% reflectivity, wherein an optical signal incident from the bottom of FIG. 6E can be reflected for further absorption of the second layer 140 .
  • a reflector including oxide or nitride can be formed to achieve less than 50% reflectivity and an optical signal can incident from the top of FIG. 6E .
  • an anti-reflection-coating (ARC) layer can be added between the external optical source and the second layer 140 .
  • the reflector can include one dielectric layer (e.g., oxide or nitride), multiple dielectric layers, metal (e.g., Aluminum), or any combinations of materials listed above.
  • the reflector 36 can include dielectric such as oxide, or a metal layer such as Aluminum, or a metal layer on top of a dielectric layer with its thickness close to quarter-effective-wavelength of the incident light.
  • Reflectors generally have unique and strict thickness tolerance ( ⁇ 5%) to ensure high optical yield, and in this implementation, a stopping (etch or polish stopping) layer 32 is provided in a photodiode/photodetector structure which will improve the thickness uniformity control of the reflector structure.
  • a stopping (etch or polish stopping) layer 32 is provided in a photodiode/photodetector structure which will improve the thickness uniformity control of the reflector structure.
  • the process flow provided above is not stated in specific order and can be rearranged in any order.
  • another etching process to further remove the stopping layer 32 can be added before depositing the reflector such that the CMP process induced thickness variation on the stopping layer 32 can be further reduced.
  • the stopping layer 32 is nitride and a wet etch process including phosphorus acid is used to remove the nitride before depositing the reflector 36 .
  • the second layer 140 forming a Ge epitaxial mesa region has lattice mismatch to the surface of the Si substrate 100 , and the reflector 36 has a predetermined thickness such that a predetermined reflectivity can be achieved when an optical signal passing and being reflected by the reflector 36 , at least part of the optical signal is absorbed by the second layer 140 .
  • FIGS. 7A to 7E are the sectional views illustrating the manufacturing steps of forming a photodiode/photodetector with etch/polish stopper according to still another implementation of the present disclosure.
  • the process can succeed the step S 102 shown in FIG. 2B .
  • the interfacial layer 112 can be used for counter doping as described with reference to FIGS. 2A to 3C , or for diffusion control as described with reference to FIGS. 4A to 4C , or be formed with larger dielectric index than that of the second layer 140 for bandwidth adjustment.
  • a passivation layer 31 with material such as, but not limited to, Si (amorphous or poly-crystalline) or silicon oxide or nitride or their combinations is formed on the resulting structure.
  • a stopping layer 33 with material such as, but not limited to, nitride is formed atop the passivation layer.
  • the thickness of the stopping layer 33 is typically from 10 A to 2000 A, with a thickness from 100 A to 500 A being more typical.
  • the passivation layer 31 , the stopping layer 33 and the underlying Ge epitaxial layer 140 are then patterned simultaneously to form a mesa structure shown in FIG. 7A .
  • a passivation spacer 35 is formed on the mesa (including the passivation layer 31 , the stopping layer 33 , and the Ge epitaxial mesa region 140 ) sidewall.
  • the passivation spacer is formed by first conformally depositing a passivation film on the mesa to result in a relatively thicker region near the sidewall. Then a directional (anisotropic) etch is applied to remove the spacer material on the field, leaving only the sidewall region with relatively thicker layer remained as the spacer. Afterward, an interlayer dielectric (ILD) layer 34 is then deposited to cover up the whole mesa structure and can be optionally pre-planarized by either reflow or chemical mechanical polish (CMP) process as shown in FIG. 7C . As shown in FIG.
  • ILD interlayer dielectric
  • the ILD layer 34 is processed by CMP process until the portion of the ILD layer 34 atop the stopping layer region 33 is substantially removed, whether a pre-planarized process is processed in FIG. 7C or not. If a pre-planarized process in FIG. 7C is not performed, then a single polish process can be used to form the structure in FIG. 7D . Afterward, as shown in FIG. 7E a reflector 36 is then uniformly deposited on top of the stopping layer region 33 , similar to what is described in FIG. 6E .
  • FIGS. 8A to 8F are the sectional views illustrating the manufacturing steps of forming a photodiode/photodetector with etch/polish stopper according to still another implementation of the present disclosure.
  • the initial steps illustrated by FIG. 8A to 8C are similar to the description of FIG. 7A to 7C
  • the ILD layer 34 is further processed by CMP process or etch back process and the process stops near the stopping layer region 33 with some over-polishing or over-etching on either the ILD 34 or the stopping layer 33 .
  • CMP process or etch back process the process stops near the stopping layer region 33 with some over-polishing or over-etching on either the ILD 34 or the stopping layer 33 .
  • the stopping layer 33 can be referred to as dummy stopping layer because it is removed at a later process, and therefore, the removal process does not need to be selective to the stopping layer as described before, hence further improving the process flexibility.
  • the dummy stopping layer 33 is then removed by wet chemical process or combination of wet and dry etching process.
  • the selected chemical can react only with stopping layer 33 and be highly selective to the rest of the materials exposed such as the ILD 34 .
  • the stopping layer is nitride
  • a phosphoric acid based wet etch process can be used.
  • a reflector 36 is then uniformly deposited on top of the resulting structure as described in FIGS. 6E and 7E .
  • the thickness uniformity of the top reflector 36 can be well controlled by film deposition step instead of a polish process, meaning better uniformity control than conventional planarization process.
  • FIGS. 9A to 9D are the sectional views illustrating the manufacturing steps of forming a photodiode/photodetector with conformal selective Ge etch according to another implementation of the present disclosure.
  • the process can succeed the step S 102 shown in FIG. 2B with an interfacial layer 40 placed between the epitaxial layer 130 and the underlying Si substrate 100 .
  • the epitaxial layer 130 includes Ge
  • the interfacial layer 40 can be an intrinsic Si layer functioning as a counter doping layer, a dopant diffusion layer or both
  • a passivation layer 42 made out of dielectric material is formed on the epitaxial layer 130 .
  • the passivation layer 42 , the underlying epitaxial layer 130 and the interfacial layer 40 are patterned fully or partially by RIE to form a mesa structure 140 .
  • RIE directional ion etching
  • damage zone 43 for the epitaxial layer near the mesa sidewall as shown in FIG. 9B .
  • selective Ge etch is performed to remove the damaged zone 43 . This process will cause a lateral recess 45 as shown in FIG. 9C . Since the top surface of the epitaxial layer 130 is covered by dielectric passivation layer 42 , the etching process is mostly active on mesa sidewall.
  • a thin layer 46 of the epitaxial layer near the top surface is converted into a highly-doped layer with its electrical polarity opposite to substrate doped region 110 . Note that the process stated above is not limited to specific order.
  • the 1st approach is using wet chemical etching to achieve conformal (isotropic) Ge etch with selectivity to Si.
  • a typical Ge etch is normally performed in two steps.
  • the 1st step is an oxidation reaction in which the etched material is converted into a higher oxidation state.
  • the 2nd step leads to the dissolution of oxidation products.
  • the wet etch chemistry includes but not limited to NH 4 OH (dissolution) and H 2 O 2 (oxidant). Etch rate can be controlled by the level of H 2 O dilution.
  • this etch chemistry has etch selectivity on Si.
  • the conformal selective Ge etch process can be introduced to remove part of the damaged sidewall of the second layer 140 , leaving only the undamaged second layer within a selected area, and then forming a spacer layer 35 as mentioned before covering at least part of the exposed sidewall of the second layer 140 .
  • FIG. 9E is a sectional view showing photodiode with doping isolation.
  • two absorption elements are defined by creating an opposite doping region between the two adjacent parts of the absorption elements, and each element has its own top doping region and its substrate doping region.
  • the doping separation region is P-type.
  • the light absorption region is slightly P-type, then the doping separation region is N-type.
  • the absorption region includes Ge and the substrate is Si, their interfacial layer could be P-type due to the surface trap states near the SiGe interface, then the doping separation is N-type.
  • the interfacial layer 40 here may be introduced intentionally as dopant diffusion control layer, or counter doping layer as described before; or it can indicate an inter-diffusion region between the upper Ge layer and Si substrate during the Ge epitaxial growth thermal process.
  • FIGS. 10A to 10F are the sectional views illustrating the manufacturing steps of forming a photodiode with sidewall passivation according to an implementation of the present disclosure.
  • the process can succeed the step S 100 shown in FIG. 2A , namely, a Si substrate 100 with doped layer 110 .
  • An isolation layer (such as a field dielectric layer) 50 is then deposited on the upper surface of the Si substrate 100 .
  • a selective area opening 50 a is defined in the field dielectric layer 50 by photolithography and etching, where the selective area opening 50 a exposed a part (a first selected area) of the surface of the doped layer 110 .
  • FIG. 10A the process can succeed the step S 100 shown in FIG. 2A , namely, a Si substrate 100 with doped layer 110 .
  • An isolation layer (such as a field dielectric layer) 50 is then deposited on the upper surface of the Si substrate 100 .
  • a selective area opening 50 a is defined in the field dielectric layer 50 by photolith
  • a passivation layer 52 is deposited on the upper face of the field dielectric layer 50 with the selective area opening 50 a .
  • the passivation layer 52 is Si (amorphous or poly-crystalline), nitride, or high-k dielectric.
  • directional etch is performed to remove part of the passivation layer 52 and only passivation spacer 52 a remains on the sidewall of the selective area opening 50 a (namely the inner surface of the field dielectric layer 50 ). As shown in FIG.
  • the first light absorption layer (such as photosensitive material layer including Ge) is selectively grown and fills the selective area opening 50 a , and then it is planarized by CMP process to form a photo-sensitive region 54 .
  • an interfacial layer 112 may be optionally formed atop the first selected area.
  • the interfacial layer 112 can be a counter doping layer as described with reference to FIGS. 2A to 3C , or dopant diffusion control layer as described with reference to FIGS. 4A to 4C , or a bandwidth adjustment layer with smaller dielectric index than that of the photo-sensitive region 54 .
  • a doped region 56 is formed within the photo-sensitive region 54 near the surface.
  • the area of the doped region 56 can have different shape from the photo-sensitive region 54 when viewing from the top.
  • the shape of the photo-sensitive region 54 may be rectangular and the shape of the doped region 56 may be circular.
  • the selective area opening 50 a is rectangular and surrounded by ( 110 ) planes wherein when filled by a photo-sensitive region includes Ge can result in good surface passivation. In certain embodiments, other planes other than ( 110 ) can also be used to form the rectangular.
  • the doped layer 56 is smaller than the rectangular opening 50 b , and may be in a circular shape to substantially match the input optical beam profile.
  • the area of the doped region 56 can have similar shape as the photo-sensitive region 54 when viewing from the top.
  • the shape of the photo-sensitive region 54 and the doped region 56 can both be rectangular or circular.
  • the shape of the photo-sensitive region 54 b and the doped layer 56 can both rectangular with rounded corners.
  • a seeding layer 58 is first grown within the selective area opening 50 a (seeding area).
  • a CMP process may be performed after the growth of the seeding layer.
  • a second isolation layer 501 is deposited on the resulting structure partially removed to expose a second selected area.
  • a spacer 520 is formed on the sidewall corresponding to the second selected area.
  • the exposed second selected area is then filled by the photo-sensitive region 54 and a passivation layer is deposited atop the photo-sensitive region 54 , as shown in FIG. 10I .
  • the steps described in FIGS. 10H and 10I are similar to the steps described in FIG. 10B to 10F except a seeding layer is first grown within a seeding area before performing the steps to form the spacer.
  • the process can be bifurcated from before step FIG. 10G .
  • a bottom spacer 52 a Prior to seeding layer filling of the selective area opening 50 a (seeding area), a bottom spacer 52 a can be formed on the sidewall.
  • a seeding layer 58 is grown within the selective area opening 50 a (seeding area) and a CMP process can be performed after the growth of the seeding layer.
  • the steps described in FIG. 10H to 10I may be performed to form the upper layer of photo-sensitive region 54 .
  • processes similar to those described in FIGS. 2F to 2H or other variations can be applied to form the electrical contacts of the photodiode.
  • the seeding layer may be Si, Ge, or SiGe with various Ge content.
  • the photo-sensitive region may be Si, Ge or SiGe with various Ge content. In some implementations, the photo-sensitive region exhibits higher Ge content than the seeding layer.
  • an interfacial layer may be inserted between the seeding layer and the substrate, or/and between the photo-sensitive region and the substrate, or/and between the seeding layer and the photo-sensitive region. In some implementations, the interfacial layer can function as a counter doping layer, or/and a dopant diffusion layer, or/and a bandwidth adjustment layer.
  • the seeding layer is of Si material functioning as a dopant diffusion control layer to reduce the dopant diffusion from the substrate into the photo-sensitive region.
  • the seeding layer has substantially the same material content as the photo-sensitive region such as Ge.
  • the seeding layer may be grown separately from the photo-sensitive region above the seeding layer to reduce the thermal budget since other process steps such as forming silicide may be performed between the two growths. Such two-step growth method enables higher overall achievable thickness for the photo-sensitive region of substantially the same material compositions.
  • an intentional or unintentional sidewall misalignment exists between the seeding area and the second selective area due the involvement of multiple lithography steps. Note that the drawings shown in FIG.
  • the spacer formation may also be optional in this two-step deposition/growth scenario, namely first forming a seeding region 58 , then forming a second photo-sensitive region 54 without introducing the spacer 520 .
  • the thickness of the photo-sensitive region 54 may be thicker than the thickness of the seeding region 58 , and the opening area for the photo-sensitive region 54 may be larger, equal, or smaller than the seeding region 58 .
  • FIGS. 11A to 11G are the sectional views illustrating the manufacturing steps of forming a photodiode with sidewall passivation according to another implementation of the present disclosure.
  • the process can succeed the step S 100 shown in FIG. 2A , namely, a Si substrate 100 with doped layer 110 and a field dielectric layer 50 deposited on the surface of the Si substrate 100 , as shown in FIG. 11A .
  • a selective area opening 50 a is defined in the field dielectric layer 50 by photolithography and etching, where the selective area opening 50 a exposed a part of the surface of the Si substrate 100 .
  • an interfacial layer 112 may be formed as a counter doping layer as described with reference to FIGS. 2A to 3C , or as a diffusion control layer as described with reference to FIGS. 4A to 4C .
  • a passivation layer 53 is deposited on the upper surface of the field dielectric layer 50 and the upper surface of the first photo-sensitive region 54 a .
  • the material of the passivation layer 53 may include Si (amorphous or poly-crystalline), oxide, nitride, high-k dielectric or their combinations.
  • directional etch is performed to remove part of the passivation layer 53 and only passivation spacer 53 a remains on the sidewall of the selective area opening 50 a . As shown in FIG.
  • photosensitive material such as Ge is selectively grown to fill the remaining part of the selective area opening 50 a to form a second photo-sensitive region 54 b , and the second photo-sensitive region 54 b is then planarized by CMP process.
  • a doped layer 56 is formed within the second photo-sensitive region 54 b and near the upper surface of the second photo-sensitive region 54 b .
  • the doping type of doped layer 56 is p-type, and the doping type of the doped region 110 is n-type.
  • the doping type of doped layer 56 is n-type, and the doping type of the doped region 110 is p-type.
  • the area of the doped layer 56 can have different shape from the photo-sensitive region 54 b when viewing from the top.
  • the shape of the photo-sensitive region 54 b may be rectangular and the shape of the doped layer 56 may be circular.
  • the selective area opening 50 a is rectangular and surrounded by ( 110 ) planes wherein when filled by a photo-sensitive region includes Ge can result in good surface passivation. In certain embodiments, other planes other than ( 110 ) can also be used to form the rectangular.
  • the doped layer 56 is smaller than the rectangular opening 50 b , and may be in a circular shape to substantially match the input optical beam profile.
  • the area of the doped layer 56 can have similar shape as the photo-sensitive region 54 b when viewing from the top.
  • the shape of the photo-sensitive region 54 b and the doped layer 56 can both be rectangular or circular.
  • the shape of the photo-sensitive region 54 b and the doped layer 56 can both rectangular with rounded corners.
  • FIG. 11H is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure.
  • the photodiode shown in FIG. 11H is similar to that shown in FIG. 11G except a passivation layer 150 is formed atop the doped layer 56 and the second photo-sensitive region 54 b .
  • FIG. 11I is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure.
  • the photodiode shown in FIG. 11I is similar to that shown in FIG. 11G except that the interfacial layer 112 can be omitted in this implementation.
  • FIG. 11J is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure.
  • FIG. 11J is similar to that shown in FIG. 11G except that the interfacial layer 112 is placed between the first photo-sensitive region 54 a and the second photo-sensitive region 54 b .
  • FIG. 11K is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure.
  • the photodiode shown in FIG. 11K is similar to that shown in FIG. 11G except that two interfacial layers 112 a and 112 b are employed in this implementation.
  • the first interfacial layers 112 a is placed between the first photo-sensitive region 54 a and the second photo-sensitive region 54 b
  • the second first interfacial layers 112 b is placed between the doped layer 110 and the second photo-sensitive region 54 b .
  • the illustration of a complete layer region and a dashed box region both indicates the existence of an interfacial layer 112 .
  • the aforementioned “surface of the Si substrate 100 ” is interchangeable with “surface of the doped layer 110 ” in certain implementations.
  • FIGS. 12A to 12G are the sectional views illustrating the manufacturing steps of forming a photodiode with sidewall passivation according to another implementation of the present disclosure. Similarly, the process can succeed the step S 100 shown in FIG. 2A .
  • a selective area opening 50 a is defined in the field dielectric layer 51 a by photolithography and etching, where the selective area opening 50 a exposed a part of the surface of the Si substrate 100 .
  • a seeding layer 54 a is selectively grown to fill the selective area opening 50 a .
  • an interfacial layer 112 can be formed as a counter doping as described with reference to FIGS. 2A to 3C , or as a diffusion control as described with reference to FIGS. 4A to 4C .
  • an optional CMP process can be performed after the growth of the seeding layer 54 a .
  • a second isolation layer 51 b is deposited, and part of the second isolation layer 51 b is removed to expose a second selected area 50 b as shown in FIG. 12C . Note that in certain actual process implementations, a sidewall misalignment may exist between the selective opening 50 a and the second selected area 50 b due to two separate lithography steps involved.
  • a passivation layer 53 is deposited.
  • the material of the passivation layer 53 can be Si (amorphous or poly-crystalline), oxide, nitride, high-k dielectric (e.g., Al 2 O 3 , HfO 2 ) or their combinations.
  • directional etch is performed to partially remove the passivation layer 53 and only passivation spacer 53 a remains on the sidewall of the selective area opening 50 b (namely the inner surface of the field dielectric layer 51 b ).
  • photosensitive material such as Ge is selectively grown to fill the remaining part of the selective area opening 50 b to form a second photo-sensitive region 54 b , and the second photo-sensitive region 54 b is then planarized by CMP process.
  • a doped layer 56 is formed within the second photo-sensitive region 54 b and near the upper surface of the second photo-sensitive region 54 b .
  • the doping type of doped layer 56 is P-type, and the doping type of the doped region 110 is n-type.
  • the doping type of doped layer 56 is n-type, and the doping type of the doped region 110 is p-type.
  • the area of the doped layer 56 can have different shape from the photo-sensitive region 54 b when viewing from the top.
  • the shape of the photo-sensitive region 54 b may be rectangular and the shape of the doped layer 56 may be circular.
  • the selective area opening 50 b is rectangular and surrounded by ( 110 ) planes wherein when filled by a photo-sensitive region includes Ge can result in good surface passivation. In certain embodiments, other planes other than ( 110 ) can also be used to form the rectangular.
  • the doped layer 56 is smaller than the rectangular opening 50 b , and may be in a circular shape to substantially match the input optical beam profile.
  • the area of the doped layer 56 may have similar shape as the photo-sensitive region 54 when viewing from the top.
  • the shape of the photo-sensitive region 54 and the doped layer 56 can both be rectangular or circular.
  • the shape of the photo-sensitive region 54 and the doped layer 56 can both be rectangular with rounded corners.
  • FIG. 12H is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure.
  • the photodiode shown in FIG. 12H is similar to that shown in FIG. 12G except a passivation layer 150 is formed atop the doped layer 56 and the second photo-sensitive region 54 b .
  • FIG. 12I is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure.
  • the photodiode shown in FIG. 12I is similar to that shown in FIG. 12G except that the interfacial layer 112 can be omitted in this implementation.
  • FIG. 12J is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure.
  • FIG. 12J is similar to that shown in FIG. 12G except that the interfacial layer 112 is placed between the first photo-sensitive region 54 a and the second photo-sensitive region 54 b .
  • FIG. 12K is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure.
  • the photodiode shown in FIG. 12K is similar to that shown in FIG. 12G except that two interfacial layers 112 a and 112 b are employed in this implementation.
  • the first interfacial layers 112 a is placed between the first photo-sensitive region 54 a and the second photo-sensitive region 54 b
  • the second interfacial layers 112 b is placed between the doped layer 110 and the second photo-sensitive region 54 b .
  • FIG. 12 the illustration of a complete layer region and a dashed box region both indicates the existence of an interfacial layer 112 .
  • the drawings shown in FIG. 12 are for illustrative purpose and should not be viewed in a restrictive sense. For example, in FIGS.
  • the spacer formation may also be optional in this two-step deposition/growth scenario, namely first forming a seeding region 54 a , then forming a second photo-sensitive region 54 b without introducing the spacer 53 a .
  • the thickness of the photo-sensitive region 54 b can be thicker or thinner than the thickness of the seeding region 54 a
  • the opening area for the photo-sensitive region 54 b can be larger, equal, or smaller than the seeding region 54 a .
  • the aforementioned “surface of the Si substrate 100 ” is interchangeable with “surface of the N doped layer 110 ” in certain embodiments.
  • FIG. 13 is a sectional view showing the photodiode of the present disclosure integrated with a transistor.
  • a high doping region is provided at the substrate 100 for the source 72 and drain 74 region of a transistor 70 .
  • the isolation between the photodiode and the transistor can be done by shallow trench isolation, P-N junction isolation, thermal oxide or other forms of isolation.
  • the materials of the interfacial layer, the seeding layer and the photo-sensitive region can be Si, Ge or SiGe with various Ge content.
  • the photo-sensitive region has higher Ge content than the seeding layer.
  • an interfacial layer can be inserted between the seeding layer and the substrate, or/and between the photo-sensitive region and the substrate, or/and between the seeding layer and the photo-sensitive region.
  • the interfacial layer can function as a counter doping layer, or/and a dopant diffusion layer, or/and a bandwidth adjustment layer.
  • the seeding layer includes Si and functions as dopant diffusion control layer to reduce the dopant diffusion from the substrate into the photo-sensitive region.
  • the seeding layer has substantially the same material content as the photo-sensitive region such as Ge.
  • the seeding layer may be grown separately from the photo-sensitive region above the seeding layer to reduce the thermal budget since other process steps such as forming silicide may be performed between the two growths.
  • Such two-step growth method enables higher overall achievable thickness for the photo-sensitive region of substantially the same material compositions.
  • an intentional or unintentional sidewall misalignment exists between the seeding area and the second selective area due to the multiple lithography steps involved.
  • a sloped shape can be formed during the growth step, and later be polished by CMP step as mentioned in this disclosure.
  • a ( 311 ) plane can be formed if Ge is selectively epitaxially grown on a surface.
  • a recessed structure can be included before forming the substrate doping and the interfacial layer.
  • a spacer can also be formed to cover the sidewall of the recess area according to the spacer formation process described before. Also note that certain actual implementation induced imperfections should also be covered in this disclosure as long as its concept follows this disclosure. Any variations, derivations from the description above should also be viewed as included in this invention.
  • a heterogeneous interface can exist between two layers of different materials.
  • a heterogeneous interface can be fabricated using a device manufacturing process called heteroepitaxy, a type of epitaxy performed by growing a crystalline material of different elemental configurations than the crystalline substrate that is grown on.
  • FIG. 14 shows a conventional CVD-based heteroepitaxial process, in which a different single crystal material 1410 is grown on top of a single crystal substrate 1400 . As illustrated in FIG. 14 , the conventional CVD-based heteroepitaxial process may cause substrate backside contamination.
  • the precursor gas used in such conventional heteroepitaxial process can sometimes flow underneath the substrate 1400 and deposit an unwanted thin layer of epitaxial material 1420 on the backside of the substrate 1400 , and/or potentially on the backside's edges.
  • This unwanted backside layer 1420 can lead to serious cross contamination issue when the manufacturing line is being utilized to produce more than one type of product, which normally is the case with a silicon device fabrication foundry.
  • the backside heteroepitaxial material 1420 can transfer onto the handling tools after the epitaxial step, for example, during the wafer handling and/or processing stages.
  • This cross contamination can potentially cause process drifts, yield degradation, and reliability issues for other products. Additionally, this may even pose serious health risks and environmental issues when the heteroepitaxial material is toxic, such as arsenic(As)-based III-V materials. Accordingly, introduced herein are a number of techniques that can reduce or solve this backside contamination issue.
  • FIG. 15 shows an embodiment of a technique for reducing substrate backside contamination from blanket CVD-based heteroepitaxy.
  • the structure as shown in FIG. 15 includes a semiconductor substrate 1500 , a heteroepitaxial material layer 1510 on top of the substrate 1500 , and an oxide or nitride based dielectric layer 1530 on the backside of the substrate 1500 .
  • the heteroepitaxy material typically nucleates much slower on oxide or nitride based dielectrics (e.g., the dielectric layer 1530 ).
  • a growth condition can be derived for a specific application in which the heteroepitaxy material 1510 can grow only on the crystalline substrate 1500 but not on backside dielectrics 1530 . In this way, the abovementioned backside contamination issue can be much reduced or even completely avoided.
  • the backside dielectric 1530 can be selectively removed, for example, by either wet chemicals or dry etching. With this extra, optional step, any small nucleus formed on the dielectric 1530 during the heteroetpiaxy process can be removed to produce a substantially contamination-free substrate backside (e.g., with a contamination specie surface concentration below 1 ⁇ 10 10 /cm 2 ).
  • FIGS. 16A to 16F are example silicon-based processes scheme for manufacturing the embodiment shown in FIG. 15 .
  • a thin oxide layer 1640 e.g., SiO
  • Si silicon
  • a nitride layer deposition that forms a nitride layer 1650 (e.g., SiN) on top of the oxide layer 1640 .
  • a plasma-enhanced chemical vapor deposition (PECVD) SiN can be used avoid backside nitride deposition.
  • PECVD plasma-enhanced chemical vapor deposition
  • the second step a portion of the nitride layer 1650 that is near the wafer's edge is removed, for example, by reactive ion etching.
  • the etching pattern can be defined by a photoresist mask 1660 .
  • the third step a thermal oxide 1630 is grown pervasively over the wafer to encompass the wafer except for the nitride-covered area 1650 .
  • This thermal oxide 1630 is functionally equivalent to the dielectric layer 1530 , discussed with respect to FIG. 15 , in order to provide selectivity during the later heteroepitaxial growth.
  • the fourth step the nitride layer 1650 is selectively removed and the thin bottom oxide 1640 exposed.
  • One example way to selectively remove the nitride 1650 without losing the thin oxide 1640 is to utilize hot phosphoric acid.
  • the thin oxide 1640 can be used here as a surface protection layer to increase the surface quality for the following heteroepitaxy step.
  • the thin oxide layer 1640 is first removed, for example, by hydrogen fluoride (HF) based chemicals. Then, the wafer is moved into a CVD chamber for growing heteroepitaxial material.
  • the growth condition may be tuned by process engineers with known tuning skills so that the heteroepitaxial material grows only on the area previously covered with nitride 1650 but now exposed after the nitride removal process. Because the thermal oxide region 1630 is difficult for heteroepitaxial material to nucleate, the disclosed technique can substantially reduce possible contamination on the backside and the front edge areas of the wafer.
  • the backside dielectric 1630 can be selectively removed after the epitaxial step, by either wet chemicals or dry etching, to further reduce the probability of cross contamination.
  • FIG. 17 shows a photodetector structure on which the introduced technique for reducing substrate backside contamination is applied.
  • the photosensitive layer 1710 is epitaxially deposited on top of the substrate 1700 with backside covered with dielectrics 1730 .
  • This technique can help ensure a clean backside substrate to avoid cross-contamination issues after the epitaxial step.
  • the introduced technique can be applied on monolithic integration processes where photodetectors and field effect transistors are fabricated on the same chip.
  • the substrate can be silicon (Si)
  • photosensitive layer can be germanium (Ge)
  • the backside dielectric can be oxide or nitride.
  • the robot arm shared by the multiple chambers to load/unload the wafers can be free of Ge (for example) when the robot contacts the backside of the wafer.
  • the backside dielectric layer introduced here may be oxide.
  • a double pass photodetector is one type of solution to improve the responsivity at higher speed.
  • an additional mirror can be placed at the back of a normal incidence PD. This mirror can reflect those photons that have not yet been absorbed back to the PD's photosensitive layer for another round of absorption. By adding this extra photon pass to the PD, the responsivity thereof can be improved.
  • the location where the backside mirror is placed is important to the performance of the double pass PD design. If the mirror is placed too far away (e.g., more than 10 ⁇ m) from the PD's active area, the mirror may lose its reflecting effectiveness. This criterion makes double pass frontside incidence PDs difficult to manufacture because of the process control involved with and the precision needed in backside etching.
  • FIGS. 18A to 18C are sectional views of a frontside incidence double-pass photodetector with an epitaxially grown etch-stop layer 1810 , in accordance with some embodiments.
  • the epitaxially grown etch-stop layer 1810 is implemented for increased precision in backside etching control.
  • an etch-stop layer 1810 is epitaxially grown on the substrate 1800 , followed by substrate material regrowth that forms a regrown substrate layer 1805 .
  • the thickness of the regrown substrate material layer 1805 can be precisely controlled through the epitaxy process, and this thickness may eventually determine the final optical path/distance between the PD and its backside mirror.
  • a device active layer 1820 is formed on this regrown substrate layer 1805 , e.g., via implantation isolation and/or shallow trench isolation processes.
  • a PD photosensitive layer 1830 is grown on top of this regrown substrate layer 1805 .
  • additional PD manufacturing processes such as those mentioned above, can be performed to complete the frontside PD processes including, for example, diode mesa patterning, contact via formation and metal trace patterning.
  • Patterned backside etching is then performed to remove the substrate material underneath the PD.
  • the substrate material can be silicon (Si)
  • the photosensitive material can be germanium (Ge)
  • the material(s) for the etch-stop layer 1810 can be silicon carbide (SiC), carbon-doped silicon, silicon germanium (SiGe), carbon-doped SiGe or carbon-doped Ge. It is observed here that SiC or carbon-doped Si typically can provide a desirable etch selectivity to Si by dry etching. Similarly, SiGe or Ge typically can provide a desirable etch selectivity to Si by wet etching.
  • FIGS. 18A to 18C Three different example schemes of the backside process are shown in the sectional views of FIGS. 18A to 18C .
  • the backside etching is fully stopped on the stopping layer 1810 .
  • a backside mirror 1840 is then deposited on the back to cover the exposed portion of the etch-stop layer 1810 .
  • a typical mirror structure 1840 can include a dielectric mirror layer 1842 and a metal mirror layer 1844 . Other types of mirror can also be adapted.
  • etch-stop oxide 1812 a portion of the etch-stop layer 1810 that is exposed by the back etching process is converted to an etch-stop oxide 1812 .
  • the material conversion may be performed after the backside etching by traditional oxidation processes.
  • this etch-stop oxide layer 1812 can be, for example, SiGeO, which is converted from the original, epitaxial SiGe etch-stop layer. It is observed in the present disclosure that oxide generally has better etching selectivity to semiconductor materials (e.g., Si/Ge), and therefore it can be a better material option for functioning as an etch-stop layer, depending on the specific application.
  • the aforementioned mirror structure 1840 is deposited on the back of the wafer, similar to FIG. 18A .
  • a select portion of the etch-stop layer 1810 is removed after being exposed from the backside etching.
  • This technique is useful in some cases where the etch-stop layer 1810 cannot provide enough selectivity to the substrate material, which can cause undesirable thickness variation across the wafer. Thickness variation is undesirable because the length of optical path may vary across the wafer, thereby causing performance variation, since the double pass PD's performance is dependent upon the optical path.
  • the selective removal of this etch-stop layer 1810 can provide an additional level of etch selectivity, which in turn provides a better optical path control.
  • the mirror structure is deposited on the back of the wafer to complete the process.
  • FIGS. 19A to 19F are different examples of integrating the PD structures introduced in FIGS. 18A to 18C with CMOS transistors.
  • a PD disclosed in the present disclosure may be integrated with a transistor on the same wafer.
  • Such integration is generally known as monolithic integration. Introduced here are two example ways to implement the monolithic integration of the PDs and the CMOS transistors, together with the aforementioned PD epitaxial etch-stop layer techniques introduced in FIGS. 18A to 18C .
  • the first example approach is to grow the epitaxial stopping layer pervasively to cover the entire surface area of the substrate, and to use the epitaxial regrown substrate layer as the active areas for both PDs and CMOS transistors.
  • This approach is shown in the following three figures, FIGS. 19A to 19C . These three figures respectively show the three different PD subcategories introduced in FIGS. 18A to 18C , integrated with CMOS transistors on the same wafer.
  • the second approach is to grow the epitaxial stopping layer underneath the PD active area only.
  • This approach can be done by selectively recess the PD area after standard shallow trench isolation (STI) processes.
  • the growth of epitaxial stopping layer and the following substrate material can both be done selectively on the PD area only.
  • FIGS. 19D to 19F each of which corresponds to a different PD subcategory introduced in FIGS. 18A to 18C .
  • FIG. 20 shows another technique to implement the etch-stop layer introduced here.
  • a dielectric etch-stop layer 2010 e.g., oxide or nitride
  • ELO epitaxial layer overgrowth
  • the ELO process includes: (1) drilling holes on the oxide layer 2010 down to the substrate material 2000 ; (2) epitaxially growing substrate material from the bottom substrate 2000 (as seeds) through the opened holes; (3) merging these substrate seeds into one epitaxial bulk layer 2005 ; and (4) polishing the epitaxial layer 2005 's surface, which prepares it for the device fabrication processes that follow.
  • the device fabrication processes include growing a photosensitive layer 2030 of the PD on top of this epitaxial layer 2005 .
  • PD fabrication processes such as those discussed herein can be performed to complete the frontside processes including, for example, diode mesa patterning, contact via formation and metal trace patterning.
  • patterned backside etching is performed to remove the substrate material underneath the PD.
  • the dielectric etch-stop layer 2010 is used as the stopping layer for this backside etching step.
  • a mirror structure 2040 is placed on the backside to complete the double-side PD process.
  • FIG. 21 shows an example of integrating the PD structure introduced in FIG. 20 with transistors.
  • the dielectric material for the stopping layer can be the same silicon oxide that is used for standard STI processes.

Abstract

A light absorption apparatus includes a substrate, a light absorption layer above the substrate on a first selected area, a silicon layer above the light absorption layer, a spacer surrounding at least part of the sidewall of the light absorption layer, an isolation layer surrounding at least part of the spacer, wherein the light absorption apparatus can achieve high bandwidth and low dark current.

Description

PRIORITY ENTITLEMENT AND RELATED APPLICATIONS
This application is a continuation application of U.S. patent application Ser. No. 15/654,197, entitled “LIGHT ABSORPTION APPARATUS,” filed Jul. 19, 2017, now U.S. Pat. No. 10,074,677, which is a continuation application of U.S. patent application Ser. No. 15/147,847, entitled “LIGHT ABSORPTION APPARATUS,” filed May 5, 2016, which is a continuation-in-part application of U.S. patent application Ser. No. 14/940,572, entitled “LIGHT ABSORPTION APPARATUS,” filed Nov. 13, 2015, which claims priority to U.S. Provisional Patent Application No. 62/078,986, filed Nov. 13, 2014; U.S. Provisional Patent Application No. 62/081,574, filed Nov. 19, 2014; U.S. Provisional Patent Application No. 62/121,448, filed Feb. 26, 2015; U.S. Provisional Patent Application No. 62/126,698, filed Mar. 1, 2015; and U.S. Provisional Patent Application No. 62/197,098, filed Jul. 26, 2015; all of which are incorporated by reference herein in their entireties.
U.S. patent application Ser. No. 15/147,847 also claims priority to U.S. Provisional Patent Application No. 62/157,458, entitled “FRONTSIDE INCIDENCE DOUBLE PASS PHOTODETECTOR,” filed May 5, 2015; and U.S. Provisional Patent Application No. 62/193,133, entitled “BACK SIDE PROTECTION,” filed Jul. 16, 2015; all of which are incorporated by reference herein in their entireties.
BACKGROUND OF THE INVENTION Field of the Invention
The present disclosure relates to a light absorption apparatus, especially to a semiconductor based photodiode.
Description of Prior Art
A semiconductor based photodiode typically includes an intrinsic semiconductor region between a P-type semiconductor and an N-type semiconductor doping regions. The presence of an intrinsic region is in contrast to an ordinary PN diode, and the photons can be absorbed in the intrinsic region and the generated photo-carriers can be collected from the P-type and N-type regions.
SUMMARY OF THE INVENTION
It is an object of the present disclosure to provide a semiconductor based photodiode with lower dark current and high absorption. More specifically, the photodiode includes germanium as the photo-absorption material based on a silicon substrate.
According to one aspect of the present disclosure, a method for forming a light absorption apparatus, includes: (1) forming an isolation layer above a substrate, (2) removing part of the isolation layer to expose a selected area, (3) forming a spacer covering at least part of the sidewall of the selected area, (4) epitaxially growing a first absorption layer including germanium within the selected area, (5) forming a passivation layer including Silicon above the first absorption layer, wherein the surface leakage current can be reduced by passivating the first absorption layer, and a low leakage and high sensitivity light absorption apparatus can be formed.
According to another aspect of the present disclosure, a method for forming a light absorption apparatus, includes: (1) forming a first doping region at least partially embedded in a substrate, (2) forming a first layer above the first doping region, (3) forming a second layer including germanium above the first layer, (4) forming a third layer covering the second layer, (5) forming a fourth layer including oxide above the third layer, (6) forming a fifth layer including nitride above the fourth layer, (7) removing the fifth layer and stopping on the fourth layer, (8) forming a sixth layer above the fourth layer, wherein the second layer has lattice mismatch to the surface of the substrate, and the sixth layer has a predetermined thickness such that a predetermined reflectivity can be achieved when an optical signal passing and being reflected by the sixth layer, at least part of the optical signal is absorbed by the second layer.
According to still another aspect of the present disclosure, a light absorption apparatus includes: a substrate, a light absorption layer above the substrate on a first selected area, a passivation layer including Silicon above the light absorption layer, a spacer surrounding at least part of the sidewall of the light absorption layer, an isolation layer surrounding at least part of the spacer, wherein the light absorption apparatus can achieve high bandwidth and low leakage current.
According to still another aspect of the present disclosure, a light absorption apparatus includes: a substrate, a light absorption layer formed above the substrate and includes an upper part within a first opening and a lower part within a second opening at least partially overlapping with the first opening, a passivation layer including silicon above the upper part of the light absorption layer, a spacer surrounding at least part of the sidewall of the upper part of the light absorption layer, an isolation layer surrounding at least part of the spacer and the lower part of the light absorption layer, wherein light absorption apparatus can achieve high bandwidth and low leakage current.
BRIEF DESCRIPTION OF THE DRAWINGS
One or more embodiments of the present disclosure are illustrated by way of example and not limitation in the figures of the accompanying drawings, in which like references indicate similar elements. These drawings are not necessarily drawn to scale.
FIG. 1 shows a PIN photodiode structure.
FIGS. 2A to 2H show implementations to form a photodiode structure.
FIGS. 3A to 3C show implementations to form a counter doping layer in a photodiode structure.
FIGS. 4A to 4C show implementations to form a diffusion control layer or/and counter doping layer in a photodiode structure.
FIGS. 5A to 5B show implementations of the structure shown in FIG. 4A.
FIGS. 6A to 6E are the sectional views illustrating the manufacturing steps of forming a photodiode with etch/polish stopper according to another implementation of the present disclosure.
FIGS. 7A to 7E are the sectional views illustrating the manufacturing steps of forming a photodiode with etch/polish stopper according to still another implementation of the present disclosure.
FIGS. 8A to 8F are the sectional views illustrating the manufacturing steps of forming a photodiode with etch/polish stopper according to still another implementation of the present disclosure.
FIGS. 9A to 9D are the sectional views illustrating the manufacturing steps of forming a photodiode with conformal selective Ge etching process as isolation according to an implementation of the present disclosure, and FIG. 9E is a sectional view showing photodiode with doping region instead of etching process as the isolation.
FIGS. 10A to 10L are the sectional views illustrating forming a photodiode with sidewall passivation, or/and interfacial layer, or/and multiple layer forming steps.
FIGS. 11A to 11K are the sectional views illustrating forming a photodiode with sidewall passivation, or/and interfacial layer.
FIGS. 12A to 12K are the sectional views illustrating forming a photodiode with multiple layer forming steps, or/and sidewall passivation, or/and interfacial layer.
FIG. 13 is a sectional view showing one photodiode of the present disclosure integrated with a transistor.
FIG. 14 shows a conventional chemical-vapor-deposition (CVD) based heteroepitaxial process that may cause substrate backside contamination.
FIG. 15 shows an embodiment of a technique for reducing substrate backside contamination from blanket CVD-based heteroepitaxy.
FIGS. 16A to 16F are example processes for manufacturing the embodiment shown in FIG. 15.
FIG. 17 shows a photodetector structure on which the introduced technique for reducing substrate backside contamination is applied.
FIGS. 18A to 18C are sectional views of a frontside incidence double-pass photodetector with an epitaxially grown etch-stop layer, in accordance with some embodiments.
FIGS. 19A to 19F are different examples of integrating the PD structures introduced in FIGS. 18A to 18C with transistors.
FIG. 20 shows another technique to implement the etch-stop layer introduced here.
FIG. 21 shows an example of integrating the PD structure introduced in FIG. 20 with transistors.
DETAILED DESCRIPTION OF THE INVENTION
FIG. 1 shows a photodiode 10 a, which comprises a silicon (Si) substrate 100 a, an n-type doped region 110 a within the Si substrate 100 a located near the upper surface of the Si substrate 100 a, an intrinsic germanium (Ge) region 130 a arranged on the upper surface of the Si substrate 100 a, a p-type Ge region 132 a arranged on the upper surface of the intrinsic Ge region 130 a and an oxide passivation 180 a surrounding the intrinsic Ge region 130 a and the p-type Ge region 132 a as well as covering the upper surface of the Si substrate 100 a.
For the structure shown as FIG. 1, a heterogeneous interface is present between the Ge region 130 a and the underlying Si substrate 100 a. Heterogeneous interface can be implemented by using heteroepitaxy, a type of epitaxy performed by growing a crystalline material of different elemental configurations than the crystalline substrate that is grown on. Examples include but are not limited to, GaN on sapphire, GaN on Si, Ge on Si. The crystalline materials can be elemental or compound semiconductors.
For some applications, electrically intrinsic material property is needed on either grown film or substrate or both for better device performance. Intrinsic semiconductor is a semiconductor exhibiting electrically neutral property. Here a region with carrier concentration below 1017 cm−3 is considered intrinsic. However, intrinsic material is sometimes difficult to obtain at the heterogeneous interface. Electrically polarized layers often unintentionally formed near the interface due to lattice-mismatched defect formation, inter-diffusion (or cross diffusion) between two materials (Components of one material can sometimes become the other material's active dopants), contamination during film growth, or energy band alignment induced Fermi level pinning. For example, a p-type Ge layer is generally formed at the interface of Ge-on-Si system.
Furthermore, if such dislocations and other types of defects formed at the heterogeneous interfaces due to lattice mismatch, are located within the semiconductor depletion region, it could increase the photodiode's dark current, namely leakage current under dark condition, due to trap-assisted carrier generation and therefore degrade the performance as well as narrows the design window. It is observed that the trap-assisted generation mechanism can be effectively reduced by passivating this defective region with high doping concentration such that the defect trap states are filled with extrinsic dopant assisted carriers to neutralize the carrier generation rate. To achieve this doping passivation technique, precise dopant control in highly-defective area is sometimes difficult due to the nature of defect assisted dopant diffusion. Uncontrolled dopant diffusion may cause unwanted performance and reliability penalties, such as device responsivity degradation and yield reduction.
In some of the implementations of fabricating a Ge on Si photodiode as shown in FIG. 1, Ge mesa patterning is required to define the optical absorption area (namely the intrinsic Ge region 130 a in FIG. 1) if a blanket type epitaxial growth is used. Blanket type epitaxial growth is an epitaxial growth performed on the entire substrate wafer surface. Reactive ion etching (RIE) and inductively coupled plasma (ICP) etching are common methods for patterning Ge mesas after the blanket epitaxial growth where desired mesa sidewall angles are achieved with carefully engineered anisotropic etch recipes. However, anisotropic etch normally involves ion bombardment on patterned structures and often leads to Ge sidewall surface damage. Damaged sidewall surfaces result in defects and dangling bonds that increases the photodiode dark current. To avoid such device degradation, a conformal damage-free selective Ge etch approach (selective over Si) is presented to remove the anisotropic etch induced damaged surface layers. For example, a selective etch can be defined as etch rate differences between Ge and Si larger than a 5 to 1 ratio.
For a higher operation speed photodiode or photodetector, the thickness of the photo-sensitive layer, namely the intrinsic Ge region 130 a shown in FIG. 1, needs to be thin enough to minimize carrier transit time but at the expense of lower photo-responsivity. To improve responsivity and still maintain high speed, an optical reflector can be placed atop the photo-sensitive layer. The reflector materials can include one dielectric layer (e.g., oxide or nitride), multiple dielectric layers, metal (e.g., Aluminum), or any combinations of materials listed above. Forming such reflectors requires strict thickness tolerance (<5%) to ensure the targeted reflectivity is within a desired spectrum, which can be relatively difficult for conventional foundries. An etch or polish stopping layer is presented in this disclosure to improve the thickness uniformity control for the desired reflector structure. Hereinafter, the light absorption apparatus will be exemplified as a PIN photodiode. However, this particular example is not a limitation for the scope of the present disclosure. For example, a NIP structure can also be implemented by certain implementations of this disclosure. Furthermore, other light absorption material such as SiGe with various Ge contents can be used.
FIGS. 2A to 2F are the sectional views illustrating the manufacturing steps of the light absorption apparatus according to the first embodiment of the present disclosure, where a counter doping layer is presented to reduce the operation bias or/and reduce the leakage current at the heterogeneous interface. As shown in FIG. 2A (step S100), a semiconductor substrate 100 is provided and n+ doped layer 110 is formed near the upper face of the substrate 100. The n+ doped layer 110 may be formed methods such as, but not limited to, ion implantation, gas phase diffusion and epitaxial layer growth with simultaneous in-situ doping combined with optional thermal treatment procedures for dopant diffusion and activation. Two high doping regions 102 for reduced resistance contacts are formed with higher doping levels than that of the n+ doped layer 110. For example, the doping concentration is larger than 1×1019 cm−3 for the n+ doped layer 110, and is larger than 1×1020 cm−3 for the contacts 102.
In some embodiments of the present disclosure and as shown in FIG. 2A, the semiconductor substrate 100 is a bulk semiconductor substrate. When a bulk semiconductor substrate is employed, the bulk semiconductor substrate can be comprised of any semiconductor material including, but not limited to, Si, Ge, SiGe, SiC, SiGeC, InAs, GaAs, InP or other like III/V compound semiconductors. Multilayers of these semiconductor materials can also be used as part of the bulk semiconductor substrate. In one embodiment, the semiconductor substrate 100 comprises a single crystalline semiconductor material, such as, for example, single crystalline silicon. In another embodiment, a semiconductor-on-insulator (SOI) substrate (not specifically shown) is employed as the semiconductor substrate 100. When employed, the SOI substrate includes a handle substrate, a buried insulating layer located on an upper surface of the handle substrate, and a semiconductor layer located on an upper surface of the buried insulating layer. The handle substrate and the semiconductor layer of the SOI substrate may comprise the same, or different, semiconductor material. The term “semiconductor” as used herein in connection with the semiconductor material of the handle substrate and the semiconductor layer denotes any semiconducting material including, for example, Si, Ge, SiGe, SiC, SiGeC, InAs, GaAs, InP or other like III/V compound semiconductors. Multilayers of these semiconductor materials can also be used as the semiconductor material of the handle substrate and the semiconductor layer. In one embodiment, the handle substrate and the semiconductor layer are both comprised of silicon. In another embodiment, hybrid SOI substrates are employed which have different surface regions of different crystallographic orientations. In this example, the semiconductor substrate 100 is exemplified as silicon substrate 100.
As shown in FIG. 2B (step S102), an epitaxially-deposited light absorption epitaxial layer (such as a Ge epitaxial layer) 130 is formed atop the doped layer 110 and further includes a counter doping layer 132 between the doped layer 110 and the Ge epitaxial layer 130. In some implementations, the thickness of the counter doping layer 132 can range from 1 nm to 150 nm, dependent on the doping profile near the interface. The dopants inside the counter doping layer 132 should be able to provide similar free carrier concentration to compensate for the built-in potentials/carriers at the interface by providing opposite charge polarity for electrical neutralization and to reduce the built-in potential and hence the operation bias and/or leakage current. For Ge-on-Si system, the interface is naturally p-type and therefore, dopants inside counter doping layer 132 preferably are n-type dopants, for example, As, P or their combinations. The counter doping layer 132 can be formed by in-situ doping during epitaxially growing the Ge epitaxial layer. In an in-situ doping process, the dopant is introduced during the deposition of the crystalline semiconductor material. Alternatively, the counter doping layer 132 can be formed other approaches such as, but not limited to, ion implantation with n-type dopants. The counter doping layer may be of same material as layer 130 or a different material such as SiGe with various Ge contents. In some implementations, additional layers may be added in-between layer 132 and layer 130 to reduce layer 132 dopings from diffusion towards layer 130. For example, this optional layer may be of SiGe material with various Ge contents. After the Ge epitaxial layer 130 and the counter doping layer 132 are formed, an oxide cap 138 is formed atop the Ge epitaxial layer 130 to protect the Ge surface.
As shown in FIG. 2C (step S104), after the oxide cap 138 is formed, lithography and etching processes are performed to define a Ge mesa region 140 and the counter doping mesa region 142, to expose the upper surface portion of the silicon substrate 100.
As shown in FIG. 2D (step S106), suitable etchant is used to laterally recess the Ge epitaxial mesa region 140 or/and the counter doping mesa region 142.
As shown in FIG. 2E (step S108), a passivation layer 150 is formed atop the resulting structure to passivate the Ge surface and p-type doped region 144 is formed near the upper surface of the Ge mesa region 140 by semiconductor manufacturing processes such as ion implantation. In some implementations, the passivation layer 150 can be amorphous silicon (a-Si) or poly-crystalline silicon (poly-Si). In other implementations, during the doping process of region 144, passivation layer 150 can be doped simultaneously and used for contacts formation including salicide. In some implementations, the area of the doped region 144 can have different shape from the mesa region 140 when viewing from the top. For example, the shape of the mesa region 140 can be rectangular and the shape of the doped region 144 can be circular. In some implementations, the area of the doped region 144 can have similar shape as the mesa region 140 when viewing from the top. For example, the shape of the mesa region 140 and the doped region 144 can both be rectangular or circular.
As shown in FIG. 2F (step S110), inter-layer dielectric (ILD) layer 152 is formed atop the resulting structure with a topography due to the Ge mesa, and a CMP (Chemical Mechanical Polishing) process is performed to reduce the surface topography. Inter-layer dielectric (ILD) may be deposited several times to attain the desired thickness. Afterwards, contact opens 154 are defined through lithography and etching processes to expose the highly doped silicon surface 102 and some part of passivation layer 150.
As shown in FIG. 2G (step S112), salicide 158 is formed atop the silicon substrate 102 surface by introducing metals such as Ni, Co, Ti, Pt followed by thermal forming processing and then removing the un-reacted parts. Then tungsten plugs (W plugs) 156 is formed within the contact opens 154 atop the silicide 158.
As shown in FIG. 2H (step S114), a metal interconnect (M1 layer) 160 is formed to provide electrical connection to external circuits. In some implementations, if the optical signal is incident from the top of FIG. 2H, an ARC coating can be added on top of the photodiode by first etching an opening from ILD 152 on top of the Ge mesa region 140. In some implementations, if the optical signal is incident from the bottom of FIG. 2H, an ARC coating can be added on the bottom top of the photodiode by first thinning the substrate.
In this implementation, the counter doping mesa region 142 below the Ge mesa region 140 with n-type dopants and suitable thickness (1 nm to 150 nm) is formed to compensate the p-type heterogeneous interface reduce the built-in potential and hence the operation bias and/or leakage current. Note that in FIGS. 2A-2H, two contact points shown for both the substrate contact and the upper absorption region contacts are for illustrative purpose in the 2D cross-section views. In some implementations, single continuous contact via or ring to the substrate and the absorption region can also be formed to extract photo-generated carriers from the light absorption region. Also note that in FIGS. 2E-2H, the passivation layer 150 shown covering the light absorption region is for illustrative purpose, and this passivation layer 150 can also extend into other regions as long as it does not prohibit the tungsten plugs (W plugs) 156 or other forms of contact vias to making electrical connection to the doped region 102 and 144. Furthermore, the segmented doped layer 110 in FIGS. 2E-2H are for illustrative purposes and in some implementations, it may extend into other regions. The P doped layer 144 in FIGS. 2A-2H are for illustrative purposes and in some implementations, it may extend to the sidewalls of layer 140 in other embodiments.
FIGS. 3A to 3C show other implementations to form an intrinsic region near the heterogeneous interface. As shown in FIG. 3A, the step S102 corresponding to FIG. 2B can be further described by step S102 a with following sub-steps: a counter doping layer 122 is formed on upper surface of the Si substrate 100, where the counter doping layer 122 can be formed by ion implantation. Afterward, a layer 130 is formed. As shown in FIG. 3B, the step S102 corresponding to FIG. 2B can be replaced by a step S102 b with following sub-steps: a first counter doping layer 122 a is formed on upper surface of the Si substrate 100, where the first counter doping layer 122 a can be formed by ion implantation. Afterward an epitaxially-grown Ge layer 130 is formed atop the substrate 100 and the Ge epitaxial layer 130 has a second counter doping layer 132 a. The heterogeneous interface is present between the first counter doping layer 122 a (Si-based material) and the second counter doping layer 132 a (Ge-based material). In some implementations, the thickness of the first counter doping layer 122 a ranges from 1 nm to 150 nm, depending on the doping profile near the interface. Moreover, the dopants inside the first counter doping layer 122 a should be able to provide similar free carrier concentration as those built-in potential/carriers in Ge epitaxial layer 130 but with opposite charge polarity for electrical neutralization. In some implementations, the thickness of the second counter doping layer 132 a ranges from 1 nm to 150 nm. Moreover, the dopants inside the second counter doping layer 132 a should be able to provide similar free carrier concentration as those built-in potential/carriers in Si/Ge interface but with opposite charge polarity for electrical neutralization due to P-type interface between Si and Ge caused by p-type interfacial defects and heterojunction hole confinement.
As shown in FIG. 3C, the step S102 corresponding to FIG. 2B can be replaced by a step S102 c with following sub-steps: A Si epitaxial layer 120 is formed on the Si substrate 100. The Si substrate 100 can be doped at the interface near the epitaxial layer 120. An epitaxially-grown Ge layer 130 is formed atop the Si epitaxial layer 120 and the Ge layer 130 further includes a counter doping layer 132. The thickness of the counter doping layer 132 ranges from 1 nm to 150 nm is preferably doped by n-type dopants, for example, As, P or their combinations to compensate the p-type interface. The counter doping layer 132 can be formed by in-situ doping during epitaxially growing the Ge layer or by ion implantation with n-type impurities. In some implementations, the Si epitaxial layer 120 can provide multiple purposes including reducing the dopant diffusions from the doped substrate, or/and to reduce the junction capacitance due to its smaller dielectric index than Ge.
In the examples shown in FIGS. 2B to 2H, the counter doping layer or the counter doping mesa can be broadly referred to as an interfacial layer between a silicon layer and another epitaxial layer including germanium. The major composition of the counter doping layer can be either silicon or germanium or their alloy. In the example shown in FIG. 3A, the counter doping layer 122 can be broadly referred to as an interfacial layer between the silicon substrate 100 and the Ge epitaxial layer 130. In the example shown in FIG. 3B, the first counter doping layer 122 a and the second counter doping layer 132 a can be broadly referred to as an interfacial layer between the silicon substrate 100 and the Ge epitaxial layer 130 even though two layers are involved in this example. In the example shown in FIG. 3C, the counter doping layer 132 can be broadly referred to as an interfacial layer between the silicon substrate 100 and the Ge epitaxial layer 130 even though the Si epitaxial layer 120 is sandwiched between the silicon substrate 100 and the counter doping layer 132. In the present disclosure, the interfacial counter doping layer can be a single layer or multiple layers between a layer A and a layer B and provides an intrinsic region between the layer A and the layer B. Moreover, the interfacial layer is not necessary to be in direct contact with one of the layer A and the layer B, other layer can be interposed between the interfacial layer and the layer A, or between the interfacial layer and the layer B as long as a substantially intrinsic region can be present between the layer A and the layer B.
FIGS. 4A to 4C are the sectional views illustrating the manufacturing steps of forming a photodiode with reduced defect assisted dopant diffusion according to an implementation of the present disclosure. As shown in FIG. 4A, a doping layer 200 is formed atop the substrate material 100 by either epitaxial growth or ion implantation. Then a dopant control layer 210 is formed above the doping layer 200. In some implementations, the dopant control layer 210 includes silicon germanium, the doping layer 200 includes germanium or silicon germanium and the dopant inside the doping layer 200 includes phosphorous (P). An epitaxial layer 130 including Ge is formed atop the dopant control layer 210 as the photo-sensitive region, and a top doped layer 135 is formed atop the epitaxial layer 130. In some implementations, the dopants in the top doped layer 135 include boron (B).
In some implementations, the doping layer 200 can be formed by driving dopants from substrate material 100 into initially undoped 200 layer region. The driving process can be done after dopant control layer 210 and at least part of epitaxial layer 130 is formed. The material of the epitaxial layer 130 can be, but not limited to, Si, SiGe with Ge content from 1% to 100%. The material of the dopant control layer 210 can be, but not limited to SiGe with Ge content less than that from epitaxial layer 130, carbon-doped SiGe, or carbon-doped Ge. The material of the doping layer 200 can be, but not limited to, highly-doped Ge, highly-doped SiGe with Ge content no higher than epitaxial layer 130 and no less than dopant control layer 200.
The doped layer 200 has the same electrical polarity as that of the Si substrate 100 (for example n-type doping). If Si substrate 100 is in direct contact with the Ge epitaxial layer 130 with lattice mismatch, it will induce defects and lead to higher dark current and faster dopant diffusion. As a result, the dopant control layer 210 is designed to place near the Si/Ge interface as dopant block to allow dopants from substrate 100 to be driven into the doping layer 200 only for reducing dark current generation by passivating defect stats without going deeper into the epitaxial 130 region and leading to device degradation. In some implementations, the doped layer 200 can function as a counter doping layer as described before to reduce the operation bias and/or leakage current.
As shown in FIG. 4B, it is similar to FIG. 4A except the top doped layer 135 is replaced by a heterogeneous top doped layer 136 with different material composition than the photo-sensitive material below. The heterogeneous doped layer 136 is made out of Si or SiGe such that minor or zero lattice mismatch is introduced between the Ge epitaxial layer 130 and the heterogeneous top doped layer 136.
As shown in FIG. 4C, it is similar to FIG. 4B except that another set of doping layer 200 b and dopant control layer 210 b is introduced between the photo-sensitive material and the top doped layer 136 to improve the heterogeneous interface quality. The dopant control layer 210 b is introduced to reduce the dopant diffusion from the top doped layer 136 into the photo-sensitive region 130. The top doped layer 136 can include Si, Ge or their combination. The dopants in the top doped layer 136 can include B, P, As and their combination. In some implementations, the doping layer 200 b can have the same doping polarity as the top doped layer 136. In some implementations, the doping layer 200 b can function as a counter doping layer as described before to reduce the interface built-in potential and reduce the operation bias.
In the examples shown in FIGS. 4A to 4B, the doped layer 200 and the dopant control layer 210 can be referred to as an interfacial layer between the Si substrate 100 and the epitaxial layer 130 even there are two layers between the Si substrate 100 and the epitaxial layer 130 in those examples. Similarly, in the example shown in FIG. 4C, the doping layer 200 b and the top dopant control layer 210 b can be referred to as an interfacial layer between the top doped layer 136 and the epitaxial layer 130. In the present disclosure, the interfacial layer can be a single layer or multiple layers between a layer A and a layer B and control dopants diffusion between the layer A and the layer B. Moreover, the interfacial layer is not necessary to be in direct contact with one of the layer A and the layer B, other layer can be interposed between the interfacial layer and the layer A, or between the interfacial layer and the layer B as long as dopant diffusion can be controlled within the interfacial layer between the layer A and the layer B. In some implementations, the relative position of the dopant control layer and the doping layer can be interchanged, namely the dopant control layer can be either above or below the doping layer. In some implementations, the doping layer can function as the counter doping layer as described before.
FIGS. 5A to 5B show one implementation of the structure shown in FIG. 4A. An epitaxial layer 130 including intrinsic-Ge is grown on a N-type phosphorus-doped Si substrate 100 by first growing a seeding layer 200 has similar material composition as the epitaxial layer 130, and then growing a dopant control layer 210 including Si or SiGe function to reduce phosphorus diffusion into the epitaxial Ge layer where it diffuses fast and can compromise the desired intrinsic property. The thickness and location of the seeding layer 200 and the dopant control layer 210 can be well controlled during the growth. In some implementations, the dopant control layer 210 ranges from 50 nm to 150 nm and including SiGe. As shown in FIG. 5B, a top doped layer 135, which has opposite electrical polarity to the top layer of the Si substrate 100, is formed to yield a p-i-n photodiode/photodetector structure.
FIGS. 6A to 6E are the sectional views illustrating the manufacturing steps of forming a photodiode with etch/polish stopper according to another implementation of the present disclosure. As shown in FIG. 6A, the process can succeed the step S106 shown in FIG. 2D. The doped region 102 and 110 are omitted here for simple illustrative purpose. A first interfacial layer 112 is formed atop the Si substrate 100, and a second layer 140 including Ge is formed atop the first interfacial layer 112. The first interfacial layer 112 (shown as an dashed box) can be used for counter doping as described with reference to FIGS. 2A to 3C, or for diffusion control as described with reference to FIGS. 4A to 4C, or a material with larger dielectric index than that of the second layer 140 for bandwidth adjustment. Also shown in FIG. 6A, a passivation layer 30 with material such as, but not limited to, Si (amorphous or poly-crystalline), silicon oxide, nitride, high-k dielectric, or their combinations is formed to passivate and protect the second layer 140.
As shown in FIG. 6B, a stopping layer 32 with material such as, but not limited to, nitride is formed as a blanket layer atop the passivation layer 30. In some implementations, the stopping layer can also include multiple layers including oxide and nitride. In some implementations, the thickness of the stopping layer 32 ranges typically from, but not limited to 10 A to 2000 A, with a thickness from 100 A to 500 A being more typical. Afterward, an interlayer dielectric (ILD) layer 34 is then deposited to cover the whole mesa structure and can be optionally first pre-planarized by either reflow or chemical mechanical polish (CMP) process as shown in FIG. 6C. The ILD layer 34 uses material such as, but not limited to, silicon oxide which has different material composition than the stopping layer 32. As shown in FIG. 6D, the ILD layer 34 is processed by CMP process until the portion of the ILD layer 34 atop the stopping layer 32 is substantially removed. If a pre-planarized process in FIG. 6C is not performed, then a single planarizing process such as CMP can be used to form the structure in FIG. 6D. More particularly, the removal process is designed to fully terminate on top of the mesa stopping layer 32 with minimum thickness loss. Namely, the removal process for the ILD layer 34 needs to be highly selective to the stopping layer 32. For example, the selectivity could be larger than 1:5. Afterward, as shown in FIG. 6E a reflector 36 is then uniformly deposited on top of the stopping layer 32. With this approach, the thickness uniformity of the top reflector 36 can be well controlled by film deposition step instead of a polish process, meaning better uniformity control than conventional planarization process. The reflector 36 is used for either reflection or tuning optical cavity path length or combinations of both. In some implementations, a reflector including a metal layer on top of a dielectric layer can achieve >95% reflectivity, wherein an optical signal incident from the bottom of FIG. 6E can be reflected for further absorption of the second layer 140. In some implementations, a reflector including oxide or nitride can be formed to achieve less than 50% reflectivity and an optical signal can incident from the top of FIG. 6E. In some implementations, an anti-reflection-coating (ARC) layer can be added between the external optical source and the second layer 140. The reflector can include one dielectric layer (e.g., oxide or nitride), multiple dielectric layers, metal (e.g., Aluminum), or any combinations of materials listed above. In some implementations, the reflector 36 can include dielectric such as oxide, or a metal layer such as Aluminum, or a metal layer on top of a dielectric layer with its thickness close to quarter-effective-wavelength of the incident light. Reflectors generally have unique and strict thickness tolerance (<5%) to ensure high optical yield, and in this implementation, a stopping (etch or polish stopping) layer 32 is provided in a photodiode/photodetector structure which will improve the thickness uniformity control of the reflector structure. Note that the process flow provided above is not stated in specific order and can be rearranged in any order. For example, another etching process to further remove the stopping layer 32 can be added before depositing the reflector such that the CMP process induced thickness variation on the stopping layer 32 can be further reduced. In some implementations, the stopping layer 32 is nitride and a wet etch process including phosphorus acid is used to remove the nitride before depositing the reflector 36. Moreover, in the above example, the second layer 140 forming a Ge epitaxial mesa region has lattice mismatch to the surface of the Si substrate 100, and the reflector 36 has a predetermined thickness such that a predetermined reflectivity can be achieved when an optical signal passing and being reflected by the reflector 36, at least part of the optical signal is absorbed by the second layer 140.
FIGS. 7A to 7E are the sectional views illustrating the manufacturing steps of forming a photodiode/photodetector with etch/polish stopper according to still another implementation of the present disclosure. As shown in FIG. 7A, the process can succeed the step S102 shown in FIG. 2B. The interfacial layer 112 can be used for counter doping as described with reference to FIGS. 2A to 3C, or for diffusion control as described with reference to FIGS. 4A to 4C, or be formed with larger dielectric index than that of the second layer 140 for bandwidth adjustment. A passivation layer 31 with material such as, but not limited to, Si (amorphous or poly-crystalline) or silicon oxide or nitride or their combinations is formed on the resulting structure. Afterward, a stopping layer 33 with material such as, but not limited to, nitride is formed atop the passivation layer. The thickness of the stopping layer 33 is typically from 10 A to 2000 A, with a thickness from 100 A to 500 A being more typical. The passivation layer 31, the stopping layer 33 and the underlying Ge epitaxial layer 140 are then patterned simultaneously to form a mesa structure shown in FIG. 7A. Then in FIG. 7B, a passivation spacer 35 is formed on the mesa (including the passivation layer 31, the stopping layer 33, and the Ge epitaxial mesa region 140) sidewall. In some implementations, the passivation spacer is formed by first conformally depositing a passivation film on the mesa to result in a relatively thicker region near the sidewall. Then a directional (anisotropic) etch is applied to remove the spacer material on the field, leaving only the sidewall region with relatively thicker layer remained as the spacer. Afterward, an interlayer dielectric (ILD) layer 34 is then deposited to cover up the whole mesa structure and can be optionally pre-planarized by either reflow or chemical mechanical polish (CMP) process as shown in FIG. 7C. As shown in FIG. 7D, the ILD layer 34 is processed by CMP process until the portion of the ILD layer 34 atop the stopping layer region 33 is substantially removed, whether a pre-planarized process is processed in FIG. 7C or not. If a pre-planarized process in FIG. 7C is not performed, then a single polish process can be used to form the structure in FIG. 7D. Afterward, as shown in FIG. 7E a reflector 36 is then uniformly deposited on top of the stopping layer region 33, similar to what is described in FIG. 6E.
FIGS. 8A to 8F are the sectional views illustrating the manufacturing steps of forming a photodiode/photodetector with etch/polish stopper according to still another implementation of the present disclosure. The initial steps illustrated by FIG. 8A to 8C are similar to the description of FIG. 7A to 7C, and in FIG. 8D, the ILD layer 34 is further processed by CMP process or etch back process and the process stops near the stopping layer region 33 with some over-polishing or over-etching on either the ILD 34 or the stopping layer 33. Compared to FIG. 7, in this implementation, the stopping layer 33 can be referred to as dummy stopping layer because it is removed at a later process, and therefore, the removal process does not need to be selective to the stopping layer as described before, hence further improving the process flexibility. As shown in FIG. 8E, the dummy stopping layer 33 is then removed by wet chemical process or combination of wet and dry etching process. The selected chemical can react only with stopping layer 33 and be highly selective to the rest of the materials exposed such as the ILD 34. For example, if the stopping layer is nitride, a phosphoric acid based wet etch process can be used. Afterward, as shown in FIG. 8F a reflector 36 is then uniformly deposited on top of the resulting structure as described in FIGS. 6E and 7E. With this approach, the thickness uniformity of the top reflector 36 can be well controlled by film deposition step instead of a polish process, meaning better uniformity control than conventional planarization process.
FIGS. 9A to 9D are the sectional views illustrating the manufacturing steps of forming a photodiode/photodetector with conformal selective Ge etch according to another implementation of the present disclosure. As shown in FIG. 9A, the process can succeed the step S102 shown in FIG. 2B with an interfacial layer 40 placed between the epitaxial layer 130 and the underlying Si substrate 100. In some implementations, the epitaxial layer 130 includes Ge, the interfacial layer 40 can be an intrinsic Si layer functioning as a counter doping layer, a dopant diffusion layer or both, and a passivation layer 42 made out of dielectric material is formed on the epitaxial layer 130. Afterward, as shown in FIG. 9B, the passivation layer 42, the underlying epitaxial layer 130 and the interfacial layer 40 are patterned fully or partially by RIE to form a mesa structure 140. With the involvement of directional ion etching (e.g., RIE), it causes damage zone 43 for the epitaxial layer near the mesa sidewall as shown in FIG. 9B. As shown in FIG. 9C, selective Ge etch is performed to remove the damaged zone 43. This process will cause a lateral recess 45 as shown in FIG. 9C. Since the top surface of the epitaxial layer 130 is covered by dielectric passivation layer 42, the etching process is mostly active on mesa sidewall. The methods of performing this conformal selective Ge etch will be further discussed in the following description. Finally, as shown in FIG. 9D, in order to form a p-i-n or n-i-p structure, a thin layer 46 of the epitaxial layer near the top surface is converted into a highly-doped layer with its electrical polarity opposite to substrate doped region 110. Note that the process stated above is not limited to specific order.
With reference again to FIG. 9C, three possible methods to achieve conformal selective Ge etch can be further described. The 1st approach is using wet chemical etching to achieve conformal (isotropic) Ge etch with selectivity to Si. A typical Ge etch is normally performed in two steps. The 1st step is an oxidation reaction in which the etched material is converted into a higher oxidation state. The 2nd step leads to the dissolution of oxidation products. In one implementation, the wet etch chemistry includes but not limited to NH4OH (dissolution) and H2O2 (oxidant). Etch rate can be controlled by the level of H2O dilution. Moreover, this etch chemistry has etch selectivity on Si. Mixed NH4OH and H2O2 are used in Si industry for wafer cleaning and are known for very low Si etch rate. The 2nd approach is using a fluorine, chlorine, and bromine-based RIE process with downstream plasma configuration. It is observed that Ge is more reactive on the above chemistries without the assistance of ion bombardment. The downstream plasma configuration can provide a nearly damage free conformal etch without causing further sidewall damages from directional ion bombardment. By properly tuning the RIE conditions, an etch rate difference between Ge and Si of more than 40 to 1 can be achieved using this approach. For the 3rd approach, a high temperature gas phase HCl etch is performed under reduced or low pressure vacuum systems. HCl has gas chemistry that is capable of etching Si and Ge. Since this is a gas phase etching without assistance from any directional ion bombardment, the reaction is conformal. Moreover, the activation temperatures of etching Ge and Si are very different (more than 100 C), and hence when operating the etching process at near 600 C, only Ge will be etched at this temperature range, thus creating an etch selectivity between Si and Ge. Moreover, the above mentioned processes can be applied to the other photodiode implementations mentioned elsewhere in this disclosure, for example, the figures shown in FIGS. 6-8. For example, in FIGS. 7A and 8A, after mesa forming, the conformal selective Ge etch process can be introduced to remove part of the damaged sidewall of the second layer 140, leaving only the undamaged second layer within a selected area, and then forming a spacer layer 35 as mentioned before covering at least part of the exposed sidewall of the second layer 140.
FIG. 9E is a sectional view showing photodiode with doping isolation. In this implementation, two absorption elements are defined by creating an opposite doping region between the two adjacent parts of the absorption elements, and each element has its own top doping region and its substrate doping region. For example, if the substrate doping is N-type for both elements, then the doping separation region is P-type. In some implementations, if the light absorption region is slightly P-type, then the doping separation region is N-type. In some implementations, if the absorption region includes Ge and the substrate is Si, their interfacial layer could be P-type due to the surface trap states near the SiGe interface, then the doping separation is N-type. The interfacial layer 40 here may be introduced intentionally as dopant diffusion control layer, or counter doping layer as described before; or it can indicate an inter-diffusion region between the upper Ge layer and Si substrate during the Ge epitaxial growth thermal process.
FIGS. 10A to 10F are the sectional views illustrating the manufacturing steps of forming a photodiode with sidewall passivation according to an implementation of the present disclosure. As shown in FIG. 10A, the process can succeed the step S100 shown in FIG. 2A, namely, a Si substrate 100 with doped layer 110. An isolation layer (such as a field dielectric layer) 50 is then deposited on the upper surface of the Si substrate 100. As shown FIG. 10B, a selective area opening 50 a is defined in the field dielectric layer 50 by photolithography and etching, where the selective area opening 50 a exposed a part (a first selected area) of the surface of the doped layer 110. Afterward, as shown in FIG. 10C, a passivation layer 52 is deposited on the upper face of the field dielectric layer 50 with the selective area opening 50 a. In some implementations, the passivation layer 52 is Si (amorphous or poly-crystalline), nitride, or high-k dielectric. As shown in FIG. 10D, directional etch is performed to remove part of the passivation layer 52 and only passivation spacer 52 a remains on the sidewall of the selective area opening 50 a (namely the inner surface of the field dielectric layer 50). As shown in FIG. 10E, the first light absorption layer (such as photosensitive material layer including Ge) is selectively grown and fills the selective area opening 50 a, and then it is planarized by CMP process to form a photo-sensitive region 54. Before selectively growing the first light absorption layer, an interfacial layer 112 may be optionally formed atop the first selected area. The interfacial layer 112 can be a counter doping layer as described with reference to FIGS. 2A to 3C, or dopant diffusion control layer as described with reference to FIGS. 4A to 4C, or a bandwidth adjustment layer with smaller dielectric index than that of the photo-sensitive region 54. Finally, as shown in FIG. 10F, a doped region 56 is formed within the photo-sensitive region 54 near the surface. In some implementations, the area of the doped region 56 can have different shape from the photo-sensitive region 54 when viewing from the top. For example, the shape of the photo-sensitive region 54 may be rectangular and the shape of the doped region 56 may be circular. In some implementations, the selective area opening 50 a is rectangular and surrounded by (110) planes wherein when filled by a photo-sensitive region includes Ge can result in good surface passivation. In certain embodiments, other planes other than (110) can also be used to form the rectangular. To reduce the junction capacitance, the doped layer 56 is smaller than the rectangular opening 50 b, and may be in a circular shape to substantially match the input optical beam profile. In some implementations, the area of the doped region 56 can have similar shape as the photo-sensitive region 54 when viewing from the top. For example, the shape of the photo-sensitive region 54 and the doped region 56 can both be rectangular or circular. In some implementations, the shape of the photo-sensitive region 54 b and the doped layer 56 can both rectangular with rounded corners.
Alternatively, as shown in FIG. 10G, the process can be bifurcated from the step after FIG. 10B, a seeding layer 58 is first grown within the selective area opening 50 a (seeding area). A CMP process may be performed after the growth of the seeding layer. Subsequently, a second isolation layer 501 is deposited on the resulting structure partially removed to expose a second selected area. As shown in FIG. 10H, a spacer 520 is formed on the sidewall corresponding to the second selected area. The exposed second selected area is then filled by the photo-sensitive region 54 and a passivation layer is deposited atop the photo-sensitive region 54, as shown in FIG. 10I. The steps described in FIGS. 10H and 10I are similar to the steps described in FIG. 10B to 10F except a seeding layer is first grown within a seeding area before performing the steps to form the spacer.
Alternatively, as shown in FIG. 10J, the process can be bifurcated from before step FIG. 10G. Prior to seeding layer filling of the selective area opening 50 a (seeding area), a bottom spacer 52 a can be formed on the sidewall. As shown in FIG. 10K, a seeding layer 58 is grown within the selective area opening 50 a (seeding area) and a CMP process can be performed after the growth of the seeding layer. At this point, the steps described in FIG. 10H to 10I may be performed to form the upper layer of photo-sensitive region 54. Subsequently, processes similar to those described in FIGS. 2F to 2H or other variations can be applied to form the electrical contacts of the photodiode. In some implementations, the seeding layer may be Si, Ge, or SiGe with various Ge content. The photo-sensitive region may be Si, Ge or SiGe with various Ge content. In some implementations, the photo-sensitive region exhibits higher Ge content than the seeding layer. Furthermore, an interfacial layer may be inserted between the seeding layer and the substrate, or/and between the photo-sensitive region and the substrate, or/and between the seeding layer and the photo-sensitive region. In some implementations, the interfacial layer can function as a counter doping layer, or/and a dopant diffusion layer, or/and a bandwidth adjustment layer. In some implementations, the seeding layer is of Si material functioning as a dopant diffusion control layer to reduce the dopant diffusion from the substrate into the photo-sensitive region. In some implementations, the seeding layer has substantially the same material content as the photo-sensitive region such as Ge. In some implementations, the seeding layer may be grown separately from the photo-sensitive region above the seeding layer to reduce the thermal budget since other process steps such as forming silicide may be performed between the two growths. Such two-step growth method enables higher overall achievable thickness for the photo-sensitive region of substantially the same material compositions. In some implementations, an intentional or unintentional sidewall misalignment exists between the seeding area and the second selective area due the involvement of multiple lithography steps. Note that the drawings shown in FIG. 10 are for illustrative purpose and should not be viewed in a restrictive sense. For example, in FIGS. 10H and 10I, the spacer formation may also be optional in this two-step deposition/growth scenario, namely first forming a seeding region 58, then forming a second photo-sensitive region 54 without introducing the spacer 520. As another example, the thickness of the photo-sensitive region 54 may be thicker than the thickness of the seeding region 58, and the opening area for the photo-sensitive region 54 may be larger, equal, or smaller than the seeding region 58.
FIGS. 11A to 11G are the sectional views illustrating the manufacturing steps of forming a photodiode with sidewall passivation according to another implementation of the present disclosure. Similarly, the process can succeed the step S100 shown in FIG. 2A, namely, a Si substrate 100 with doped layer 110 and a field dielectric layer 50 deposited on the surface of the Si substrate 100, as shown in FIG. 11A. In FIG. 11B, a selective area opening 50 a is defined in the field dielectric layer 50 by photolithography and etching, where the selective area opening 50 a exposed a part of the surface of the Si substrate 100.
Subsequently, as shown in FIG. 11C, photosensitive materials such as Ge or SiGe of various Ge content is selectively grown to at least partially fill the selective area opening 50 a to form a first photo-sensitive region Ma, which functions as a seed layer and will be described in more detail. In other implementations, prior to selectively growing the first photo-sensitive region Ma, an interfacial layer 112 may be formed as a counter doping layer as described with reference to FIGS. 2A to 3C, or as a diffusion control layer as described with reference to FIGS. 4A to 4C.
As shown in FIG. 11D, a passivation layer 53 is deposited on the upper surface of the field dielectric layer 50 and the upper surface of the first photo-sensitive region 54 a. The material of the passivation layer 53 may include Si (amorphous or poly-crystalline), oxide, nitride, high-k dielectric or their combinations. As shown in FIG. 11E, directional etch is performed to remove part of the passivation layer 53 and only passivation spacer 53 a remains on the sidewall of the selective area opening 50 a. As shown in FIG. 11F, photosensitive material such as Ge is selectively grown to fill the remaining part of the selective area opening 50 a to form a second photo-sensitive region 54 b, and the second photo-sensitive region 54 b is then planarized by CMP process. Finally, as shown in FIG. 11G, a doped layer 56 is formed within the second photo-sensitive region 54 b and near the upper surface of the second photo-sensitive region 54 b. In some implementations, the doping type of doped layer 56 is p-type, and the doping type of the doped region 110 is n-type. In some implementations, the doping type of doped layer 56 is n-type, and the doping type of the doped region 110 is p-type. In some implementations, the area of the doped layer 56 can have different shape from the photo-sensitive region 54 b when viewing from the top. For example, the shape of the photo-sensitive region 54 b may be rectangular and the shape of the doped layer 56 may be circular. In some implementations, the selective area opening 50 a is rectangular and surrounded by (110) planes wherein when filled by a photo-sensitive region includes Ge can result in good surface passivation. In certain embodiments, other planes other than (110) can also be used to form the rectangular. To reduce the junction capacitance, the doped layer 56 is smaller than the rectangular opening 50 b, and may be in a circular shape to substantially match the input optical beam profile. In some implementations, the area of the doped layer 56 can have similar shape as the photo-sensitive region 54 b when viewing from the top. For example, the shape of the photo-sensitive region 54 b and the doped layer 56 can both be rectangular or circular. In some implementations, the shape of the photo-sensitive region 54 b and the doped layer 56 can both rectangular with rounded corners. Upon completion of process steps shown in FIG. 11G, subsequent processes similar to those shown in FIGS. 2F to 2H or other variations may be performed to form the electrical contacts of the photodiode.
FIG. 11H is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure. The photodiode shown in FIG. 11H is similar to that shown in FIG. 11G except a passivation layer 150 is formed atop the doped layer 56 and the second photo-sensitive region 54 b. FIG. 11I is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure. The photodiode shown in FIG. 11I is similar to that shown in FIG. 11G except that the interfacial layer 112 can be omitted in this implementation. FIG. 11J is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure. The photodiode shown in FIG. 11J is similar to that shown in FIG. 11G except that the interfacial layer 112 is placed between the first photo-sensitive region 54 a and the second photo-sensitive region 54 b. FIG. 11K is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure. The photodiode shown in FIG. 11K is similar to that shown in FIG. 11G except that two interfacial layers 112 a and 112 b are employed in this implementation. Namely, the first interfacial layers 112 a is placed between the first photo-sensitive region 54 a and the second photo-sensitive region 54 b, and the second first interfacial layers 112 b is placed between the doped layer 110 and the second photo-sensitive region 54 b. Note that in FIG. 11, the illustration of a complete layer region and a dashed box region both indicates the existence of an interfacial layer 112. The aforementioned “surface of the Si substrate 100” is interchangeable with “surface of the doped layer 110” in certain implementations.
FIGS. 12A to 12G are the sectional views illustrating the manufacturing steps of forming a photodiode with sidewall passivation according to another implementation of the present disclosure. Similarly, the process can succeed the step S100 shown in FIG. 2A. In FIG. 12A, a Si substrate 100 with doped layer 110 and a field dielectric layer 51 a deposited on the surface of the Si substrate 100. A selective area opening 50 a is defined in the field dielectric layer 51 a by photolithography and etching, where the selective area opening 50 a exposed a part of the surface of the Si substrate 100. Subsequently, a seeding layer 54 a is selectively grown to fill the selective area opening 50 a. In some implementations, before selectively growing the first photo-sensitive region 54 a, an interfacial layer 112 can be formed as a counter doping as described with reference to FIGS. 2A to 3C, or as a diffusion control as described with reference to FIGS. 4A to 4C. In some implementations, an optional CMP process can be performed after the growth of the seeding layer 54 a. In FIG. 12B, a second isolation layer 51 b is deposited, and part of the second isolation layer 51 b is removed to expose a second selected area 50 b as shown in FIG. 12C. Note that in certain actual process implementations, a sidewall misalignment may exist between the selective opening 50 a and the second selected area 50 b due to two separate lithography steps involved.
In FIG. 12D, a passivation layer 53 is deposited. In some implementations, the material of the passivation layer 53 can be Si (amorphous or poly-crystalline), oxide, nitride, high-k dielectric (e.g., Al2O3, HfO2) or their combinations. As shown in FIG. 12E, directional etch is performed to partially remove the passivation layer 53 and only passivation spacer 53 a remains on the sidewall of the selective area opening 50 b (namely the inner surface of the field dielectric layer 51 b).
As shown in FIG. 12F, photosensitive material such as Ge is selectively grown to fill the remaining part of the selective area opening 50 b to form a second photo-sensitive region 54 b, and the second photo-sensitive region 54 b is then planarized by CMP process. Finally, as shown in FIG. 12G, a doped layer 56 is formed within the second photo-sensitive region 54 b and near the upper surface of the second photo-sensitive region 54 b. In some implementations, the doping type of doped layer 56 is P-type, and the doping type of the doped region 110 is n-type. In some implementations, the doping type of doped layer 56 is n-type, and the doping type of the doped region 110 is p-type. In some implementations, the area of the doped layer 56 can have different shape from the photo-sensitive region 54 b when viewing from the top. For example, the shape of the photo-sensitive region 54 b may be rectangular and the shape of the doped layer 56 may be circular. In some implementations, the selective area opening 50 b is rectangular and surrounded by (110) planes wherein when filled by a photo-sensitive region includes Ge can result in good surface passivation. In certain embodiments, other planes other than (110) can also be used to form the rectangular. To reduce the junction capacitance, the doped layer 56 is smaller than the rectangular opening 50 b, and may be in a circular shape to substantially match the input optical beam profile. In some implementations, the area of the doped layer 56 may have similar shape as the photo-sensitive region 54 when viewing from the top. For example, the shape of the photo-sensitive region 54 and the doped layer 56 can both be rectangular or circular. For example, the shape of the photo-sensitive region 54 and the doped layer 56 can both be rectangular with rounded corners. Upon completion of process steps shown in FIG. 12G, subsequent processes similar to that shown in FIGS. 2F to 2H or other variations may be performed to form the electrical contacts of the photodiode.
FIG. 12H is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure. The photodiode shown in FIG. 12H is similar to that shown in FIG. 12G except a passivation layer 150 is formed atop the doped layer 56 and the second photo-sensitive region 54 b. FIG. 12I is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure. The photodiode shown in FIG. 12I is similar to that shown in FIG. 12G except that the interfacial layer 112 can be omitted in this implementation. FIG. 12J is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure. The photodiode shown in FIG. 12J is similar to that shown in FIG. 12G except that the interfacial layer 112 is placed between the first photo-sensitive region 54 a and the second photo-sensitive region 54 b. FIG. 12K is a section view showing the photodiode with sidewall passivation according to still another implementation of the present disclosure. The photodiode shown in FIG. 12K is similar to that shown in FIG. 12G except that two interfacial layers 112 a and 112 b are employed in this implementation. Namely, the first interfacial layers 112 a is placed between the first photo-sensitive region 54 a and the second photo-sensitive region 54 b, and the second interfacial layers 112 b is placed between the doped layer 110 and the second photo-sensitive region 54 b. Note that in FIG. 12, the illustration of a complete layer region and a dashed box region both indicates the existence of an interfacial layer 112. Also note that the drawings shown in FIG. 12 are for illustrative purpose and should not be viewed in a restrictive sense. For example, in FIGS. 12D to 12K, the spacer formation may also be optional in this two-step deposition/growth scenario, namely first forming a seeding region 54 a, then forming a second photo-sensitive region 54 b without introducing the spacer 53 a. As another example, the thickness of the photo-sensitive region 54 b can be thicker or thinner than the thickness of the seeding region 54 a, and the opening area for the photo-sensitive region 54 b can be larger, equal, or smaller than the seeding region 54 a. The aforementioned “surface of the Si substrate 100” is interchangeable with “surface of the N doped layer 110” in certain embodiments.
FIG. 13 is a sectional view showing the photodiode of the present disclosure integrated with a transistor. A high doping region is provided at the substrate 100 for the source 72 and drain 74 region of a transistor 70. The isolation between the photodiode and the transistor can be done by shallow trench isolation, P-N junction isolation, thermal oxide or other forms of isolation.
Although the present invention has been described with reference to specific exemplary embodiments, it will be recognized that any and all of the implementations described above can be combined with each other, and the invention is not limited to the implementations described, but can be practiced with modification and alteration within the spirit and scope of this invention. Accordingly, the specification and drawings are to be regarded in an illustrative sense rather than a restrictive sense.
In some implementations, the materials of the interfacial layer, the seeding layer and the photo-sensitive region can be Si, Ge or SiGe with various Ge content. In some implementations, the photo-sensitive region has higher Ge content than the seeding layer. Furthermore, an interfacial layer can be inserted between the seeding layer and the substrate, or/and between the photo-sensitive region and the substrate, or/and between the seeding layer and the photo-sensitive region. In some implementations, the interfacial layer can function as a counter doping layer, or/and a dopant diffusion layer, or/and a bandwidth adjustment layer. In some implementations, the seeding layer includes Si and functions as dopant diffusion control layer to reduce the dopant diffusion from the substrate into the photo-sensitive region.
In some implementations, the seeding layer has substantially the same material content as the photo-sensitive region such as Ge. In certain embodiments, the seeding layer may be grown separately from the photo-sensitive region above the seeding layer to reduce the thermal budget since other process steps such as forming silicide may be performed between the two growths. Such two-step growth method enables higher overall achievable thickness for the photo-sensitive region of substantially the same material compositions. In some implementations, an intentional or unintentional sidewall misalignment exists between the seeding area and the second selective area due to the multiple lithography steps involved. In some implementations where selective growth is performed, a sloped shape can be formed during the growth step, and later be polished by CMP step as mentioned in this disclosure. For example, a (311) plane can be formed if Ge is selectively epitaxially grown on a surface. In some implementations, a recessed structure can be included before forming the substrate doping and the interfacial layer. In some implementations, a spacer can also be formed to cover the sidewall of the recess area according to the spacer formation process described before. Also note that certain actual implementation induced imperfections should also be covered in this disclosure as long as its concept follows this disclosure. Any variations, derivations from the description above should also be viewed as included in this invention.
Prevention of Substrate Backside Contamination from Blanket Chemical-Vapor-Deposition (CVD) Based Heteroepitaxy
As mentioned earlier, a heterogeneous interface can exist between two layers of different materials. A heterogeneous interface can be fabricated using a device manufacturing process called heteroepitaxy, a type of epitaxy performed by growing a crystalline material of different elemental configurations than the crystalline substrate that is grown on. FIG. 14 shows a conventional CVD-based heteroepitaxial process, in which a different single crystal material 1410 is grown on top of a single crystal substrate 1400. As illustrated in FIG. 14, the conventional CVD-based heteroepitaxial process may cause substrate backside contamination. Specifically, the precursor gas used in such conventional heteroepitaxial process can sometimes flow underneath the substrate 1400 and deposit an unwanted thin layer of epitaxial material 1420 on the backside of the substrate 1400, and/or potentially on the backside's edges. This unwanted backside layer 1420 can lead to serious cross contamination issue when the manufacturing line is being utilized to produce more than one type of product, which normally is the case with a silicon device fabrication foundry. In some instances, the backside heteroepitaxial material 1420 can transfer onto the handling tools after the epitaxial step, for example, during the wafer handling and/or processing stages. This cross contamination can potentially cause process drifts, yield degradation, and reliability issues for other products. Additionally, this may even pose serious health risks and environmental issues when the heteroepitaxial material is toxic, such as arsenic(As)-based III-V materials. Accordingly, introduced herein are a number of techniques that can reduce or solve this backside contamination issue.
FIG. 15 shows an embodiment of a technique for reducing substrate backside contamination from blanket CVD-based heteroepitaxy. The structure as shown in FIG. 15 includes a semiconductor substrate 1500, a heteroepitaxial material layer 1510 on top of the substrate 1500, and an oxide or nitride based dielectric layer 1530 on the backside of the substrate 1500. Specifically, it is observed in the present disclosure that, for a CVD-based heteroepitaxy process, the heteroepitaxy material typically nucleates much slower on oxide or nitride based dielectrics (e.g., the dielectric layer 1530). Therefore, with a combination of this technique and other known growth condition tuning skills, a growth condition can be derived for a specific application in which the heteroepitaxy material 1510 can grow only on the crystalline substrate 1500 but not on backside dielectrics 1530. In this way, the abovementioned backside contamination issue can be much reduced or even completely avoided.
It is further noted that, in cases where contamination requirements are more stringent (e.g., growing As-based materials), the backside dielectric 1530 can be selectively removed, for example, by either wet chemicals or dry etching. With this extra, optional step, any small nucleus formed on the dielectric 1530 during the heteroetpiaxy process can be removed to produce a substantially contamination-free substrate backside (e.g., with a contamination specie surface concentration below 1×1010/cm2).
FIGS. 16A to 16F are example silicon-based processes scheme for manufacturing the embodiment shown in FIG. 15. In FIG. 16A, the first step, a thin oxide layer 1640 (e.g., SiO) is first deposited on top of a silicon (Si) substrate 1600, followed by a nitride layer deposition that forms a nitride layer 1650 (e.g., SiN) on top of the oxide layer 1640. In some implementations, a plasma-enhanced chemical vapor deposition (PECVD) SiN can be used avoid backside nitride deposition. In FIG. 16B, the second step, a portion of the nitride layer 1650 that is near the wafer's edge is removed, for example, by reactive ion etching. The etching pattern can be defined by a photoresist mask 1660. In FIG. 16C, the third step, a thermal oxide 1630 is grown pervasively over the wafer to encompass the wafer except for the nitride-covered area 1650. This thermal oxide 1630 is functionally equivalent to the dielectric layer 1530, discussed with respect to FIG. 15, in order to provide selectivity during the later heteroepitaxial growth. In FIG. 16D, the fourth step, the nitride layer 1650 is selectively removed and the thin bottom oxide 1640 exposed. One example way to selectively remove the nitride 1650 without losing the thin oxide 1640 is to utilize hot phosphoric acid. The thin oxide 1640 can be used here as a surface protection layer to increase the surface quality for the following heteroepitaxy step.
In FIG. 16E, the fifth step, the thin oxide layer 1640 is first removed, for example, by hydrogen fluoride (HF) based chemicals. Then, the wafer is moved into a CVD chamber for growing heteroepitaxial material. The growth condition may be tuned by process engineers with known tuning skills so that the heteroepitaxial material grows only on the area previously covered with nitride 1650 but now exposed after the nitride removal process. Because the thermal oxide region 1630 is difficult for heteroepitaxial material to nucleate, the disclosed technique can substantially reduce possible contamination on the backside and the front edge areas of the wafer.
As an optional step, shown in FIG. 16F, in cases where the contamination requirements are more stringent (e.g., growing an As-based material, or where the adopted heteroepitaxy process is not selective enough to avoid growth on the backside dielectric 1630), the backside dielectric 1630 can be selectively removed after the epitaxial step, by either wet chemicals or dry etching, to further reduce the probability of cross contamination.
FIG. 17 shows a photodetector structure on which the introduced technique for reducing substrate backside contamination is applied. In the photodetector shown in FIG. 17, the photosensitive layer 1710 is epitaxially deposited on top of the substrate 1700 with backside covered with dielectrics 1730. This technique can help ensure a clean backside substrate to avoid cross-contamination issues after the epitaxial step. Moreover, the introduced technique can be applied on monolithic integration processes where photodetectors and field effect transistors are fabricated on the same chip. In one or more embodiments, the substrate can be silicon (Si), photosensitive layer can be germanium (Ge), and the backside dielectric can be oxide or nitride. In this way, in an epitaxial equipment configuration where one framework is shared by multiple chambers, the robot arm shared by the multiple chambers to load/unload the wafers can be free of Ge (for example) when the robot contacts the backside of the wafer. In some implementations, for example, if there is more than one layer deposited at the backside of the wafer, the backside dielectric layer introduced here may be oxide.
Additional Fabrication Techniques for Frontside Incidence Double-Pass Photodetectors (PDs) and for Integration with Complementary Metal-Oxide-Semiconductor (CMOS) Field Effect Transistors (FETs)
In conventional photodetector (PD) designs, there is an inherent trade-off between responsivity and bandwidth. Traditionally, the responsivity is directly proportional to the path length that the light travels in the light absorption material (e.g., germanium). Consider a conventional normal incidence photodetector as an example. The thicker the absorption layer is, the higher the responsivity is. Unfortunately, a thicker absorption layer almost always features the drawback of longer carrier transit time that reduces the bandwidth of photodetectors. This issue exacerbates with the ever increasing demand for high speed optical receivers.
A double pass photodetector is one type of solution to improve the responsivity at higher speed. In a double pass PD, an additional mirror can be placed at the back of a normal incidence PD. This mirror can reflect those photons that have not yet been absorbed back to the PD's photosensitive layer for another round of absorption. By adding this extra photon pass to the PD, the responsivity thereof can be improved. With the aforementioned discussion regarding the double pass PD in mind, the location where the backside mirror is placed is important to the performance of the double pass PD design. If the mirror is placed too far away (e.g., more than 10 μm) from the PD's active area, the mirror may lose its reflecting effectiveness. This criterion makes double pass frontside incidence PDs difficult to manufacture because of the process control involved with and the precision needed in backside etching.
Accordingly, introduced here are techniques for increased precision and control in the backside etching process of double pass frontside incidence PD, by using an extra etch-stop layer.
FIGS. 18A to 18C are sectional views of a frontside incidence double-pass photodetector with an epitaxially grown etch-stop layer 1810, in accordance with some embodiments. The epitaxially grown etch-stop layer 1810 is implemented for increased precision in backside etching control. First, starting with a substrate 1800, an etch-stop layer 1810 is epitaxially grown on the substrate 1800, followed by substrate material regrowth that forms a regrown substrate layer 1805. The thickness of the regrown substrate material layer 1805 can be precisely controlled through the epitaxy process, and this thickness may eventually determine the final optical path/distance between the PD and its backside mirror. Then, a device active layer 1820 is formed on this regrown substrate layer 1805, e.g., via implantation isolation and/or shallow trench isolation processes. Next, a PD photosensitive layer 1830 is grown on top of this regrown substrate layer 1805. Thereafter, additional PD manufacturing processes, such as those mentioned above, can be performed to complete the frontside PD processes including, for example, diode mesa patterning, contact via formation and metal trace patterning.
Patterned backside etching is then performed to remove the substrate material underneath the PD. For example, in a Si/Ge based PD system, the substrate material can be silicon (Si), the photosensitive material can be germanium (Ge), and the material(s) for the etch-stop layer 1810 can be silicon carbide (SiC), carbon-doped silicon, silicon germanium (SiGe), carbon-doped SiGe or carbon-doped Ge. It is observed here that SiC or carbon-doped Si typically can provide a desirable etch selectivity to Si by dry etching. Similarly, SiGe or Ge typically can provide a desirable etch selectivity to Si by wet etching.
Three different example schemes of the backside process are shown in the sectional views of FIGS. 18A to 18C. As shown in FIG. 18A, the backside etching is fully stopped on the stopping layer 1810. A backside mirror 1840 is then deposited on the back to cover the exposed portion of the etch-stop layer 1810. A typical mirror structure 1840 can include a dielectric mirror layer 1842 and a metal mirror layer 1844. Other types of mirror can also be adapted.
In FIG. 18B, a portion of the etch-stop layer 1810 that is exposed by the back etching process is converted to an etch-stop oxide 1812. The material conversion may be performed after the backside etching by traditional oxidation processes. In a Si/Ge based PD system, this etch-stop oxide layer 1812 can be, for example, SiGeO, which is converted from the original, epitaxial SiGe etch-stop layer. It is observed in the present disclosure that oxide generally has better etching selectivity to semiconductor materials (e.g., Si/Ge), and therefore it can be a better material option for functioning as an etch-stop layer, depending on the specific application. After the formation of the etch-stop oxide layer 1812, the aforementioned mirror structure 1840 is deposited on the back of the wafer, similar to FIG. 18A.
In yet another variation, such as shown in FIG. 18C, a select portion of the etch-stop layer 1810 is removed after being exposed from the backside etching. This technique is useful in some cases where the etch-stop layer 1810 cannot provide enough selectivity to the substrate material, which can cause undesirable thickness variation across the wafer. Thickness variation is undesirable because the length of optical path may vary across the wafer, thereby causing performance variation, since the double pass PD's performance is dependent upon the optical path. In these cases, the selective removal of this etch-stop layer 1810 can provide an additional level of etch selectivity, which in turn provides a better optical path control. After the selective removal of the etch-stop layer 1810, the mirror structure is deposited on the back of the wafer to complete the process.
FIGS. 19A to 19F are different examples of integrating the PD structures introduced in FIGS. 18A to 18C with CMOS transistors. As mentioned earlier (e.g., with respect to FIG. 13), a PD disclosed in the present disclosure may be integrated with a transistor on the same wafer. Such integration is generally known as monolithic integration. Introduced here are two example ways to implement the monolithic integration of the PDs and the CMOS transistors, together with the aforementioned PD epitaxial etch-stop layer techniques introduced in FIGS. 18A to 18C.
The first example approach is to grow the epitaxial stopping layer pervasively to cover the entire surface area of the substrate, and to use the epitaxial regrown substrate layer as the active areas for both PDs and CMOS transistors. This approach is shown in the following three figures, FIGS. 19A to 19C. These three figures respectively show the three different PD subcategories introduced in FIGS. 18A to 18C, integrated with CMOS transistors on the same wafer.
The second approach is to grow the epitaxial stopping layer underneath the PD active area only. This approach can be done by selectively recess the PD area after standard shallow trench isolation (STI) processes. In some embodiments, the growth of epitaxial stopping layer and the following substrate material can both be done selectively on the PD area only. This approach is shown in the following three figures, FIGS. 19D to 19F, each of which corresponds to a different PD subcategory introduced in FIGS. 18A to 18C.
FIG. 20 shows another technique to implement the etch-stop layer introduced here. As shown in FIG. 20, a dielectric etch-stop layer 2010 (e.g., oxide or nitride) can be first deposited on the substrate 2000 as the backside etch-stop layer, as introduced above. Then, an epitaxial layer overgrowth (ELO) technique is used to grow epitaxial substrate material 2005 on top of this etch stop dielectric layer 2010. The ELO process includes: (1) drilling holes on the oxide layer 2010 down to the substrate material 2000; (2) epitaxially growing substrate material from the bottom substrate 2000 (as seeds) through the opened holes; (3) merging these substrate seeds into one epitaxial bulk layer 2005; and (4) polishing the epitaxial layer 2005's surface, which prepares it for the device fabrication processes that follow. The device fabrication processes include growing a photosensitive layer 2030 of the PD on top of this epitaxial layer 2005. Afterwards, PD fabrication processes such as those discussed herein can be performed to complete the frontside processes including, for example, diode mesa patterning, contact via formation and metal trace patterning. Next, patterned backside etching is performed to remove the substrate material underneath the PD. The dielectric etch-stop layer 2010 is used as the stopping layer for this backside etching step. Then, a mirror structure 2040 is placed on the backside to complete the double-side PD process.
FIG. 21 shows an example of integrating the PD structure introduced in FIG. 20 with transistors. In one or more embodiments, the dielectric material for the stopping layer can be the same silicon oxide that is used for standard STI processes.
CONCLUSION
While this specification contains many details, these should not be construed as limitations, but rather as descriptions of features specific to particular embodiments. Certain features that are described in this specification in the context of separate embodiments or implementations may also be implemented in combination in a single embodiment. Conversely, various features that are described in the context of a single embodiment may also be implemented in multiple embodiments separately or in any suitable subcombination. Moreover, although features may be described above as acting in certain combinations and even initially claimed as such, one or more features from a claimed combination may in some cases be excised from the combination, and the claimed combination may be directed to a subcombination or variation of a subcombination.
Similarly, while operations are depicted in the drawings in a particular order, this should not be understood as requiring that such operations be performed in the particular order shown or in sequential order, or that all illustrated operations be performed, to achieve desirable results. In certain circumstances, multitasking and parallel processing may be advantageous. Moreover, the separation of various system components in the embodiments described above should not be understood as requiring such separation in all embodiments.
Thus, particular embodiments have been described. Other embodiments are within the scope of the following claims. For example, the actions recited in the claims may be performed in a different order and still achieve desirable results.

Claims (21)

What is claimed is:
1. A method of forming a light absorption apparatus, the method comprising:
doping a surface of a substrate to form a doped layer in the substrate; and
forming, atop the doped layer, a photosensitive structure that includes a body and a counter doping layer at or near a heterogeneous interface between the photosensitive structure and the substrate, wherein the counter doping layer includes dopants to compensate for a built-in electrical potential at the heterogeneous interface between the photosensitive structure and the substrate, wherein the dopants are n-type, the body is p-type, and the counter doping layer includes a germanium-based material.
2. The method of claim 1, wherein the dopants in the counter doping layer are configured to provide similar free carrier concentration to built-in carriers in a photosensitive material in the counter doping layer but with opposite electrical polarity.
3. The method of claim 1, wherein an amount of the dopants is configured to offset the built-in electrical potential to reach substantially electrically neutral.
4. The method of claim 1, wherein the counter doping layer includes more than one sublayers.
5. The method of claim 1, wherein forming the photosensitive structure comprises:
forming the counter doping layer; and
then forming an intrinsic layer of photosensitive material atop the counter doping layer.
6. The method of claim 1, wherein the counter doping layer is formed by in-situ doping where dopants are introduced during deposition of a photosensitive material in the counter doping layer.
7. The method of claim 1, wherein the counter doping layer is formed by ion implantation after deposition of a photosensitive material in the counter doping layer.
8. The method of claim 1, wherein the photosensitive structure is germanium based, and wherein the substrate is silicon based.
9. The method of claim 1, wherein the dopants comprise one or more of:
arsenic or phosphorous.
10. The method of claim 1, wherein the dopants are of more than one specie.
11. The method of claim 1, wherein the counter doping layer is 1 nm to 150 nm in thickness.
12. The method of claim 1, wherein the dopants are of the same electrical polarity as the doped layer in the substrate.
13. The method of claim 1, further comprising:
forming a dopant control layer atop the counter doping layer, wherein the dopant control layer has a material that retards dopant diffusion from the counter doping layer into an intrinsic layer in the photosensitive structure.
14. A light absorption apparatus comprising:
a substrate having a doped layer; and
a photosensitive structure atop the doped layer, the photosensitive structure including a body and a counter doping layer at or near a heterogeneous interface between the photosensitive structure and the substrate, wherein the counter doping layer includes dopants to compensate for a built-in electrical potential at the heterogeneous interface between the photosensitive structure and the substrate, wherein the dopants are n-type, the body is p-type, and the counter doping layer includes a germanium-based material.
15. The apparatus of claim 14, wherein the dopants in the counter doping layer are configured to provide similar free carrier concentration to built-in carriers in a photosensitive material in the counter doping layer but with opposite electrical polarity.
16. The apparatus of claim 14, wherein an amount of the dopants is configured to offset the built-in electrical potential to reach substantially electrically neutral.
17. The apparatus of claim 14, wherein the counter doping layer includes more than one sublayers.
18. The apparatus of claim 14, wherein the photosensitive structure comprises:
the counter doping layer; and
an intrinsic layer of photosensitive material atop the counter doping layer.
19. The apparatus of claim 14, wherein the photosensitive structure is germanium based, and wherein the substrate is silicon based.
20. The apparatus of claim 14, wherein the dopants comprise one or more of: arsenic or phosphorous.
21. The apparatus of claim 14, wherein the counter doping layer is 1 nm to 150 nm in thickness.
US16/023,493 2014-11-13 2018-06-29 Light absorption apparatus Active US10861884B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/023,493 US10861884B2 (en) 2014-11-13 2018-06-29 Light absorption apparatus

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US201462078986P 2014-11-13 2014-11-13
US201462081574P 2014-11-19 2014-11-19
US201562121448P 2015-02-26 2015-02-26
US201562126698P 2015-03-01 2015-03-01
US201562157458P 2015-05-05 2015-05-05
US201562193133P 2015-07-16 2015-07-16
US201562197098P 2015-07-26 2015-07-26
US14/940,572 US9748307B2 (en) 2014-11-13 2015-11-13 Light absorption apparatus
US15/147,847 US9799689B2 (en) 2014-11-13 2016-05-05 Light absorption apparatus
US15/654,197 US10074677B2 (en) 2014-11-13 2017-07-19 Light absorption apparatus
US16/023,493 US10861884B2 (en) 2014-11-13 2018-06-29 Light absorption apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/654,197 Continuation US10074677B2 (en) 2014-11-13 2017-07-19 Light absorption apparatus

Publications (2)

Publication Number Publication Date
US20180308882A1 US20180308882A1 (en) 2018-10-25
US10861884B2 true US10861884B2 (en) 2020-12-08

Family

ID=56690017

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/147,847 Active US9799689B2 (en) 2014-11-13 2016-05-05 Light absorption apparatus
US15/654,197 Active US10074677B2 (en) 2014-11-13 2017-07-19 Light absorption apparatus
US16/023,493 Active US10861884B2 (en) 2014-11-13 2018-06-29 Light absorption apparatus

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US15/147,847 Active US9799689B2 (en) 2014-11-13 2016-05-05 Light absorption apparatus
US15/654,197 Active US10074677B2 (en) 2014-11-13 2017-07-19 Light absorption apparatus

Country Status (1)

Country Link
US (3) US9799689B2 (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016077791A1 (en) 2014-11-13 2016-05-19 Artilux Inc. Light absorption apparatus
US9799689B2 (en) 2014-11-13 2017-10-24 Artilux Inc. Light absorption apparatus
US10418407B2 (en) 2015-11-06 2019-09-17 Artilux, Inc. High-speed light sensing apparatus III
US10886309B2 (en) 2015-11-06 2021-01-05 Artilux, Inc. High-speed light sensing apparatus II
US10254389B2 (en) 2015-11-06 2019-04-09 Artilux Corporation High-speed light sensing apparatus
DE102016115644B4 (en) * 2016-08-23 2020-12-24 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Process for the production of optoelectronic semiconductor components and optoelectronic semiconductor component
US10147829B2 (en) * 2016-09-23 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric sidewall structure for quality improvement in Ge and SiGe devices
KR102651544B1 (en) 2016-11-21 2024-03-28 삼성전자주식회사 Broadband and multi-purpose optical device and methods of manufacturing and operating the same
KR20180071802A (en) * 2016-12-20 2018-06-28 삼성전자주식회사 Image sensor
CN106952983A (en) * 2017-02-14 2017-07-14 上海新微科技服务有限公司 Silicon substrate Ge photodetector arrays and preparation method thereof
US10943942B2 (en) * 2017-11-10 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor device and method of forming the same
WO2019150533A1 (en) * 2018-02-01 2019-08-08 株式会社京都セミコンダクター Semiconductor light receiving element
EP4022681A4 (en) 2019-08-28 2022-12-28 Artilux, Inc. Photo-detecting apparatus with low dark current
US20210391370A1 (en) * 2019-08-28 2021-12-16 Artilux, Inc. Photo-detecting apparatus with low dark current
US20210375669A1 (en) * 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Surface uniformity control in pixel structures of image sensors
US11742451B2 (en) * 2020-11-24 2023-08-29 Cisco Technology, Inc. Integrate stressor with Ge photodiode using a substrate removal process

Citations (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61187267A (en) 1985-02-14 1986-08-20 Matsushita Electric Ind Co Ltd Solid-state image pickup device
JPH06132558A (en) 1992-10-16 1994-05-13 Mitsubishi Electric Corp Infrared detector
US5432361A (en) 1990-09-17 1995-07-11 Nec Corporation Low noise avalanche photodiode having an avalanche multiplication layer of InAlAs/InGaAlAs
JPH09307133A (en) 1996-05-10 1997-11-28 Victor Co Of Japan Ltd Photodiode and its manufacture
JP2001284630A (en) 2000-03-29 2001-10-12 Minolta Co Ltd Semiconductor photoelectric converter element, its use method and manufacturing method
JP2003163361A (en) 2001-11-29 2003-06-06 Mitsubishi Electric Corp Photodetecting element and optical communication device
WO2003065418A2 (en) 2002-02-01 2003-08-07 Picometrix, Inc. Planar avalanche photodiode
US20040121507A1 (en) 2002-12-18 2004-06-24 Bude Jeffrey Devin Semiconductor devices with reduced active region deffects and unique contacting schemes
US20050012030A1 (en) 2003-07-16 2005-01-20 Triquint Semiconductor, Inc. Increased responsivity photodetector
TW200627635A (en) 2005-01-18 2006-08-01 Omnivision Tech Inc Multilayered semiconductor substrate and image sensor formed thereon for improved infrared response
TW200633197A (en) 2005-02-24 2006-09-16 Freescale Semiconductor Inc Method of forming a semiconductor device and an optical device and structure thereof
US20060226343A1 (en) 2003-05-02 2006-10-12 Ko Cheng C Pin photodetector
JP3872473B2 (en) 2002-12-18 2007-01-24 インターナショナル・ビジネス・マシーンズ・コーポレーション High speed photodiode and method of forming the same
US20070152289A1 (en) * 2005-12-30 2007-07-05 Morse Michael T Avalanche photodetector with reflector-based responsivity enhancement
EP1811578A1 (en) 2004-10-25 2007-07-25 Mitsubishi Denki Kabushiki Kaisha Avalanche photodiode
US20070170537A1 (en) 2004-02-17 2007-07-26 Poenar Daniel P Method and device for wavelength-sensitive photo-sensing
US20070262296A1 (en) 2006-05-11 2007-11-15 Matthias Bauer Photodetectors employing germanium layers
US20080012104A1 (en) * 2006-07-17 2008-01-17 Alexandre Pauchard Inverted planar avalanche photodiode
US20080017883A1 (en) 2006-07-20 2008-01-24 Gadi Sarid Semi-planar avalanche photodiode
US20080121805A1 (en) * 2006-11-04 2008-05-29 Tweet Douglas J Ge short wavelength infrared imager
US20080303058A1 (en) 2007-06-07 2008-12-11 Mitsuyoshi Mori Solid state imaging device and method for fabricating the same
US20090121305A1 (en) 2007-11-14 2009-05-14 Jds Uniphase Corporation Front-Illuminated Avalanche Photodiode
JP2010034226A (en) 2008-07-28 2010-02-12 Univ Of Tokyo Optical semiconductor element, photoelectric converting element and optical modulating element
JP2010536170A (en) 2007-08-08 2010-11-25 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ Semiconductor structure and manufacturing method
US20100313948A1 (en) 2009-06-12 2010-12-16 Seung-Yeop Myong Photovoltaic Device and Manufacturing Method Thereof
US20110031529A1 (en) 2009-08-06 2011-02-10 Hitachi, Ltd. Semiconductor photodiode device and manufacturing method thereof
TW201108402A (en) 2009-08-17 2011-03-01 United Microelectronics Corp Semiconductor photodetector structure and the fabrication method thereof
TW201115772A (en) 2009-06-30 2011-05-01 Intel Corp Sidewall photodetector
US20130029449A1 (en) 2008-09-24 2013-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Sensor Structures with Reduced Dislocation Defect Densities and Related Methods for the Same
JP2013062360A (en) 2011-09-13 2013-04-04 Sharp Corp Photoelectric conversion element
US20130119234A1 (en) 2011-11-14 2013-05-16 Samsung Electronics Co., Ltd. Unit pixel and three-dimensional image sensor including the same
US20130202005A1 (en) * 2012-02-07 2013-08-08 Apic Corporation Laser using locally strained germanium on silicon for opto-electronic applications
US20140048772A1 (en) * 2012-08-20 2014-02-20 Electronics And Telecommunications Research Institute Low-voltage high-gain high-speed germanium photo detector and method of fabricating the same
US20140077210A1 (en) 2012-09-20 2014-03-20 International Business Machines Corporation Amorphous silicon photodetector with low dark current
US20140138789A1 (en) 2012-10-08 2014-05-22 Agency For Science, Technology And Research P-i-n photodiode
US20140159183A1 (en) 2012-12-10 2014-06-12 National Tsing Hua University High-efficiency bandwidth product germanium photodetector
TW201424013A (en) 2012-08-21 2014-06-16 Micron Technology Inc Method and apparatus for reducing signal loss in a photo detector
US20140291682A1 (en) * 2012-05-05 2014-10-02 Sifotonics Technologies Co., Ltd. High Performance GeSi Avalanche Photodiode Operating Beyond Ge Bandgap Limits
US20150008433A1 (en) 2013-07-08 2015-01-08 Sifotonics Technologies Co., Ltd. Compensated Photonic Device Structure And Fabrication Method Thereof
US20150145082A1 (en) 2013-11-22 2015-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Backside-illuminated photodetector structure and method of making the same
JP2015144163A (en) 2014-01-31 2015-08-06 技術研究組合光電子融合基盤技術研究所 SiGe photodiode
US9748307B2 (en) 2014-11-13 2017-08-29 Artilux Inc. Light absorption apparatus
US9799689B2 (en) 2014-11-13 2017-10-24 Artilux Inc. Light absorption apparatus

Patent Citations (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61187267A (en) 1985-02-14 1986-08-20 Matsushita Electric Ind Co Ltd Solid-state image pickup device
US5432361A (en) 1990-09-17 1995-07-11 Nec Corporation Low noise avalanche photodiode having an avalanche multiplication layer of InAlAs/InGaAlAs
JPH06132558A (en) 1992-10-16 1994-05-13 Mitsubishi Electric Corp Infrared detector
JPH09307133A (en) 1996-05-10 1997-11-28 Victor Co Of Japan Ltd Photodiode and its manufacture
JP2001284630A (en) 2000-03-29 2001-10-12 Minolta Co Ltd Semiconductor photoelectric converter element, its use method and manufacturing method
JP2003163361A (en) 2001-11-29 2003-06-06 Mitsubishi Electric Corp Photodetecting element and optical communication device
WO2003065418A2 (en) 2002-02-01 2003-08-07 Picometrix, Inc. Planar avalanche photodiode
JP4938221B2 (en) 2002-02-01 2012-05-23 ピコメトリックス インコーポレイテッド Planar avalanche photodiode
JP3872473B2 (en) 2002-12-18 2007-01-24 インターナショナル・ビジネス・マシーンズ・コーポレーション High speed photodiode and method of forming the same
US20040121507A1 (en) 2002-12-18 2004-06-24 Bude Jeffrey Devin Semiconductor devices with reduced active region deffects and unique contacting schemes
US20060057825A1 (en) 2002-12-18 2006-03-16 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US20060226343A1 (en) 2003-05-02 2006-10-12 Ko Cheng C Pin photodetector
US20050012030A1 (en) 2003-07-16 2005-01-20 Triquint Semiconductor, Inc. Increased responsivity photodetector
US20070170537A1 (en) 2004-02-17 2007-07-26 Poenar Daniel P Method and device for wavelength-sensitive photo-sensing
EP1811578A1 (en) 2004-10-25 2007-07-25 Mitsubishi Denki Kabushiki Kaisha Avalanche photodiode
TW200627635A (en) 2005-01-18 2006-08-01 Omnivision Tech Inc Multilayered semiconductor substrate and image sensor formed thereon for improved infrared response
TW200633197A (en) 2005-02-24 2006-09-16 Freescale Semiconductor Inc Method of forming a semiconductor device and an optical device and structure thereof
US20070152289A1 (en) * 2005-12-30 2007-07-05 Morse Michael T Avalanche photodetector with reflector-based responsivity enhancement
US20070262296A1 (en) 2006-05-11 2007-11-15 Matthias Bauer Photodetectors employing germanium layers
US20080012104A1 (en) * 2006-07-17 2008-01-17 Alexandre Pauchard Inverted planar avalanche photodiode
US20080017883A1 (en) 2006-07-20 2008-01-24 Gadi Sarid Semi-planar avalanche photodiode
US20080121805A1 (en) * 2006-11-04 2008-05-29 Tweet Douglas J Ge short wavelength infrared imager
US20080303058A1 (en) 2007-06-07 2008-12-11 Mitsuyoshi Mori Solid state imaging device and method for fabricating the same
JP2010536170A (en) 2007-08-08 2010-11-25 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ Semiconductor structure and manufacturing method
US20090121305A1 (en) 2007-11-14 2009-05-14 Jds Uniphase Corporation Front-Illuminated Avalanche Photodiode
JP2010034226A (en) 2008-07-28 2010-02-12 Univ Of Tokyo Optical semiconductor element, photoelectric converting element and optical modulating element
US20130029449A1 (en) 2008-09-24 2013-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Sensor Structures with Reduced Dislocation Defect Densities and Related Methods for the Same
US20100313948A1 (en) 2009-06-12 2010-12-16 Seung-Yeop Myong Photovoltaic Device and Manufacturing Method Thereof
TW201115772A (en) 2009-06-30 2011-05-01 Intel Corp Sidewall photodetector
US20110031529A1 (en) 2009-08-06 2011-02-10 Hitachi, Ltd. Semiconductor photodiode device and manufacturing method thereof
TW201108402A (en) 2009-08-17 2011-03-01 United Microelectronics Corp Semiconductor photodetector structure and the fabrication method thereof
JP2013062360A (en) 2011-09-13 2013-04-04 Sharp Corp Photoelectric conversion element
US20130119234A1 (en) 2011-11-14 2013-05-16 Samsung Electronics Co., Ltd. Unit pixel and three-dimensional image sensor including the same
US20130202005A1 (en) * 2012-02-07 2013-08-08 Apic Corporation Laser using locally strained germanium on silicon for opto-electronic applications
US20140291682A1 (en) * 2012-05-05 2014-10-02 Sifotonics Technologies Co., Ltd. High Performance GeSi Avalanche Photodiode Operating Beyond Ge Bandgap Limits
US20140048772A1 (en) * 2012-08-20 2014-02-20 Electronics And Telecommunications Research Institute Low-voltage high-gain high-speed germanium photo detector and method of fabricating the same
TW201424013A (en) 2012-08-21 2014-06-16 Micron Technology Inc Method and apparatus for reducing signal loss in a photo detector
US20140077210A1 (en) 2012-09-20 2014-03-20 International Business Machines Corporation Amorphous silicon photodetector with low dark current
US20140138789A1 (en) 2012-10-08 2014-05-22 Agency For Science, Technology And Research P-i-n photodiode
US20140159183A1 (en) 2012-12-10 2014-06-12 National Tsing Hua University High-efficiency bandwidth product germanium photodetector
US20150008433A1 (en) 2013-07-08 2015-01-08 Sifotonics Technologies Co., Ltd. Compensated Photonic Device Structure And Fabrication Method Thereof
US20150145082A1 (en) 2013-11-22 2015-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Backside-illuminated photodetector structure and method of making the same
JP2015144163A (en) 2014-01-31 2015-08-06 技術研究組合光電子融合基盤技術研究所 SiGe photodiode
US9748307B2 (en) 2014-11-13 2017-08-29 Artilux Inc. Light absorption apparatus
US9799689B2 (en) 2014-11-13 2017-10-24 Artilux Inc. Light absorption apparatus
US20170317135A1 (en) 2014-11-13 2017-11-02 Artilux Inc. Light absorption apparatus
US20170323911A1 (en) 2014-11-13 2017-11-09 Artilux Inc. Light absorption apparatus

Non-Patent Citations (20)

* Cited by examiner, † Cited by third party
Title
Corrected Notice of Allowability dated Aug. 8, 2018 for U.S. Appl. No. 15/652,187 of Cheng et al. filed Jul. 17, 2017.
European Search Report dated Jun. 8, 2018 for European Patent Application No. 15858667.7, 17 pages.
First Office Action dated Dec. 25, 2017 for Chinese Patent Application No. 201580060584.4 filed May 8, 2017, 12 pages.
International Search Report & Written Opinion PCT/US2015/60735 dated Apr. 14, 2016, 12 pages.
Non Final Office Action dated Jan. 26, 2017 for U.S. Appl. No. 14/940,572 of Cheng, S. et al., filed Nov. 13, 2015.
Non Final Office Action dated Mar. 15, 2017 for U.S. Appl. No. 15/147,847 of Cheng, S. et al., filed May 5, 2016.
Notice of Allowance dated Apr. 10, 2017 for U.S. Appl. No. 14/940,572, filed Nov. 13, 2015.
Notice of Allowance dated Feb. 2, 2018 for Japanese Patent Application No. 2017-530346 filed May 15, 2017, 3 pages.
Notice of Allowance dated Jul. 5, 2018 for U.S. Appl. No. 15/652,187 of Cheng et al. filed Jul. 17, 2017.
Notice of Allowance dated Jun. 12, 2017 for U.S. Appl. No. 15/147,847, filed May 5, 2016.
Notice of Allowance dated Jun. 21, 2018 for U.S. Appl. No. 15/654,197, filed Jun. 19, 2017., 8 pages.
Notice of Decision for Rejection dated Aug. 9, 2018 for Korean Patent Application No. 10-2017-7015424, 6 pages.
Notice of Decision for Rejection dated Jun. 21, 2018 for Korean Patent Application No. 10-2017-7015424, 7 pages.
Notice of Reasons for Rejection dated Feb. 14, 2018 for Korean Patent Application No. 10-2017-7015424, 11 pages.
Office Action dated Aug. 18, 2017 for Korean Patent Application No. 10-2017-7015424 filed Jun. 6, 2017, 13 pages.
Office Action dated Oct. 20, 2017 for Japanese Patent Application No. 2017-530346 filed May 15, 2017, 15 pages.
Restriction Requirement dated Oct. 19, 2016 for U.S. Appl. No. 15/147,847 of Cheng, S. et al., filed May 5, 2016.
Tous, et al., "Nickel silicide contacts formed by excimer laser annealing for high efficiency solar cells", Progress in Photovoltaics, https://www .researchgate.net/profile/Loic_Tous/publication/236149298 _Nickel_silicide_contacts_formed_by _excimer _laser_annealing_for high_efficiency _solar_ cells/links/00b495280b8f0d9496000000.pdf, 2013, pp. 1-10.
Virginia Semiconductors, "The General Properties of Si, Ge, SiGe, SiO2 and Si3N4," Jun. 2002, URL:http://www.virginiasemi.com/pdf/generalpropertiesSi62002.pdf.
Yumrukcu, Samed , "Passivation of INSB Infrared Photodetectors", Bilkent University, http://www.thesis.bilkent.edu.tr/0004030.pdf, Jul. 2010, pp. 1-54.

Also Published As

Publication number Publication date
US20170323911A1 (en) 2017-11-09
US20160247841A1 (en) 2016-08-25
US20180308882A1 (en) 2018-10-25
US9799689B2 (en) 2017-10-24
US10074677B2 (en) 2018-09-11

Similar Documents

Publication Publication Date Title
US10861884B2 (en) Light absorption apparatus
US10128303B2 (en) Light absorption apparatus
EP3224866B1 (en) Monolithic integration techniques for fabricating photodetectors with transistors on same substrate
US8399949B2 (en) Photonic systems and methods of forming photonic systems
TWI792157B (en) Semiconductor structure and method for forming the same
KR102459555B1 (en) Surface uniformity control in pixel structures of image sensors
TW202201544A (en) Methods of forming the image sensor device

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO SMALL (ORIGINAL EVENT CODE: SMAL); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: ARTILUX CORPORATION, CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHENG, SZU-LIN;CHEN, SHU-LU;REEL/FRAME:047935/0612

Effective date: 20180702

AS Assignment

Owner name: ARTILUX, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ARTILUX CORPORATION;REEL/FRAME:049584/0489

Effective date: 20190621

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: AWAITING TC RESP., ISSUE FEE NOT PAID

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction